From 96caec0cfcd5b6aaed53676062bd91932c7a0b35 Mon Sep 17 00:00:00 2001 From: Jaron Kent-Dobias Date: Tue, 19 Oct 2021 17:44:20 +0200 Subject: Work. --- m11-2.wl | 10 + m11.wl | 11 + m8.wl | 18 +- new_schofield.nb | 33498 ++++++++++++++++++++++++++++++++++++++++++++++++----- s11.wl | 11 + schofield.wl | 109 +- 6 files changed, 30742 insertions(+), 2915 deletions(-) create mode 100644 m11-2.wl create mode 100644 m11.wl create mode 100644 s11.wl diff --git a/m11-2.wl b/m11-2.wl new file mode 100644 index 0000000..8fd2334 --- /dev/null +++ b/m11-2.wl @@ -0,0 +1,10 @@ +(* Created with the Wolfram Language : www.wolfram.com *) +{44.1455365446347, {\[Theta]c -> 1.3996678273044187, + \[Theta]0 -> 0.19657716689868718, AH -> -2.5496048635470787, + A[1] -> 1.7293729017965527, A[2] -> 0.5922884824663168, + gC[1] -> -0.36979238667656467, gC[2] -> 0.002968078845974853, + A[3] -> -0.2916655943110614, gC[3] -> 0.0527958256144447, + gC[4] -> -0.02010289010025246, A[4] -> 0.07506575034449132, + gC[5] -> 0.003409047702842989, gC[6] -> -0.0002344412816387626, + A[5] -> -0.007116812172949844, gC[7] -> -0.000018352846229494057, + gC[8] -> 2.8743650612586413*^-6, A[6] -> -0.00004059955187474823}} diff --git a/m11.wl b/m11.wl new file mode 100644 index 0000000..ff7185a --- /dev/null +++ b/m11.wl @@ -0,0 +1,11 @@ +(* Created with the Wolfram Language : www.wolfram.com *) +{46.09717221309653, {\[Theta]c -> 1.3946138306670082, + \[Theta]0 -> 0.19657199819072152, AH -> -2.549884341329218, + A[1] -> 1.729824822954169, A[2] -> 0.5936422729924692, + gC[1] -> -0.3668176862190405, gC[2] -> 0.0017034014515813535, + A[3] -> -0.2907234499611062, gC[3] -> 0.0527244497325125, + gC[4] -> -0.019930276510068432, A[4] -> 0.07443664599491684, + gC[5] -> 0.0034547342987311437, gC[6] -> -0.00023454445562759953, + A[5] -> -0.007132897669965838, gC[7] -> -0.000029009558483817375, + gC[8] -> 6.858876270903605*^-6, A[6] -> 0.000023324292969831963, + gC[9] -> -4.5663240630002894*^-7}} diff --git a/m8.wl b/m8.wl index 8875506..a313ad9 100644 --- a/m8.wl +++ b/m8.wl @@ -1,10 +1,10 @@ (* Created with the Wolfram Language : www.wolfram.com *) -{10851.579671794501, {\[Theta]c -> 1.320598743540984, - \[Theta]0 -> 0.19670390350427933, AH -> -2.5259470863324207, - A[1] -> 1.6947480539779154, A[2] -> 0.5761725007964409, - gC[1] -> -0.2794780718284905, gC[2] -> -0.04009433554574842, - A[3] -> -0.1596671465481377, gC[3] -> 0.0043479399923616055, - gC[4] -> 0.006533076700974013, A[4] -> 0.0007744577414188262, - gC[5] -> -0.0019568496974147614, gC[6] -> 0.00022305717672714141, - A[5] -> 0.0024207206316697546, gC[7] -> -0.00002144977801064647, - gC[8] -> 3.9882468182738*^-6, A[6] -> 0.0000421977466132342}} +{477.8872720993887, {\[Theta]c -> 1.3945095399721226, + \[Theta]0 -> 0.19657331402448114, AH -> -2.540815351266595, + A[1] -> 1.7168304596304513, A[2] -> 0.58914437918972, + gC[1] -> -0.3578933157155564, gC[2] -> -0.0002471276399885635, + A[3] -> -0.28467214257786666, gC[3] -> 0.05289863457865093, + gC[4] -> -0.0201090322678147, A[4] -> 0.073168474426385, + gC[5] -> 0.0034486104788930307, gC[6] -> -0.00021837125394706086, + A[5] -> -0.007098620515609658, gC[7] -> -0.000025781921631023817, + gC[8] -> 3.737427990965571*^-6, A[6] -> 0.000017492654253389754}} diff --git a/new_schofield.nb b/new_schofield.nb index e80a47b..5e541df 100644 --- a/new_schofield.nb +++ b/new_schofield.nb @@ -10,10 +10,10 @@ NotebookFileLineBreakTest NotebookFileLineBreakTest NotebookDataPosition[ 158, 7] -NotebookDataLength[ 229983, 5139] -NotebookOptionsPosition[ 214867, 4911] -NotebookOutlinePosition[ 215264, 4927] -CellTagsIndexPosition[ 215221, 4924] +NotebookDataLength[ 1895487, 32889] +NotebookOptionsPosition[ 1880585, 32654] +NotebookOutlinePosition[ 1880983, 32670] +CellTagsIndexPosition[ 1880940, 32667] WindowFrame->Normal*) (* Beginning of Notebook Content *) @@ -33,7 +33,7 @@ Cell[BoxData[ 3.83957102205329*^9, 3.8395710222207737`*^9}, {3.841389917784724*^9, 3.841389919112598*^9}, {3.8424227955510283`*^9, 3.842422804973724*^9}, { 3.8425181034802094`*^9, 3.8425181185428543`*^9}}, - CellLabel->"In[13]:=",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], + CellLabel->"In[1]:=",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], Cell[BoxData[{ RowBox[{ @@ -63,6 +63,15 @@ Cell[BoxData[ CellChangeTimes->{{3.840877914184023*^9, 3.840877925544536*^9}}, CellLabel->"In[4]:=",ExpressionUUID->"2fdffe9d-9220-4c97-866d-0a1f5e3200a1"], +Cell[BoxData[ + RowBox[{ + RowBox[{"$DefaultRemoteKernel", "=", + RowBox[{ + "RemoteKernelObject", "[", "\"\\"", + "]"}]}], ";"}]], "Input", + CellChangeTimes->{{3.8425246889199257`*^9, 3.8425247111111097`*^9}}, + CellLabel->"In[5]:=",ExpressionUUID->"8f4d55d2-f559-4382-a0b2-1a4eb0ce0b9a"], + Cell[CellGroupData[{ Cell["Match ends", "Section", @@ -82,7 +91,7 @@ Cell[BoxData[ RowBox[{ RowBox[{"nAs", "=", "2"}], ";"}]], "Input", CellChangeTimes->{{3.841213645969795*^9, 3.841213648302487*^9}}, - CellLabel->"In[5]:=",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], + CellLabel->"In[33]:=",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], Cell[CellGroupData[{ @@ -144,20 +153,21 @@ Cell[BoxData[ 3.841994430388618*^9, 3.8419944408046093`*^9}, {3.841994755179496*^9, 3.841994755346443*^9}, {3.841994896941716*^9, 3.841994926998374*^9}, { 3.841994983607177*^9, 3.8419950242243156`*^9}, {3.841995200194737*^9, - 3.8419952057475653`*^9}}, - CellLabel->"In[6]:=",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], + 3.8419952057475653`*^9}, {3.842688660466323*^9, 3.842688668562681*^9}, { + 3.842688747500245*^9, 3.842688751827346*^9}}, + CellLabel->"In[34]:=",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], Cell[BoxData[ RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.140880712736358`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19739663849533437`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.1601426664504497`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1976347222403779`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.448385445073114`"}]}], ",", + RowBox[{"-", "2.4223780247586535`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.6244482861491158`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5808792797213091`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5400156139770322`"}]}], + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5226041775708052`"}]}], "}"}]], "Output", CellChangeTimes->{{3.841213667298201*^9, 3.841213680834222*^9}, { 3.841225528753489*^9, 3.8412255307639217`*^9}, 3.841297785004229*^9, @@ -176,8 +186,17 @@ Cell[BoxData[ 3.84199520626237*^9}, 3.8420034241494923`*^9, 3.8420689124310923`*^9, 3.842330230554284*^9, 3.842330804692266*^9, {3.842337081323071*^9, 3.842337084010837*^9}, 3.842350006073023*^9, 3.842422344645892*^9, - 3.842422816178594*^9, 3.842518122452919*^9, 3.842519915109*^9}, - CellLabel->"Out[6]=",ExpressionUUID->"e6b50f51-a941-434a-a0be-477aab535ca0"] + 3.842422816178594*^9, 3.842518122452919*^9, 3.842519915109*^9, + 3.842522122885919*^9, 3.842522655663528*^9, 3.842524725510088*^9, + 3.842525662569347*^9, 3.84259116821867*^9, {3.842599196265551*^9, + 3.8425992071730633`*^9}, 3.842599359641241*^9, 3.8425998079904613`*^9, { + 3.842683504778739*^9, 3.842683514378283*^9}, {3.84268397204325*^9, + 3.842683978375692*^9}, {3.842684654553711*^9, 3.842684657254725*^9}, + 3.842684902947652*^9, 3.8426868062452803`*^9, 3.842687682372817*^9, { + 3.8426885885640917`*^9, 3.842688589825081*^9}, {3.842688667291643*^9, + 3.84268867286578*^9}, {3.8426887522632008`*^9, 3.842688753765951*^9}, + 3.842688845006856*^9, 3.842939605883937*^9, 3.843290139302218*^9}, + CellLabel->"Out[34]=",ExpressionUUID->"9efc61ae-62a0-4b42-9f17-9d131beeb290"] }, Open ]], Cell[CellGroupData[{ @@ -200,20 +219,18 @@ Cell[BoxData[ CellChangeTimes->{{3.841389858617463*^9, 3.841389864495379*^9}, { 3.841390308400168*^9, 3.841390319008019*^9}, {3.842068918729508*^9, 3.842068919328607*^9}}, - CellLabel->"In[7]:=",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], + CellLabel->"In[35]:=",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.206474`", ",", + RowBox[{"0.200068`", ",", RowBox[{"{", - RowBox[{ - RowBox[{"-", "4.690692279041286`*^-15"}], ",", - RowBox[{"-", "1.3578383417065985`"}], ",", - RowBox[{"-", "0.026730320042387568`"}], ",", "0.007817490328775815`", - ",", - RowBox[{"-", "0.0035612981516752493`"}], ",", "0.0018961128955182892`", + RowBox[{"1.5543122344752192`*^-15", ",", + RowBox[{"-", "1.3578383417065931`"}], ",", + RowBox[{"-", "0.02637733030788414`"}], ",", "0.007691340397720298`", ",", + RowBox[{"-", "0.003528562244727541`"}], ",", "0.001890812094933653`", ",", - RowBox[{"-", "0.001102644164035067`"}]}], "}"}]}], "}"}]], "Output", + RowBox[{"-", "0.0011029916207058502`"}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{ 3.841389868403063*^9, 3.8413899704214067`*^9, 3.841390191376688*^9, 3.841390222192317*^9, 3.8413902544921722`*^9, {3.841390296630432*^9, @@ -224,8 +241,16 @@ Cell[BoxData[ 3.842003424758133*^9, {3.842068914521706*^9, 3.8420689201777153`*^9}, 3.842330230893139*^9, 3.842330806477663*^9, {3.84233708198837*^9, 3.84233708481223*^9}, 3.842350006459215*^9, 3.842422346176568*^9, - 3.842422817711318*^9, 3.84251812308186*^9, 3.842519915679865*^9}, - CellLabel->"Out[7]=",ExpressionUUID->"b28feda9-0c42-492c-8c6a-d5f1ca8e2b4a"] + 3.842422817711318*^9, 3.84251812308186*^9, 3.842519915679865*^9, + 3.842522123426433*^9, 3.842522656068872*^9, 3.8425247258854227`*^9, + 3.842525663225604*^9, 3.842591168723563*^9, {3.842599197158167*^9, + 3.842599207599419*^9}, 3.842599360465514*^9, 3.8425998084666023`*^9, { + 3.842683505512886*^9, 3.842683515139864*^9}, {3.842683972405624*^9, + 3.842683983377284*^9}, 3.8426846581937027`*^9, 3.842684904465537*^9, + 3.842686806884112*^9, 3.842687683113398*^9, 3.8426885904206676`*^9, + 3.8426887543295116`*^9, 3.8426888454660797`*^9, 3.8429396072831182`*^9, + 3.843290139550982*^9}, + CellLabel->"Out[35]=",ExpressionUUID->"570d9331-beca-4192-b537-3d2d08e47729"] }, Open ]], Cell[CellGroupData[{ @@ -242,10 +267,11 @@ Cell[BoxData[ RowBox[{ RowBox[{"dGd\[Xi]LowList", "[", RowBox[{"2", ",", - RowBox[{"h", "[", "0", "]"}]}], "]"}], "[", "6", "]"}], "]"}], "//.", + RowBox[{"h", "[", "0", "]"}]}], "]"}], "[", "8", "]"}], "]"}], "//.", RowBox[{"rules", "[", - RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", "s0"}], "]"}]}], - "\[IndentingNewLine]", "}"}], "]"}]], "Input", + RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "2", "]"}]}], "]"}]}], "\[IndentingNewLine]", "}"}], + "]"}]], "Input", CellChangeTimes->{{3.8291280118781147`*^9, 3.829128118103051*^9}, { 3.829128192513464*^9, 3.82912819312051*^9}, {3.829128371940607*^9, 3.829128493270048*^9}, {3.8291285364471493`*^9, 3.8291285366627502`*^9}, { @@ -300,8 +326,10 @@ Cell[BoxData[ 3.841994697025642*^9, 3.841994709185557*^9}, {3.841995099512912*^9, 3.8419951062806787`*^9}, {3.842068923552909*^9, 3.8420689245525312`*^9}, { 3.8423304358921137`*^9, 3.8423304652996798`*^9}, {3.842330525735715*^9, - 3.8423305258049088`*^9}, {3.842337089014374*^9, 3.8423370891958523`*^9}}, - CellLabel->"In[8]:=",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], + 3.8423305258049088`*^9}, {3.842337089014374*^9, 3.8423370891958523`*^9}, { + 3.842599365778761*^9, 3.8425993664099607`*^9}, {3.843290142351856*^9, + 3.843290142806099*^9}, {3.843291111903468*^9, 3.843291118686925*^9}}, + CellLabel->"In[37]:=",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], Cell[BoxData[ GraphicsBox[{{{ @@ -511,9 +539,10 @@ Cell[BoxData[ 13.008074231002201`}, {15., 15.354526006101828`}}]}, {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], AbsoluteThickness[1.6], - PointBox[{{2., 0.3058939805973407}, {3., -2.928809595189747}, { - 4., -3.0596322365299238`}, {5., -2.4595763208916877`}, { - 6., -1.480457590090207}, {7., -0.23079298615211102`}}]}}, {{}, {}}}, + PointBox[{{2., 0.30589398059721395`}, {3., -3.0168887065380416`}, { + 4., -3.169157364353553}, {5., -2.529656477407978}, { + 6., -1.5092978879488355`}, {7., -0.23601180732651303`}, {8., + 1.2395736257434904`}, {9., 2.8885185968901332`}}]}}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -609,8 +638,16 @@ Cell[BoxData[ 3.8423304660726633`*^9, 3.8423305264156647`*^9, 3.8423308073044643`*^9, { 3.8423370858934603`*^9, 3.842337089730439*^9}, 3.842350007987893*^9, 3.8424223543035307`*^9, 3.842422819890312*^9, 3.8425181248907337`*^9, - 3.8425199167124577`*^9}, - CellLabel->"Out[8]=",ExpressionUUID->"79d87d08-16ff-4087-a519-8498715bf2f7"] + 3.8425199167124577`*^9, 3.842522124045586*^9, 3.842522657051263*^9, + 3.8425247261942863`*^9, 3.842525663923378*^9, 3.842591169572535*^9, { + 3.8425991979160433`*^9, 3.842599207871875*^9}, {3.8425993611432123`*^9, + 3.8425993674289618`*^9}, 3.842599809262638*^9, {3.842683506916047*^9, + 3.842683516145591*^9}, {3.842683973799808*^9, 3.84268398432742*^9}, + 3.842684659130677*^9, 3.842684905226742*^9, 3.842686808015524*^9, + 3.842687684232486*^9, 3.84268859143297*^9, 3.842688755459013*^9, + 3.84268884685119*^9, 3.842939608721219*^9, {3.8432901406101847`*^9, + 3.8432901437917347`*^9}}, + CellLabel->"Out[37]=",ExpressionUUID->"463c06a6-d67c-40a3-b029-5fdaa3bcba74"] }, Open ]], Cell[CellGroupData[{ @@ -630,8 +667,9 @@ Cell[BoxData[ RowBox[{"h", "[", "0", "]"}]}], "]"}], "[", RowBox[{"10", ",", "0"}], "]"}]}], "//.", RowBox[{"rules", "[", - RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", "s0"}], "]"}]}], - "\[IndentingNewLine]", "}"}], "]"}]], "Input", + RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "2", "]"}]}], "]"}]}], "\[IndentingNewLine]", "}"}], + "]"}]], "Input", CellChangeTimes->{{3.8291280118781147`*^9, 3.829128118103051*^9}, { 3.829128192513464*^9, 3.82912819312051*^9}, {3.829128371940607*^9, 3.829128493270048*^9}, {3.8291285364471493`*^9, 3.8291285366627502`*^9}, { @@ -686,8 +724,9 @@ Cell[BoxData[ 3.841390948891877*^9, 3.8413909555318604`*^9}, {3.841994614807858*^9, 3.841994615111747*^9}, {3.842003430402297*^9, 3.8420034305840683`*^9}, { 3.842068927800907*^9, 3.842068934425075*^9}, {3.842330470596788*^9, - 3.8423305414929953`*^9}, {3.842337092908471*^9, 3.842337093388023*^9}}, - CellLabel->"In[9]:=",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], + 3.8423305414929953`*^9}, {3.842337092908471*^9, 3.842337093388023*^9}, { + 3.8432901492065973`*^9, 3.8432901502064457`*^9}}, + CellLabel->"In[38]:=",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], Cell[BoxData[ GraphicsBox[{{{ @@ -776,9 +815,9 @@ Cell[BoxData[ 16.1573163641116}}]}, {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], AbsoluteThickness[1.6], - PointBox[{{3., 0.6126028894906075}, {5., 2.116663821853074}, {7., - 4.5470484758699845`}, {9., 7.272899590086907}, {11., - 10.148381912570931`}}]}}, {{}, {}}}, + PointBox[{{3., 0.6126028894906161}, {5., 2.211893987174568}, {7., + 4.7915864226302585`}, {9., 7.682514938359644}, {11., + 10.727444765128492`}}]}}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -925,8 +964,15 @@ Cell[BoxData[ 3.842003430834703*^9}, 3.8420689347601557`*^9, 3.8423302325436993`*^9, { 3.842330473156518*^9, 3.8423305425457087`*^9}, 3.842330808232905*^9, 3.842337094201315*^9, 3.842350008585443*^9, 3.842422355862982*^9, - 3.842422825653632*^9, 3.842518125710841*^9, 3.8425199173222017`*^9}, - CellLabel->"Out[9]=",ExpressionUUID->"ef81ae05-495e-4a10-8e00-e5378065743c"] + 3.842422825653632*^9, 3.842518125710841*^9, 3.8425199173222017`*^9, + 3.842522124701228*^9, 3.84252265769657*^9, 3.842524726883174*^9, + 3.842525664574233*^9, 3.8425911702192574`*^9, 3.842599362647512*^9, + 3.8425999121077147`*^9, {3.842683507527425*^9, 3.8426835166404753`*^9}, + 3.8426839854239273`*^9, 3.842684659612424*^9, 3.842684905721077*^9, + 3.842686808625209*^9, 3.842687684839149*^9, 3.842688591998864*^9, + 3.842688755934224*^9, 3.842688847438697*^9, 3.842939609314446*^9, + 3.843290150798224*^9}, + CellLabel->"Out[38]=",ExpressionUUID->"ecc36c6e-ba9c-4ff6-a8bf-cf5fa2322ec1"] }, Open ]], Cell[CellGroupData[{ @@ -936,12 +982,11 @@ Cell[BoxData[ RowBox[{"annealFit", "[", RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"2", ",", - RowBox[{"h", "[", "0", "]"}], ",", "d"}], "]"}], "[", "2", "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "0", "]"}], "]"}]}], ",", "d", ",", "s0"}], + RowBox[{"res", "[", + RowBox[{"2", ",", + RowBox[{"h", "[", "0", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "2", "]"}], ",", "s0"}], "]"}]}]], "Input", CellChangeTimes->{{3.84146414095971*^9, 3.841464175798479*^9}, { 3.841464380993734*^9, 3.841464386312539*^9}, {3.841464731456504*^9, @@ -955,95 +1000,28 @@ Cell[BoxData[ 3.842071313310508*^9, 3.84207131621989*^9}, {3.84207758447983*^9, 3.842077584949933*^9}, {3.842330409754711*^9, 3.8423304192747793`*^9}, { 3.842330498373253*^9, 3.8423305020442667`*^9}, {3.8423308149171867`*^9, - 3.842330815562167*^9}}, - CellLabel->"In[14]:=",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], - -Cell[CellGroupData[{ - -Cell[BoxData["3.780365613675426`*^-17"], "Print", - CellChangeTimes->{ - 3.8419964778762493`*^9, 3.841996772029714*^9, 3.842003441050926*^9, - 3.84206895029628*^9, {3.8420710596655188`*^9, 3.8420711099569902`*^9}, - 3.842071265014825*^9, 3.84207131686103*^9, 3.8420775852166233`*^9, - 3.84233042160254*^9, 3.842330502764182*^9, 3.8423308211074142`*^9, - 3.842337098612039*^9, 3.842350026119588*^9, 3.842422359497167*^9, - 3.8424228280979633`*^9, 3.842518129102141*^9, 3.842518173249959*^9, - 3.842518308115878*^9, 3.842518651037122*^9, 3.842519784835025*^9, { - 3.842519917624295*^9, 3.842519946731964*^9}, 3.842520034770431*^9}, - CellLabel-> - "During evaluation of \ -In[14]:=",ExpressionUUID->"66a8ff17-0d24-4fef-a95e-5879871a56cd"], - -Cell[BoxData["3.780365613675426`*^-13"], "Print", - CellChangeTimes->{ - 3.8419964778762493`*^9, 3.841996772029714*^9, 3.842003441050926*^9, - 3.84206895029628*^9, {3.8420710596655188`*^9, 3.8420711099569902`*^9}, - 3.842071265014825*^9, 3.84207131686103*^9, 3.8420775852166233`*^9, - 3.84233042160254*^9, 3.842330502764182*^9, 3.8423308211074142`*^9, - 3.842337098612039*^9, 3.842350026119588*^9, 3.842422359497167*^9, - 3.8424228280979633`*^9, 3.842518129102141*^9, 3.842518173249959*^9, - 3.842518308115878*^9, 3.842518651037122*^9, 3.842519784835025*^9, { - 3.842519917624295*^9, 3.842519946731964*^9}, 3.842520034861273*^9}, - CellLabel-> - "During evaluation of \ -In[14]:=",ExpressionUUID->"00a69f8d-00b7-4401-b1b4-b5e9a93ac4f6"], - -Cell[BoxData["3.780365613675425`*^-9"], "Print", - CellChangeTimes->{ - 3.8419964778762493`*^9, 3.841996772029714*^9, 3.842003441050926*^9, - 3.84206895029628*^9, {3.8420710596655188`*^9, 3.8420711099569902`*^9}, - 3.842071265014825*^9, 3.84207131686103*^9, 3.8420775852166233`*^9, - 3.84233042160254*^9, 3.842330502764182*^9, 3.8423308211074142`*^9, - 3.842337098612039*^9, 3.842350026119588*^9, 3.842422359497167*^9, - 3.8424228280979633`*^9, 3.842518129102141*^9, 3.842518173249959*^9, - 3.842518308115878*^9, 3.842518651037122*^9, 3.842519784835025*^9, { - 3.842519917624295*^9, 3.842519946731964*^9}, 3.842520034952455*^9}, - CellLabel-> - "During evaluation of \ -In[14]:=",ExpressionUUID->"fb2f9056-2601-4bb7-aa35-1e507914a317"], - -Cell[BoxData["0.00003780365613675426`"], "Print", - CellChangeTimes->{ - 3.8419964778762493`*^9, 3.841996772029714*^9, 3.842003441050926*^9, - 3.84206895029628*^9, {3.8420710596655188`*^9, 3.8420711099569902`*^9}, - 3.842071265014825*^9, 3.84207131686103*^9, 3.8420775852166233`*^9, - 3.84233042160254*^9, 3.842330502764182*^9, 3.8423308211074142`*^9, - 3.842337098612039*^9, 3.842350026119588*^9, 3.842422359497167*^9, - 3.8424228280979633`*^9, 3.842518129102141*^9, 3.842518173249959*^9, - 3.842518308115878*^9, 3.842518651037122*^9, 3.842519784835025*^9, { - 3.842519917624295*^9, 3.842519946731964*^9}, 3.842520035046094*^9}, - CellLabel-> - "During evaluation of \ -In[14]:=",ExpressionUUID->"c1b182f4-41eb-4773-8407-621f51299e7d"], - -Cell[BoxData["0.3780365613675426`"], "Print", - CellChangeTimes->{ - 3.8419964778762493`*^9, 3.841996772029714*^9, 3.842003441050926*^9, - 3.84206895029628*^9, {3.8420710596655188`*^9, 3.8420711099569902`*^9}, - 3.842071265014825*^9, 3.84207131686103*^9, 3.8420775852166233`*^9, - 3.84233042160254*^9, 3.842330502764182*^9, 3.8423308211074142`*^9, - 3.842337098612039*^9, 3.842350026119588*^9, 3.842422359497167*^9, - 3.8424228280979633`*^9, 3.842518129102141*^9, 3.842518173249959*^9, - 3.842518308115878*^9, 3.842518651037122*^9, 3.842519784835025*^9, { - 3.842519917624295*^9, 3.842519946731964*^9}, 3.84252003513686*^9}, - CellLabel-> - "During evaluation of \ -In[14]:=",ExpressionUUID->"530491f4-199f-4876-81ff-c113b559ec20"] -}, Open ]], + 3.842330815562167*^9}, {3.842525668337566*^9, 3.842525670440715*^9}, { + 3.8425258552453413`*^9, 3.842525869365773*^9}, {3.842683991508649*^9, + 3.842683999547966*^9}, {3.842684095430543*^9, 3.84268409678189*^9}, { + 3.84268455503272*^9, 3.842684577822506*^9}, {3.8426846796264467`*^9, + 3.842684704913135*^9}, {3.842684931758143*^9, 3.8426849338609467`*^9}, { + 3.842686812243655*^9, 3.8426868223997726`*^9}, {3.84268885110296*^9, + 3.842688851597097*^9}}, + CellLabel->"In[11]:=",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.3780365613675426`", ",", + RowBox[{"0.038992753306699636`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.2120963457132339`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1805162669647244`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.2113984981855266`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.18040968433960122`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.129941828735235`"}]}], ",", + RowBox[{"-", "2.1295236147887016`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2445989659606354`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2447338967832837`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.49913798222074407`"}]}], + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4997458274069301`"}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{ 3.8419964785762787`*^9, 3.84199677282102*^9, 3.84200344191339*^9, @@ -1053,81 +1031,21 @@ Cell[BoxData[ 3.8423370988960533`*^9, 3.8423500263699703`*^9, 3.84242235980014*^9, 3.842422829133266*^9, 3.8425181316804523`*^9, 3.84251817373398*^9, 3.842518313713923*^9, 3.842518651369175*^9, 3.842519792420923*^9, { - 3.8425199179520893`*^9, 3.842519947097322*^9}, 3.84252003513834*^9}, - CellLabel->"Out[14]=",ExpressionUUID->"ea79e514-ea99-4fdc-8f45-89363d3b0bf8"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"m01", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"2", ",", - RowBox[{"h", "[", "0", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "2", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "0", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m0", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", - RowBox[{ - RowBox[{"m0", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { - 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, - 3.8418267802857647`*^9}, {3.841826871248399*^9, 3.84182687327967*^9}, { - 3.841826963193843*^9, 3.8418269640493317`*^9}, {3.8419952730999537`*^9, - 3.84199527358777*^9}, {3.8420691561503267`*^9, 3.8420691815253487`*^9}, { - 3.842077520948737*^9, 3.842077579789769*^9}, 3.842330455445321*^9, { - 3.842330509575235*^9, 3.84233050965302*^9}, {3.842330942788649*^9, - 3.842330943420467*^9}}, - CellLabel->"In[15]:=",ExpressionUUID->"b02b5204-9158-4350-9e92-e8f555f47ba7"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.21209634571313281633389590008374367813`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.18051626696442270296721516815656377383`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.12994182873168992645742954806228483516`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.24459896595719677689274587239885003519`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.4991379822210818398801604963306626113`20."}]}], "}"}]], "Output", - CellChangeTimes->{{3.841826482020074*^9, 3.8418265862239122`*^9}, { - 3.841826659980463*^9, 3.841826667924841*^9}, {3.841826769333158*^9, - 3.841826781359291*^9}, 3.841826875723233*^9, 3.8418269303503838`*^9, - 3.84182696518847*^9, 3.841995274507485*^9, 3.841996569508958*^9, - 3.8419969067210817`*^9, 3.842003895497058*^9, 3.8420691052661533`*^9, { - 3.842069157635578*^9, 3.8420691831628323`*^9}, {3.842077537450878*^9, - 3.84207758678786*^9}, {3.842330452122755*^9, 3.842330455905246*^9}, - 3.842330510039486*^9, 3.842330823682598*^9, 3.8423308801056004`*^9, - 3.8423309436807528`*^9, 3.842337100874065*^9, 3.8423500280732517`*^9, - 3.842422360959221*^9, 3.842422833395204*^9, 3.842518654421541*^9, - 3.842520037902483*^9}, - CellLabel->"Out[15]=",ExpressionUUID->"ae058dee-c3ad-4bfe-a04e-3a07b2c59ea4"] + 3.8425199179520893`*^9, 3.842519947097322*^9}, 3.84252003513834*^9, + 3.8425221251919127`*^9, 3.8425222574690847`*^9, 3.8425226580365667`*^9, + 3.84252472721786*^9, {3.842525852462645*^9, 3.84252587069119*^9}, + 3.842591048051862*^9, 3.842591092929531*^9, 3.842591150283875*^9, { + 3.842591243590889*^9, 3.842591250853861*^9}, {3.842591292002294*^9, + 3.8425913208009033`*^9}, 3.842591408956765*^9, 3.84259595244488*^9, + 3.8425999147321863`*^9, 3.842599955499799*^9, {3.8426835078997917`*^9, + 3.842683518420891*^9}, 3.8426840067914743`*^9, 3.8426840975914927`*^9, { + 3.8426845781892967`*^9, 3.842684580099658*^9}, {3.842684660142858*^9, + 3.842684705525476*^9}, 3.8426848229599752`*^9, 3.842684854404305*^9, { + 3.842684909579274*^9, 3.84268497329652*^9}, {3.8426868092581167`*^9, + 3.842686823124484*^9}, {3.842687689463532*^9, 3.842687712290174*^9}, + 3.8426885921980677`*^9, 3.842688763237523*^9, {3.8426888478907022`*^9, + 3.842688852144611*^9}, 3.8429396094976053`*^9}, + CellLabel->"Out[11]=",ExpressionUUID->"8b63b6e2-6e50-4f84-8f46-d90b3d33fd5f"] }, Open ]], Cell[CellGroupData[{ @@ -1137,13 +1055,11 @@ Cell[BoxData[ RowBox[{"annealFit", "[", RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"2", ",", - RowBox[{"h", "[", "1", "]"}], ",", "\[Delta]"}], "]"}], "[", "3", - "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "1", "]"}], "]"}]}], ",", "\[Delta]", ",", + RowBox[{"res", "[", + RowBox[{"2", ",", + RowBox[{"h", "[", "1", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "3", "]"}], ",", RowBox[{"Join", "[", RowBox[{ RowBox[{"m0", "[", @@ -1153,101 +1069,34 @@ Cell[BoxData[ RowBox[{"gC", "[", "1", "]"}], "->", "0"}], "}"}]}], "]"}]}], "]"}]}]], "Input", CellChangeTimes->{{3.8414646994225616`*^9, 3.841464738150351*^9}, { - 3.841995249227251*^9, 3.8419952496592083`*^9}, {3.841995323982559*^9, - 3.841995342013027*^9}, {3.841996549668969*^9, 3.841996553267212*^9}, { - 3.841996704350882*^9, 3.841996707910059*^9}, {3.841996888674657*^9, - 3.8419968959214983`*^9}, {3.842330945573291*^9, 3.842330946196393*^9}}, - CellLabel->"In[16]:=",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], - -Cell[CellGroupData[{ - -Cell[BoxData["2.884778795330512`*^-16"], "Print", - CellChangeTimes->{{3.84146472167447*^9, 3.841464739046789*^9}, - 3.841466102601021*^9, 3.8414661640538692`*^9, 3.841727618703388*^9, - 3.841733575217207*^9, 3.841735119492049*^9, 3.841826723167212*^9, - 3.8419952526781797`*^9, 3.841995357906107*^9, 3.841996553874887*^9, - 3.84199689645995*^9, 3.842003892636663*^9, 3.842069102491523*^9, - 3.8420711150931253`*^9, 3.8420712706377296`*^9, 3.842077685085354*^9, - 3.842078232721704*^9, 3.842330904335943*^9, 3.842330947153545*^9, - 3.8423371024063387`*^9, 3.84235002923596*^9, 3.8424223626001177`*^9, - 3.842422836179119*^9, 3.842518657364423*^9, 3.842520046731331*^9}, - CellLabel-> - "During evaluation of \ -In[16]:=",ExpressionUUID->"d9104651-706f-4b68-a5a5-c728ea465ffa"], - -Cell[BoxData["2.884778795330512`*^-12"], "Print", - CellChangeTimes->{{3.84146472167447*^9, 3.841464739046789*^9}, - 3.841466102601021*^9, 3.8414661640538692`*^9, 3.841727618703388*^9, - 3.841733575217207*^9, 3.841735119492049*^9, 3.841826723167212*^9, - 3.8419952526781797`*^9, 3.841995357906107*^9, 3.841996553874887*^9, - 3.84199689645995*^9, 3.842003892636663*^9, 3.842069102491523*^9, - 3.8420711150931253`*^9, 3.8420712706377296`*^9, 3.842077685085354*^9, - 3.842078232721704*^9, 3.842330904335943*^9, 3.842330947153545*^9, - 3.8423371024063387`*^9, 3.84235002923596*^9, 3.8424223626001177`*^9, - 3.842422836179119*^9, 3.842518657364423*^9, 3.842520046948523*^9}, - CellLabel-> - "During evaluation of \ -In[16]:=",ExpressionUUID->"9deab4b3-ca90-4b8f-9923-48119f6ff847"], - -Cell[BoxData["4.220530405460832`*^-9"], "Print", - CellChangeTimes->{{3.84146472167447*^9, 3.841464739046789*^9}, - 3.841466102601021*^9, 3.8414661640538692`*^9, 3.841727618703388*^9, - 3.841733575217207*^9, 3.841735119492049*^9, 3.841826723167212*^9, - 3.8419952526781797`*^9, 3.841995357906107*^9, 3.841996553874887*^9, - 3.84199689645995*^9, 3.842003892636663*^9, 3.842069102491523*^9, - 3.8420711150931253`*^9, 3.8420712706377296`*^9, 3.842077685085354*^9, - 3.842078232721704*^9, 3.842330904335943*^9, 3.842330947153545*^9, - 3.8423371024063387`*^9, 3.84235002923596*^9, 3.8424223626001177`*^9, - 3.842422836179119*^9, 3.842518657364423*^9, 3.84252004717006*^9}, - CellLabel-> - "During evaluation of \ -In[16]:=",ExpressionUUID->"5404d31c-e62e-49e2-b333-009a21640524"], - -Cell[BoxData["0.000011424310526996445`"], "Print", - CellChangeTimes->{{3.84146472167447*^9, 3.841464739046789*^9}, - 3.841466102601021*^9, 3.8414661640538692`*^9, 3.841727618703388*^9, - 3.841733575217207*^9, 3.841735119492049*^9, 3.841826723167212*^9, - 3.8419952526781797`*^9, 3.841995357906107*^9, 3.841996553874887*^9, - 3.84199689645995*^9, 3.842003892636663*^9, 3.842069102491523*^9, - 3.8420711150931253`*^9, 3.8420712706377296`*^9, 3.842077685085354*^9, - 3.842078232721704*^9, 3.842330904335943*^9, 3.842330947153545*^9, - 3.8423371024063387`*^9, 3.84235002923596*^9, 3.8424223626001177`*^9, - 3.842422836179119*^9, 3.842518657364423*^9, 3.842520047389844*^9}, - CellLabel-> - "During evaluation of \ -In[16]:=",ExpressionUUID->"a28f94b4-89ad-47e1-846c-b7ba0fcb7ac6"], - -Cell[BoxData["0.11421232427643684`"], "Print", - CellChangeTimes->{{3.84146472167447*^9, 3.841464739046789*^9}, - 3.841466102601021*^9, 3.8414661640538692`*^9, 3.841727618703388*^9, - 3.841733575217207*^9, 3.841735119492049*^9, 3.841826723167212*^9, - 3.8419952526781797`*^9, 3.841995357906107*^9, 3.841996553874887*^9, - 3.84199689645995*^9, 3.842003892636663*^9, 3.842069102491523*^9, - 3.8420711150931253`*^9, 3.8420712706377296`*^9, 3.842077685085354*^9, - 3.842078232721704*^9, 3.842330904335943*^9, 3.842330947153545*^9, - 3.8423371024063387`*^9, 3.84235002923596*^9, 3.8424223626001177`*^9, - 3.842422836179119*^9, 3.842518657364423*^9, 3.842520047624308*^9}, - CellLabel-> - "During evaluation of \ -In[16]:=",ExpressionUUID->"5e2a6bb4-7ccd-42bc-b14a-a202975669c5"] -}, Open ]], + 3.841995249227251*^9, 3.8419952496592083`*^9}, {3.841995323982559*^9, + 3.841995342013027*^9}, {3.841996549668969*^9, 3.841996553267212*^9}, { + 3.841996704350882*^9, 3.841996707910059*^9}, {3.841996888674657*^9, + 3.8419968959214983`*^9}, {3.842330945573291*^9, 3.842330946196393*^9}, { + 3.842684015508815*^9, 3.842684033892668*^9}, {3.8426840696138*^9, + 3.842684090925758*^9}, {3.842684712827132*^9, 3.842684747433868*^9}, { + 3.842684917519058*^9, 3.842684917701166*^9}, 3.842684982816225*^9, { + 3.8426868283520937`*^9, 3.8426868324241533`*^9}, {3.84268877003605*^9, + 3.842688811884371*^9}, {3.8426888568312063`*^9, 3.8426888573011*^9}}, + CellLabel->"In[12]:=",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.11421232427643684`", ",", + RowBox[{"0.006363487991021926`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.3514739735889152`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1957875839013835`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.3497610103287452`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19612583387698465`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.1934946298684155`"}]}], ",", + RowBox[{"-", "2.199941956875278`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2326359515350764`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2401996118460512`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3899455491908591`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.39028245506270876`"}], ",", + RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.014804440592454005`"}]}]}], "}"}]}], "}"}]], "Output", + RowBox[{"-", "0.014909109302639726`"}]}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{{3.841464725026127*^9, 3.8414647403021297`*^9}, 3.8414661034706583`*^9, 3.841466164507646*^9, 3.8417276199972258`*^9, 3.841733575639007*^9, 3.8417351199137087`*^9, 3.841826723584857*^9, @@ -1256,106 +1105,18 @@ Cell[BoxData[ 3.8420711153992767`*^9, 3.842071270954679*^9, 3.8420776854500017`*^9, 3.8420782329786*^9, 3.842330904607053*^9, 3.84233094745854*^9, 3.842337102662011*^9, 3.8423500295150013`*^9, 3.842422362900515*^9, - 3.8424228372702703`*^9, 3.842518658238249*^9, 3.842520047625738*^9}, - CellLabel->"Out[16]=",ExpressionUUID->"cd65ff5b-16a6-4251-b492-a29a4744c41a"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"m11", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"2", ",", - RowBox[{"h", "[", "1", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "3", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "1", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m1", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", - RowBox[{ - RowBox[{"m1", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { - 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, - 3.8418267802857647`*^9}, {3.841826871248399*^9, 3.84182687327967*^9}, { - 3.841826963193843*^9, 3.8418269640493317`*^9}, {3.8419952730999537`*^9, - 3.84199527358777*^9}, {3.8420691561503267`*^9, 3.8420691815253487`*^9}, { - 3.84207773630513*^9, 3.842077737128639*^9}, {3.842330932925227*^9, - 3.8423309335562067`*^9}}, - CellLabel->"In[17]:=",ExpressionUUID->"672771a7-a1bf-4e81-a251-1d2b0d34398b"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "precw", - "\"The precision of the argument function (\\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"}\ -\\\"}]\\)) is less than WorkingPrecision \ -(\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 17, 9, 31644696824622545935, - "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.841826482012022*^9, 3.8418265982231407`*^9}, - 3.8418266678489017`*^9, {3.841826768683412*^9, 3.841826780765348*^9}, - 3.841826875141672*^9, 3.841826929758007*^9, 3.841826964563582*^9, - 3.8419952739331408`*^9, 3.841996568907916*^9, 3.841996906134685*^9, - 3.842003894907547*^9, 3.842069104545673*^9, {3.84206915693228*^9, - 3.8420691824589853`*^9}, {3.842077724841714*^9, 3.842077737819858*^9}, { - 3.842078661680546*^9, 3.8420786765827713`*^9}, {3.8423309121617327`*^9, - 3.842330949894741*^9}, 3.842337103708199*^9, 3.8423500306424713`*^9, - 3.8424223640648746`*^9, 3.8424228401440496`*^9, 3.842518661933456*^9, - 3.8425200642498693`*^9}, - CellLabel-> - "During evaluation of \ -In[17]:=",ExpressionUUID->"16f25f6e-c60e-4f8e-aede-8f4156f4e8ce"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.35147397358894525223450735684857485043`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19578758390135295878905816619304170396`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.19349462986795838376652314061310212138`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.2326359515345835925558116124521192135`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.38994554919088379817648247529054591438`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.01480444059243325862816297503102407804`20."}]}]}], - "}"}]], "Output", - CellChangeTimes->{{3.841826482020074*^9, 3.8418265862239122`*^9}, { - 3.841826659980463*^9, 3.841826667924841*^9}, {3.841826769333158*^9, - 3.841826781359291*^9}, 3.841826875723233*^9, 3.8418269303503838`*^9, - 3.84182696518847*^9, 3.841995274507485*^9, 3.841996569508958*^9, - 3.8419969067210817`*^9, 3.842003895497058*^9, 3.8420691052661533`*^9, { - 3.842069157635578*^9, 3.8420691831628323`*^9}, {3.842077725555573*^9, - 3.8420777385296183`*^9}, {3.8420786623935328`*^9, 3.842078677295776*^9}, { - 3.842330912908163*^9, 3.8423309506236877`*^9}, 3.842337104392415*^9, - 3.842350031357284*^9, 3.84242236479818*^9, 3.8424228410788403`*^9, - 3.842518662641458*^9, 3.8425200649473543`*^9}, - CellLabel->"Out[17]=",ExpressionUUID->"71696ee5-0fd3-4161-bb8a-42196929e12f"] + 3.8424228372702703`*^9, 3.842518658238249*^9, 3.842520047625738*^9, + 3.842522126433864*^9, 3.842522663606366*^9, 3.842524735833218*^9, + 3.842525876494433*^9, 3.8425915016722918`*^9, {3.8425915368159523`*^9, + 3.842591547364382*^9}, 3.842595960310871*^9, {3.842599925468082*^9, + 3.842599949966288*^9}, {3.842683508766377*^9, 3.842683527962514*^9}, { + 3.842684016801947*^9, 3.84268412525108*^9}, 3.842684582284058*^9, { + 3.842684708352618*^9, 3.8426847492818947`*^9}, {3.8426849138654833`*^9, + 3.842684927173637*^9}, {3.8426849813312817`*^9, 3.842684998324686*^9}, { + 3.842685099973398*^9, 3.842685109300309*^9}, 3.842686834534837*^9, + 3.842687716609871*^9, {3.8426887766092243`*^9, 3.842688779723242*^9}, + 3.842688813081666*^9, 3.84268885793502*^9, 3.8429396116125317`*^9}, + CellLabel->"Out[12]=",ExpressionUUID->"40726a7d-a8f4-41f7-ba57-3792c95d26f6"] }, Open ]], Cell[CellGroupData[{ @@ -1365,13 +1126,11 @@ Cell[BoxData[ RowBox[{"annealFit", "[", RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "2", "]"}], ",", "\[Delta]"}], "]"}], "[", "4", - "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "2", "]"}], "]"}]}], ",", "\[Delta]", ",", + RowBox[{"res", "[", + RowBox[{"3", ",", + RowBox[{"h", "[", "2", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "4", "]"}], ",", RowBox[{"Join", "[", RowBox[{ RowBox[{"m1", "[", @@ -1391,126 +1150,96 @@ Cell[BoxData[ 3.842079696973995*^9, 3.842079709908813*^9}, {3.842080958844912*^9, 3.842080971507966*^9}, {3.8420810131822977`*^9, 3.8420810161723013`*^9}, { 3.842081087975649*^9, 3.842081100630134*^9}, {3.842081146161045*^9, - 3.8420812229204082`*^9}, {3.842330964068717*^9, 3.8423309647887774`*^9}}, - CellLabel->"In[18]:=",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], + 3.8420812229204082`*^9}, {3.842330964068717*^9, 3.8423309647887774`*^9}, { + 3.842684106526346*^9, 3.842684205629874*^9}, {3.8426850740415382`*^9, + 3.8426850748155527`*^9}, {3.842686839840394*^9, 3.842686854280414*^9}, { + 3.8426888615498257`*^9, 3.8426888620292683`*^9}}, + CellLabel->"In[13]:=",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ -\"-\\\", \\\"2.129179868262892`*^-307\\\"}], \\\")\\\"}]}]\\) is too small to \ -represent as a normalized machine number; precision may be lost.\"", 2, 18, - 10, 31644696824622545935, "Local"}, + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"1.5293825633486213`*^-307\ +\\\"}]\\) is too small to represent as a normalized machine number; precision \ +may be lost.\"", 2, 13, 1, 31647447333701645841, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842422847302683*^9, 3.842520092820795*^9}, + CellChangeTimes->{ + 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, + 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, + 3.842939614362793*^9}, CellLabel-> "During evaluation of \ -In[18]:=",ExpressionUUID->"00c6f1ea-3eec-4d3f-a9b1-c856f407739b"], +In[13]:=",ExpressionUUID->"5a24fe28-6276-4fd4-95da-e7d41470cfa5"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ -\"-\\\", \\\"1.5158343860408508`*^-307\\\"}], \\\")\\\"}]}]\\) is too small \ -to represent as a normalized machine number; precision may be lost.\"", 2, 18, - 11, 31644696824622545935, "Local"}, + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \ +\\\"7.646912816743107`*^-308\\\"}]\\) is too small to represent as a \ +normalized machine number; precision may be lost.\"", 2, 13, 2, + 31647447333701645841, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842422847302683*^9, 3.84252009289397*^9}, + CellChangeTimes->{ + 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, + 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, + 3.842939614404681*^9}, CellLabel-> "During evaluation of \ -In[18]:=",ExpressionUUID->"49d360c7-8ef7-4563-83c8-b743c60ff9b7"], +In[13]:=",ExpressionUUID->"f0febc88-991c-4815-bd8b-0f06f44c10da"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ -\"-\\\", \\\"1.064589934131446`*^-307\\\"}], \\\")\\\"}]}]\\) is too small to \ -represent as a normalized machine number; precision may be lost.\"", 2, 18, - 12, 31644696824622545935, "Local"}, + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \ +\\\"1.794144034531151`*^-307\\\"}]\\) is too small to represent as a \ +normalized machine number; precision may be lost.\"", 2, 13, 3, + 31647447333701645841, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842422847302683*^9, 3.84252009294631*^9}, + CellChangeTimes->{ + 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, + 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, + 3.842939614446074*^9}, CellLabel-> "During evaluation of \ -In[18]:=",ExpressionUUID->"36901832-ab1d-4868-bcd4-9068ed98c877"], +In[13]:=",ExpressionUUID->"e2b171da-938a-4d19-a0d7-11af06561d02"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"General\\\", \ \\\"::\\\", \\\"munfl\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 18, 13, 31644696824622545935, "Local"}, +during this calculation.\"", 2, 13, 4, 31647447333701645841, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842422847302683*^9, 3.842520092998521*^9}, - CellLabel-> - "During evaluation of \ -In[18]:=",ExpressionUUID->"92ba5879-5df1-4071-95fd-5e396ca115a8"], - -Cell[CellGroupData[{ - -Cell[BoxData["1.4792223746118249`*^-12"], "Print", - CellChangeTimes->{3.8420812260607767`*^9, 3.842330966784256*^9, - 3.8423371079542294`*^9, 3.8423500378924522`*^9, 3.842422367017631*^9, - 3.8424228481657143`*^9, 3.842520093800084*^9}, - CellLabel-> - "During evaluation of \ -In[18]:=",ExpressionUUID->"2eb76cfa-8d88-4fbb-81e1-25a0cd13aa6b"], - -Cell[BoxData["1.4699609964783238`*^-8"], "Print", - CellChangeTimes->{3.8420812260607767`*^9, 3.842330966784256*^9, - 3.8423371079542294`*^9, 3.8423500378924522`*^9, 3.842422367017631*^9, - 3.8424228481657143`*^9, 3.842520094519825*^9}, - CellLabel-> - "During evaluation of \ -In[18]:=",ExpressionUUID->"6f80e87d-2c46-4938-b3b1-da63b3212821"], - -Cell[BoxData["0.00003420810868783384`"], "Print", - CellChangeTimes->{3.8420812260607767`*^9, 3.842330966784256*^9, - 3.8423371079542294`*^9, 3.8423500378924522`*^9, 3.842422367017631*^9, - 3.8424228481657143`*^9, 3.842520095704912*^9}, - CellLabel-> - "During evaluation of \ -In[18]:=",ExpressionUUID->"06a5b04b-2e6f-4043-9f3e-53b5890ebac0"], - -Cell[BoxData["0.0008760468866281332`"], "Print", - CellChangeTimes->{3.8420812260607767`*^9, 3.842330966784256*^9, - 3.8423371079542294`*^9, 3.8423500378924522`*^9, 3.842422367017631*^9, - 3.8424228481657143`*^9, 3.842520096421179*^9}, - CellLabel-> - "During evaluation of \ -In[18]:=",ExpressionUUID->"582458f2-df71-43c8-9dfa-20603aeebeef"], - -Cell[BoxData["8.459323369543354`"], "Print", - CellChangeTimes->{3.8420812260607767`*^9, 3.842330966784256*^9, - 3.8423371079542294`*^9, 3.8423500378924522`*^9, 3.842422367017631*^9, - 3.8424228481657143`*^9, 3.8425200971500463`*^9}, + CellChangeTimes->{ + 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, + 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, + 3.842939614496109*^9}, CellLabel-> "During evaluation of \ -In[18]:=",ExpressionUUID->"b7b06d10-ed9a-43f3-a119-d4e4f7607a04"] -}, Open ]], +In[13]:=",ExpressionUUID->"bcad07b7-c78f-4e35-a48f-14bad35fc031"], Cell[BoxData[ RowBox[{"{", - RowBox[{"8.459323369543354`", ",", + RowBox[{"0.08768579924222823`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.448170534187783`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1956362598741826`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.449037399770833`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1956310634797022`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.243875193310685`"}]}], ",", + RowBox[{"-", "2.2433174743125224`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.297214677276289`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2964274810848326`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.37112702599447517`"}], ",", - + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3707956103394163`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.1085846669730256`"}]}], ",", + RowBox[{"-", "0.10871082597145154`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.003180060111536463`"}]}], ",", + RowBox[{"-", "0.0031747260088083834`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.028954059036173905`"}]}]}], "}"}]}], "}"}]], "Output", + RowBox[{"-", "0.028926044331647412`"}]}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{ 3.841464815669158*^9, 3.841466117830838*^9, 3.8414661767800817`*^9, 3.841485159686406*^9, 3.841727626690813*^9, 3.841733647995943*^9, @@ -1523,114 +1252,15 @@ Cell[BoxData[ 3.8420810189705048`*^9, 3.8420811078387203`*^9, 3.842081151755992*^9, { 3.842081182051322*^9, 3.842081226545164*^9}, 3.842330967144816*^9, 3.8423371084108763`*^9, 3.842350038269466*^9, 3.842422367406248*^9, - 3.842422849491827*^9, 3.842520097152034*^9}, - CellLabel->"Out[18]=",ExpressionUUID->"29eaded3-ea87-4160-b057-a5f3ca985e4f"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"m21", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "2", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "4", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "2", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m2", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", - RowBox[{ - RowBox[{"m2", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { - 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, - 3.8418267802857647`*^9}, {3.841826861255658*^9, 3.841826922184668*^9}, { - 3.8418269725707407`*^9, 3.841826972633595*^9}, {3.841995306564616*^9, - 3.841995310220604*^9}, {3.842069315688878*^9, 3.84206931645564*^9}, { - 3.8420694200901613`*^9, 3.842069420209611*^9}, {3.842069485315439*^9, - 3.842069506987363*^9}, {3.842079675637556*^9, 3.842079676892334*^9}, { - 3.8420797175101213`*^9, 3.842079721644863*^9}, {3.842330972532982*^9, - 3.842331004349412*^9}}, - CellLabel->"In[19]:=",ExpressionUUID->"eaf55068-7c6e-48d1-8e5b-093c2f4b5994"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "precw", - "\"The precision of the argument function \ -({1000000000000000000000000000000 <<1>> (Divide[-2 <<6>>, Power[<<2>>] \ -\[Theta]c] + <<4>>) + <<6>>, <<7>>}) is less than WorkingPrecision \ -(\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 19, 14, 31644696824622545935, - "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.841826482012022*^9, 3.8418265982231407`*^9}, - 3.8418266678489017`*^9, {3.841826768683412*^9, 3.841826780765348*^9}, { - 3.841826898513888*^9, 3.8418269227694597`*^9}, 3.841826973347541*^9, { - 3.8419953073833447`*^9, 3.841995314188479*^9}, 3.841996635157215*^9, - 3.841996921667355*^9, 3.842004616129239*^9, 3.842069276220972*^9, - 3.842069316903061*^9, 3.842069420754609*^9, {3.842069486053893*^9, - 3.842069507761615*^9}, 3.842077855194168*^9, {3.842079670029725*^9, - 3.8420796778177433`*^9}, 3.842079722258478*^9, {3.842330973610955*^9, - 3.842331004742782*^9}, 3.84233710921486*^9, 3.842350039279162*^9, - 3.8424228665107718`*^9, 3.8425201009101257`*^9}, - CellLabel-> - "During evaluation of \ -In[19]:=",ExpressionUUID->"e28ce7ee-abdf-4231-88d8-1a1ff8215362"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.44817053418724950796582532112042580787`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19563625987408769066425376024313335239`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.2438751933085426799037263425203777081`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.29721467727373913007533136173805830926`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.37112702599660298142707565562956898964`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.10858466697367488931698822074374770225`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.00318006011183193050398848254420911332`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.02895405903691393908968373913303400394`20."}]}]}], - "}"}]], "Output", - CellChangeTimes->{{3.841826482020074*^9, 3.8418265862239122`*^9}, { - 3.841826659980463*^9, 3.841826667924841*^9}, {3.841826769333158*^9, - 3.841826781359291*^9}, {3.841826901984071*^9, 3.841826926295443*^9}, - 3.841826976960929*^9, {3.841995312910524*^9, 3.8419953178377438`*^9}, - 3.841996638694839*^9, 3.841996925176203*^9, 3.842004619699828*^9, - 3.8420692803788433`*^9, 3.842069417281849*^9, {3.8420694796216497`*^9, - 3.842069511956089*^9}, 3.842077859280026*^9, {3.8420796719625473`*^9, - 3.84207967940968*^9}, 3.842079726306419*^9, {3.8423309758973*^9, - 3.8423310076177473`*^9}, 3.842337111888616*^9, 3.8423500420307827`*^9, - 3.842422870066044*^9, 3.842520103628222*^9}, - CellLabel->"Out[19]=",ExpressionUUID->"800387cb-98f8-4964-a6db-e2418aeee6f9"] + 3.842422849491827*^9, 3.842520097152034*^9, 3.8425221311333313`*^9, + 3.8425226736486063`*^9, 3.842524740843989*^9, 3.842525880870483*^9, { + 3.842591643553338*^9, 3.8425916667378473`*^9}, 3.842595977939357*^9, + 3.8425999757183447`*^9, 3.842683530200521*^9, {3.8426841190974216`*^9, + 3.842684146243494*^9}, {3.842684193399394*^9, 3.842684212191085*^9}, + 3.842684585139443*^9, 3.8426850355674686`*^9, {3.8426850695328503`*^9, + 3.8426850888395967`*^9}, 3.842686856923085*^9, 3.842687720314756*^9, + 3.842688864582823*^9, 3.842939614697124*^9}, + CellLabel->"Out[13]=",ExpressionUUID->"ae92cda0-8cb1-4b46-b9fb-7b158645793b"] }, Open ]], Cell[CellGroupData[{ @@ -1640,21 +1270,20 @@ Cell[BoxData[ RowBox[{"annealFit", "[", RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "3", "]"}], ",", "\[Delta]"}], "]"}], "[", "5", - "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "3", "]"}], "]"}]}], ",", "\[Delta]", ",", + RowBox[{"res", "[", + RowBox[{"3", ",", + RowBox[{"h", "[", "3", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "5", "]"}], ",", RowBox[{"Join", "[", RowBox[{ RowBox[{"m2", "[", RowBox[{"[", "2", "]"}], "]"}], ",", RowBox[{"{", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "->", "0"}], "}"}]}], "]"}]}], - "]"}]}]], "Input", + RowBox[{"gC", "[", "3", "]"}], "->", "0"}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "2"}]]}], "]"}]}]], "Input", CellChangeTimes->{{3.841464843337102*^9, 3.841464855633377*^9}, { 3.841485164363418*^9, 3.8414851683768*^9}, {3.8418272638322353`*^9, 3.841827269478693*^9}, {3.84182733709054*^9, 3.841827341167844*^9}, { @@ -1667,77 +1296,39 @@ Cell[BoxData[ 3.842081248067449*^9, 3.842081266249155*^9}, {3.842081300203639*^9, 3.842081303641553*^9}, {3.842081352812458*^9, 3.842081364659107*^9}, { 3.842331025293833*^9, 3.842331035581976*^9}, {3.8423500550900373`*^9, - 3.8423500619540243`*^9}, 3.842350096428383*^9}, - CellLabel->"In[20]:=",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], - -Cell[CellGroupData[{ - -Cell[BoxData["3.44809782900676`*^-11"], "Print", - ShowCellLabel->True, - CellChangeTimes->{3.8423500691890783`*^9, 3.84235010008107*^9, - 3.842350213530634*^9, 3.842422876045011*^9, 3.842520128304534*^9}, - CellLabel-> - "During evaluation of \ -In[20]:=",ExpressionUUID->"a772da9e-f6b7-4a32-8a5f-5eb23b3ae4bc"], - -Cell[BoxData["3.120935894784196`*^-7"], "Print", - ShowCellLabel->True, - CellChangeTimes->{3.8423500691890783`*^9, 3.84235010008107*^9, - 3.842350213530634*^9, 3.842422876045011*^9, 3.8425201309767733`*^9}, - CellLabel-> - "During evaluation of \ -In[20]:=",ExpressionUUID->"610985db-17e7-4ade-8d8f-698e9a63230f"], - -Cell[BoxData["0.00013783702408395518`"], "Print", - ShowCellLabel->True, - CellChangeTimes->{3.8423500691890783`*^9, 3.84235010008107*^9, - 3.842350213530634*^9, 3.842422876045011*^9, 3.842520133011567*^9}, - CellLabel-> - "During evaluation of \ -In[20]:=",ExpressionUUID->"3bdf0982-399e-4f2d-9a07-abb77786cd5f"], - -Cell[BoxData["0.002261584433544737`"], "Print", - ShowCellLabel->True, - CellChangeTimes->{3.8423500691890783`*^9, 3.84235010008107*^9, - 3.842350213530634*^9, 3.842422876045011*^9, 3.842520134913824*^9}, - CellLabel-> - "During evaluation of \ -In[20]:=",ExpressionUUID->"8b1715a6-b797-49cc-ac9f-e372f328bbc3"], - -Cell[BoxData["21.110718242707467`"], "Print", - ShowCellLabel->True, - CellChangeTimes->{3.8423500691890783`*^9, 3.84235010008107*^9, - 3.842350213530634*^9, 3.842422876045011*^9, 3.842520136821218*^9}, + 3.8423500619540243`*^9}, 3.842350096428383*^9, {3.842684233945053*^9, + 3.8426842551309147`*^9}, {3.842686859825161*^9, 3.8426868713447027`*^9}, { + 3.842688868821604*^9, 3.842688869325324*^9}, {3.843107546051009*^9, + 3.843107574732148*^9}}, CellLabel-> - "During evaluation of \ -In[20]:=",ExpressionUUID->"fed30cbf-df6b-4a3e-bcda-5e2b12daee1a"] -}, Open ]], + "In[291]:=",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], Cell[BoxData[ RowBox[{"{", - RowBox[{"21.110718242707467`", ",", + RowBox[{"0.06252382881617301`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.4723266158274688`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19553682900120606`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.4714751697840263`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1955308023159779`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.232269708123933`"}]}], ",", + RowBox[{"-", "2.231827971980599`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2807104230195974`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.280129052120687`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.36318368938518`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3631670538022145`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.11437038495453454`"}]}], ",", + RowBox[{"-", "0.1135931680004365`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.0031926864989107536`"}]}], ",", + RowBox[{"-", "0.0031399391233768725`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.029035647352127002`"}]}], ",", + RowBox[{"-", "0.028808882001466706`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "2.1231403623385694`*^-6"}]}], - "}"}]}], "}"}]], "Output", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "4.2322753340627116`*^-7"}]}]}], "}"}]}], "}"}]], "Output",\ + CellChangeTimes->{ 3.8414648792576437`*^9, 3.841466213483655*^9, 3.841485177503057*^9, 3.841727640264741*^9, 3.841733779145525*^9, 3.8417351450580807`*^9, @@ -1750,138 +1341,28 @@ Cell[BoxData[ 3.842081307800519*^9, 3.8420813690203323`*^9, {3.842331030330819*^9, 3.842331039562725*^9}, 3.8423371156656322`*^9, {3.84235008979161*^9, 3.842350100623603*^9}, 3.842350214062072*^9, 3.842422877617168*^9, - 3.842520136823037*^9}, - CellLabel->"Out[20]=",ExpressionUUID->"debbba60-37bd-46cc-856f-b506dc1254bf"] + 3.842520136823037*^9, 3.842522138144862*^9, 3.842522686192708*^9, + 3.842524754608832*^9, 3.84252589061705*^9, 3.842591749857016*^9, + 3.842596015417769*^9, 3.842683535184237*^9, {3.842684241491486*^9, + 3.842684287348134*^9}, {3.842684595785584*^9, 3.842684606123411*^9}, + 3.842686874990638*^9, 3.842687724707451*^9, 3.842688875012278*^9, + 3.842939620828459*^9, {3.843107553124093*^9, 3.843107593477028*^9}}, + CellLabel-> + "Out[291]=",ExpressionUUID->"b68b4626-9db5-48b8-b533-e358a6253859"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"m31", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - RowBox[{"Most", "@", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "3", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "5", "]"}], "2"]}], "]"}], - "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "3", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m3", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", - RowBox[{ - RowBox[{"m3", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { - 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, - 3.8418267802857647`*^9}, {3.841826861255658*^9, 3.841826922184668*^9}, { - 3.8418269725707407`*^9, 3.841826994313775*^9}, {3.8418270452029877`*^9, - 3.841827053242969*^9}, {3.841827284791814*^9, 3.841827288375248*^9}, { - 3.841827347088809*^9, 3.841827349848246*^9}, {3.841995443303062*^9, - 3.841995443783021*^9}, {3.842069637926805*^9, 3.8420696394776506`*^9}, { - 3.842069680406251*^9, 3.8420696917106247`*^9}, {3.8420780240393476`*^9, - 3.842078024133814*^9}, {3.842078738892099*^9, 3.842078747323058*^9}, { - 3.842079304438066*^9, 3.842079337742092*^9}, {3.8423310831031437`*^9, - 3.842331089327276*^9}}, - CellLabel->"In[21]:=",ExpressionUUID->"0355409d-8b8c-45c1-9506-a20c5fe4044b"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "precw", - "\"The precision of the argument function \ -({1000000000000000000000000000000 <<1>> (Divide[-2 <<6>>, Power[<<2>>] \ -\[Theta]c] + <<4>>) + <<6>>, <<8>>}) is less than WorkingPrecision \ -(\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 21, 15, 31644696824622545935, - "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.841826482012022*^9, 3.8418265982231407`*^9}, - 3.8418266678489017`*^9, {3.841826768683412*^9, 3.841826780765348*^9}, { - 3.841826898513888*^9, 3.8418269227694597`*^9}, 3.841826973347541*^9, - 3.84182705459556*^9, 3.841827294890627*^9, 3.841827359251132*^9, - 3.841995444288589*^9, 3.84199698679467*^9, 3.842069594193355*^9, - 3.8420696400572433`*^9, {3.842069681691224*^9, 3.842069692526046*^9}, { - 3.842078011660905*^9, 3.842078028380089*^9}, {3.842078742931691*^9, - 3.84207876631013*^9}, 3.842079307426499*^9, {3.8420793395149918`*^9, - 3.8420793569538507`*^9}, 3.842079606899269*^9, 3.842079775925702*^9, - 3.842331090442833*^9, 3.8423371161724157`*^9, 3.8423502314663477`*^9, - 3.842422879244008*^9, 3.8425201410128117`*^9}, - CellLabel-> - "During evaluation of \ -In[21]:=",ExpressionUUID->"a68111da-af1c-48eb-83ed-c30554aaee03"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.47232661581950574730831794625266003401`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19553682899976941980608212920636866396`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.23226970807859892707817600113955357783`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.28071042296210436756848271479786681478`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.36318368943255909242662724964156499031`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.11437038496255228667232917314953286605`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.00319268651089377624375192882529810986`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.02903564736845887811844033076865366934`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "2.12314148923434969689902169745319`20.*^-6"}]}], "}"}]], "Output", - CellChangeTimes->{{3.841826482020074*^9, 3.8418265862239122`*^9}, { - 3.841826659980463*^9, 3.841826667924841*^9}, {3.841826769333158*^9, - 3.841826781359291*^9}, {3.841826901984071*^9, 3.841826926295443*^9}, - 3.841826976960929*^9, 3.841827061597597*^9, 3.841827305149766*^9, - 3.841827366006094*^9, 3.841995451652528*^9, 3.8419969937253017`*^9, - 3.842069602064939*^9, 3.8420696477136173`*^9, {3.8420696893462677`*^9, - 3.84206970020901*^9}, {3.842078019842236*^9, 3.842078036260553*^9}, { - 3.842078754635845*^9, 3.8420787781035547`*^9}, {3.8420793154039927`*^9, - 3.842079368924656*^9}, 3.842079613766201*^9, 3.842079779552711*^9, - 3.842331098572027*^9, 3.8423371241402693`*^9, 3.84235023965408*^9, - 3.842422889566606*^9, 3.842520149474403*^9}, - CellLabel->"Out[21]=",ExpressionUUID->"df2cdb7c-a098-4c70-b978-b4647d156ee2"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"m4", "=", - RowBox[{"annealFit", "[", + RowBox[{"m4", "=", + RowBox[{"annealFit", "[", RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"4", ",", - RowBox[{"h", "[", "4", "]"}], ",", "\[Delta]"}], "]"}], "[", "6", - "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "4", "]"}], "]"}]}], ",", "\[Delta]", ",", + RowBox[{"res", "[", + RowBox[{"4", ",", + RowBox[{"h", "[", "4", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "6", "]"}], ",", RowBox[{"Join", "[", RowBox[{ RowBox[{"m3", "[", @@ -1891,8 +1372,9 @@ Cell[BoxData[ RowBox[{ RowBox[{"gC", "[", "4", "]"}], "->", "0"}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "->", "0"}]}], "}"}]}], "]"}]}], - "]"}]}]], "Input", + RowBox[{"A", "[", "4", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "3"}]]}], "]"}]}]], "Input", CellChangeTimes->{{3.8414649234989033`*^9, 3.841464929562983*^9}, 3.8414650365927067`*^9, {3.841466519588132*^9, 3.8414665280647097`*^9}, { 3.841466604931347*^9, 3.8414666419938307`*^9}, {3.8414666855258636`*^9, @@ -1909,82 +1391,92 @@ Cell[BoxData[ 3.842080288543285*^9}, 3.842080409972135*^9, {3.842080584925744*^9, 3.8420805890525837`*^9}, {3.84208137234144*^9, 3.8420814145320263`*^9}, { 3.842081680882601*^9, 3.842081699569503*^9}, {3.84233110907162*^9, - 3.842331118191568*^9}}, - CellLabel->"In[22]:=",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], - -Cell[CellGroupData[{ - -Cell[BoxData["1.2936696854695982`*^-9"], "Print", - CellChangeTimes->{3.8420814291640177`*^9, 3.842081710602597*^9, - 3.842331128307437*^9, 3.8423371339033327`*^9, 3.8423502572964067`*^9, - 3.842422912272381*^9, 3.8425202748843203`*^9}, - CellLabel-> - "During evaluation of \ -In[22]:=",ExpressionUUID->"b414c521-270d-4565-855e-e494ea5d02ce"], + 3.842331118191568*^9}, {3.842684312154997*^9, 3.842684343987566*^9}, { + 3.8426851236186237`*^9, 3.842685123808796*^9}, {3.842686890593161*^9, + 3.842686899497368*^9}, {3.842688881398118*^9, 3.842688882653798*^9}, { + 3.842939642760597*^9, 3.842939644575281*^9}}, + CellLabel->"In[17]:=",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], -Cell[BoxData["3.525122035525258`*^-7"], "Print", - CellChangeTimes->{3.8420814291640177`*^9, 3.842081710602597*^9, - 3.842331128307437*^9, 3.8423371339033327`*^9, 3.8423502572964067`*^9, - 3.842422912272381*^9, 3.8425202804629307`*^9}, +Cell[BoxData[ + TemplateBox[{ + "General", "munfl", + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"1.6171220844600463`*^-307\ +\\\"}]\\) is too small to represent as a normalized machine number; precision \ +may be lost.\"", 2, 17, 9, 31647447333701645841, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.8429396591103697`*^9}, CellLabel-> "During evaluation of \ -In[22]:=",ExpressionUUID->"7827ccd0-1803-42be-b211-7918b507627c"], +In[17]:=",ExpressionUUID->"1ed89cf4-fd5c-48bc-bead-0c386fce6f08"], -Cell[BoxData["0.00003884604601810995`"], "Print", - CellChangeTimes->{3.8420814291640177`*^9, 3.842081710602597*^9, - 3.842331128307437*^9, 3.8423371339033327`*^9, 3.8423502572964067`*^9, - 3.842422912272381*^9, 3.842520286052115*^9}, +Cell[BoxData[ + TemplateBox[{ + "General", "munfl", + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"2.1579197778306597`*^-307\ +\\\"}]\\) is too small to represent as a normalized machine number; precision \ +may be lost.\"", 2, 17, 10, 31647447333701645841, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.842939659198283*^9}, CellLabel-> "During evaluation of \ -In[22]:=",ExpressionUUID->"ceb7f518-14bb-487a-a694-5b2e71ba97de"], +In[17]:=",ExpressionUUID->"2bc8ebfc-4ed7-4408-9239-534aa27339a8"], -Cell[BoxData["0.023409774027878902`"], "Print", - CellChangeTimes->{3.8420814291640177`*^9, 3.842081710602597*^9, - 3.842331128307437*^9, 3.8423371339033327`*^9, 3.8423502572964067`*^9, - 3.842422912272381*^9, 3.842520295462867*^9}, +Cell[BoxData[ + TemplateBox[{ + "General", "munfl", + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \ +\\\"8.085610422300231`*^-308\\\"}]\\) is too small to represent as a \ +normalized machine number; precision may be lost.\"", 2, 17, 11, + 31647447333701645841, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.8429396592803783`*^9}, CellLabel-> "During evaluation of \ -In[22]:=",ExpressionUUID->"298212be-9307-4926-9480-b78f754e1cd0"], +In[17]:=",ExpressionUUID->"ac9b937b-6466-471b-99dc-173a1c6d2133"], -Cell[BoxData["232.19758653115764`"], "Print", - CellChangeTimes->{3.8420814291640177`*^9, 3.842081710602597*^9, - 3.842331128307437*^9, 3.8423371339033327`*^9, 3.8423502572964067`*^9, - 3.842422912272381*^9, 3.842520300886169*^9}, +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"General\\\", \ +\\\"::\\\", \\\"munfl\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ +during this calculation.\"", 2, 17, 12, 31647447333701645841, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.842939659362919*^9}, CellLabel-> "During evaluation of \ -In[22]:=",ExpressionUUID->"d345e549-ce7d-4422-bbbb-e33864e5d5d2"] -}, Open ]], +In[17]:=",ExpressionUUID->"f9529706-6eef-4cd9-a0cd-121ca1a87f4c"], Cell[BoxData[ RowBox[{"{", - RowBox[{"232.19758653115764`", ",", + RowBox[{"0.022887672295205563`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.4074417639847316`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1972173976520884`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.435757396344316`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19736542866520598`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.4177837979533185`"}]}], ",", + RowBox[{"-", "2.3981472287670393`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5373121395544191`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5091177600111922`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.43024312417557986`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.39200424741821555`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.2057950586096998`"}]}], ",", + RowBox[{"-", "0.19533215126551273`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.008409351364843`"}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.029301113923157842`"}], + ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.09420753492441511`"}]}], ",", + RowBox[{"-", "0.09002270806803321`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.004260541252050559`"}], + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.003990623031590741`"}], ",", RowBox[{ RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.0002593293131768659`"}]}], ",", + RowBox[{"-", "0.00011912993661574494`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.011741242914511316`"}]}], + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.01723324643602473`"}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{ 3.841465025789603*^9, 3.8414651161396313`*^9, 3.841466522687828*^9, @@ -2000,279 +1492,281 @@ Cell[BoxData[ 3.842080245811408*^9, 3.842080332954257*^9, 3.842080431518053*^9, 3.842080625337432*^9, {3.842081406500411*^9, 3.8420814324198103`*^9}, 3.8420817204515057`*^9, 3.842331129758831*^9, 3.842337135231529*^9, - 3.842350258463331*^9, 3.842422914621627*^9, 3.842520300921358*^9}, - CellLabel->"Out[22]=",ExpressionUUID->"938aea41-c28f-491d-ba52-d97ba5435c83"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"m41", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"4", ",", - RowBox[{"h", "[", "4", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "4", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m4", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", - RowBox[{ - RowBox[{"m4", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { - 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, - 3.8418267802857647`*^9}, {3.841826861255658*^9, 3.841826922184668*^9}, { - 3.8418269725707407`*^9, 3.841826994313775*^9}, {3.8418270452029877`*^9, - 3.841827053242969*^9}, {3.8418271119240437`*^9, 3.84182712854834*^9}, { - 3.841827636088339*^9, 3.841827638701561*^9}, {3.841995593089758*^9, - 3.84199559350574*^9}, {3.842070192752548*^9, 3.842070194879615*^9}, { - 3.842078189242496*^9, 3.842078189329015*^9}, {3.842078992623692*^9, - 3.842079000527603*^9}, 3.842080274095665*^9, {3.842331139656066*^9, - 3.842331145384016*^9}}, - CellLabel->"In[23]:=",ExpressionUUID->"05fba3a3-cdb1-4967-ac49-78ffed60b2dc"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.40744176398473164191216255858307704329`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19721739765208839978427590722276363522`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.41778379795331854751339051290415227413`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.53731213955441914364996591757517307997`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.43024312417557986076843690170790068805`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.20579505860969979358365833377320086583`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - "0.00840935136484300023440585647449552198`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.09420753492441510945631932827382115647`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.00426054125205055887748439857887206017`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.00025932931317686587968304734452829052`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.01174124291451131632535442861353658373`20."}]}], "}"}]], "Output", - CellChangeTimes->{{3.841826482020074*^9, 3.8418265862239122`*^9}, { - 3.841826659980463*^9, 3.841826667924841*^9}, {3.841826769333158*^9, - 3.841826781359291*^9}, {3.841826901984071*^9, 3.841826926295443*^9}, - 3.841826976960929*^9, 3.841827061597597*^9, 3.841827625662114*^9, - 3.841827712512493*^9, 3.841995626523354*^9, 3.842069914413797*^9, - 3.8420702316182823`*^9, 3.8420790068266287`*^9, 3.842079060023765*^9, - 3.842331146715291*^9, 3.842337135321105*^9, 3.8423504037428513`*^9, - 3.842423010748066*^9, 3.842520304123062*^9}, - CellLabel->"Out[23]=",ExpressionUUID->"2a585b59-ba0b-4178-8652-14ea398e9072"] + 3.842350258463331*^9, 3.842422914621627*^9, 3.842520300921358*^9, + 3.842522170672875*^9, 3.842522709159134*^9, 3.842524787519485*^9, + 3.842525918290428*^9, 3.842591965284109*^9, 3.8425930322238503`*^9, { + 3.8425962448099003`*^9, 3.84259626059803*^9}, 3.842596465859194*^9, + 3.84259659587401*^9, 3.8425968948300667`*^9, 3.8425970957348948`*^9, + 3.842683555724093*^9, {3.842684315768067*^9, 3.842684333014844*^9}, + 3.842684364689314*^9, 3.84268439701243*^9, 3.842684631120551*^9, + 3.842685124486528*^9, 3.842685208628757*^9, 3.842686910745422*^9, + 3.842687741468136*^9, 3.8426888994788837`*^9, {3.842939629109034*^9, + 3.842939660855831*^9}}, + CellLabel->"Out[17]=",ExpressionUUID->"5c1d6394-093e-42c9-b567-0392ee8b8dd0"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"m5", "=", - RowBox[{"annealFit", "[", - RowBox[{ + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", RowBox[{ RowBox[{ RowBox[{"res", "[", RowBox[{"4", ",", - RowBox[{"h", "[", "5", "]"}], ",", "\[Delta]"}], "]"}], "[", "7", - "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "5", "]"}], "]"}]}], ",", "\[Delta]", ",", - RowBox[{"Join", "[", - RowBox[{ - RowBox[{"m4", "[", - RowBox[{"[", "2", "]"}], "]"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "->", "0"}], "}"}]}], "]"}]}], - "]"}]}]], "Input", + RowBox[{"h", "[", "5", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "10"}]]}], "]"}], "[", "7", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"m4", "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "->", "0"}], "}"}]}], "]"}]}], + "]"}]}]}]], "Input", CellChangeTimes->CompressedData[" -1:eJwdxVkow3EAB/AZzZUSW3LUWPLkCkPOhs19pG3Nw4Si1IzEKA8eZFKWqRUe -bMuRozTHWsyRtEaNomyUY45E+UshauX4ff8Pnz4xTe21zUwGg8El6Md67KN6 -ShD3Rx1h1fWFG/PftHdYORzyhENY9c94Izv2C2dy3d/4waJJ1JLZDexkrO64 -NFnJ8Wf3a3h/2HWLWau/9Pmu/uIt8oJIU4bf2TuiW3JAS3cJPpD2qjFHEjmE -czVOA7589dC7HoWb9+QXYaAVHzO79rAu2GHDy5xehbeBEtj7utrwFW89x4fs -F1Geiz/vakpxg2i8EjuW28Q4QS6TYPvkaVEQ+fBNIcJpUr1xgHzwET6D8zwV -s2pyyvkNPTVdWzVEbp0KrcbmKGsdPk91y7FpzqI1k/3dS2M4zCnewLae7U2c -pdtVsYyUQNHooLeeFJnwyIvXCn5Qcri+5ADmYDRuCeMX44JQSTlWReZ8JpEf -M9K/cOfijzSZXObhyfDE6XjhEpmabxbif0ZHC+A= - "], - CellLabel->"In[24]:=",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], - -Cell[BoxData[ - TemplateBox[{ - "CompiledFunction", "cfn", - "\"Numerical error encountered at instruction \ -\\!\\(\\*RowBox[{\\\"250\\\"}]\\); proceeding with uncompiled evaluation.\"", - 2, 21, 9, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842331223286809*^9, 3.842337173289276*^9, - 3.8423504445007057`*^9, 3.842423051711693*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[21]:=",ExpressionUUID->"d267196e-1adf-4340-bf87-ced098902857"], - -Cell[BoxData["1.2190786742441977`"], "Print", - CellChangeTimes->{3.842331227156126*^9, 3.8423371617088213`*^9, - 3.842350448124104*^9, 3.842423053811371*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[21]:=",ExpressionUUID->"6dcb5f73-de42-4d95-8d1c-ce70ed8b403d"], +1:eJwd0d1Lk3EchvG1YpYahG6IGUwlKuhFqdRILWdu1swUcY/rYKGCkrJZhE0j +RCKdCA4nCOZB014kFWSlQ3TpqLE2aQZJbQZacxPR8Al7UauB2ff+HVx8/oAr +ofxGUYVQIBAcoaC0o87VbuZlh7b5aaj//MkPU9ZMAVjTGrUMo0TXVuBY+sFN +eEbq/w0XR40nTKS4VJwMDTfnLDby2MfgMHS0+hag6MU/5nlfY+5Lsl9hVMKf +4knFAhleefsidHP1BihRxbXATKO3B859CzF9S/LxILkqj7DBd8LaV7Bzn8cJ +hyT12p09vMx1t1YH5xNHMnaRu/fnZcL1QOElWKroyoeeIV0xPK5Rq6CreyZn +Lzm1plXA05y59z7p/hX7BJ4LXX5qIE/OfmHyj4uutJBVD6MLoPWA7SqcPeXX +QEvfqMlK7vEPdsAYb/EYdNZNjMOznXa9qJeXacs8TNv7HAtsW93xHC7WSKRh +ZLiwOR5WxqTkwuxoVR7Ux2WsJ5FLaamb8NbAFpdMKkOJavhgpuvCIMk/q5DD +MJ1Xc/QRfbEvM4WpDo4j5/MPl0BrU/dr2DdxzwEj21V/SsjvTfa/sNrk3oZT +gWnmhrL5jpoMvjUwnV/tDVAsesM0J2X9gBFlW8zrK+nlH0j1xiTzP30vXOA= -Cell[BoxData[ - TemplateBox[{ - "CompiledFunction", "cfn", - "\"Numerical error encountered at instruction \ -\\!\\(\\*RowBox[{\\\"250\\\"}]\\); proceeding with uncompiled evaluation.\"", - 2, 21, 10, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842331223286809*^9, 3.842337173289276*^9, - 3.8423504445007057`*^9, 3.842423062793107*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[21]:=",ExpressionUUID->"73d2af64-e156-476b-b530-97584d8eefe8"], + "], + CellLabel->"In[19]:=",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], Cell[CellGroupData[{ -Cell[BoxData["4054.5177210183297`"], "Print", - CellChangeTimes->{3.842331227156126*^9, 3.8423371617088213`*^9, - 3.842350448124104*^9, 3.842423081121583*^9}, +Cell["\<\ +General::munfl: + -307 + 0.1 1.66577 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842939742369667*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[21]:=",ExpressionUUID->"8af29d72-41a6-4442-aad7-16107b894e66"], + "Remote kernel",ExpressionUUID->"d88f05b7-867e-4007-b490-523af8835859"], -Cell[BoxData["0.0002196887817923757`"], "Print", - CellChangeTimes->{3.842331227156126*^9, 3.8423371617088213`*^9, - 3.842350448124104*^9, 3.842423095918722*^9}, +Cell["\<\ +General::munfl: + -308 + 0.1 8.32884 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8429397432530947`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[21]:=",ExpressionUUID->"a9adc904-9f2a-4e38-91f4-910de9eba662"], + "Remote kernel",ExpressionUUID->"6e79534c-a26a-442a-96ea-7a3db22b040d"], -Cell[BoxData["0.013563817782428662`"], "Print", - CellChangeTimes->{3.842331227156126*^9, 3.8423371617088213`*^9, - 3.842350448124104*^9, 3.842423096640314*^9}, +Cell["\<\ +General::munfl: + -307 + 0.1 1.13721 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842939744120633*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[21]:=",ExpressionUUID->"b58521a1-0684-437b-be5c-14cdd769b465"], + "Remote kernel",ExpressionUUID->"c2b325db-60f5-4577-b04a-1ef2e7ea4b07"], -Cell[BoxData["52.21381172124036`"], "Print", - CellChangeTimes->{3.842331227156126*^9, 3.8423371617088213`*^9, - 3.842350448124104*^9, 3.842423097518188*^9}, +Cell["\<\ +General::stop: Further output of General::munfl + will be suppressed during this calculation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8429397441576977`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[21]:=",ExpressionUUID->"7e67bec4-03db-44d0-9908-21fa036b90d7"] + "Remote kernel",ExpressionUUID->"f6bbed36-a30c-4318-a016-b54804343acb"] }, Open ]], Cell[BoxData[ RowBox[{"{", - RowBox[{"52.21381172124036`", ",", + RowBox[{"0.00004438027751226374`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.3163026519059013`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1967388947960897`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.4323668647862395`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19730236197017473`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.5090008381606177`"}]}], ",", + RowBox[{"-", "2.42285516121067`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.6703108758613683`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5453691206248084`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5630676650610293`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4131963567936482`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.25713549988259793`"}]}], ",", + RowBox[{"-", "0.22076846011611728`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.03961674360869956`"}]}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.036245425139259865`"}], + ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.14571077262078203`"}]}], ",", + RowBox[{"-", "0.12160894976267282`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.00475222050826654`"}], ",", - RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", "0.0015915670559433846`"}], + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.010119794698736752`"}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.003771079441607323`"}], ",", - RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.00040849311277858444`"}]}]}], "}"}]}], "}"}]], "Output",\ - - CellChangeTimes->{3.8413939151770983`*^9, 3.841398118546665*^9, - 3.841398237839446*^9, 3.8413995587444963`*^9, 3.8414653249934998`*^9, - 3.841466926914564*^9, 3.841466986860621*^9, 3.841467043482155*^9, - 3.84146709424573*^9, 3.841485467638752*^9, 3.841727748862999*^9, - 3.841727845501019*^9, 3.8417339203216867`*^9, 3.841734014800623*^9, - 3.841735351995893*^9, 3.8417353959882593`*^9, 3.8417354896902313`*^9, - 3.841828070375112*^9, 3.841828416853786*^9, 3.841995813054018*^9, - 3.841996254320202*^9, 3.841997122449973*^9, 3.841997394648814*^9, - 3.841997465320455*^9, 3.842080768211817*^9, 3.842081567020575*^9, - 3.842331172701756*^9, 3.842331261127962*^9, 3.842337177456024*^9, - 3.842350482004504*^9, 3.842423099140039*^9}, - CellLabel-> - "(Sident) Out[21]=",ExpressionUUID->"7cf72793-a032-4a28-b655-b0ffbb6596bc"] + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.001143426002373578`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.026345666471523435`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.00010094806835660409`"}]}], + "}"}]}], "}"}]], "Output", + CellChangeTimes->CompressedData[" +1:eJwVzk0ogwEcx/FHYxvloDQrLiRkbcleyMUeTXlpaOR1aW0mheawUhuWrClN +bnYYe7aa0kxbs1JbaXLYSzK22FamoXZgue0ixv9/+PW5/A7fRqVGpi4jCEII +i7nUVM5WIAe2Htxv4NVzIIh+JFKKdzAUozZpVIFskGT7y0Hh3pwUvV/VTKAV +2fAserqmHa8GvcdW+w44w/S60b7hKqcJ9NsyHnTu5W9yF0xQBjmqYImW0E/i +9sgPdsnqI6gx4DDS7QWS3RsLorzFkTYGyLqZGEI5ZPs4KgjTiQ5w/zSnQqPa +Q4kLlLCdo2jIwuOfg/lmse8C5OsXWlNg7UlEx3DAr3RtQNNB4gDt4QniqJxb +l2GCyjufqhKsEb3KOaDJ7FlHv36TTVyw/czsRzukLRnU+5SenwR1FnUEVWqj +cfRxXVyaAru9eto0uOzKqdDIZX4DHeM4ttHwoLWIfouKqiT2/XSuoP/XTt6m + + "], + CellLabel->"Out[19]=",ExpressionUUID->"8774a6d9-0286-4a73-9c47-aea4f47d539e"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"m51", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", + RowBox[{"m6", "=", + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{"5", ",", + RowBox[{"h", "[", "6", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "6"}]]}], "]"}], "[", "8", "]"}], ",", + RowBox[{"Join", "[", RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"4", ",", - RowBox[{"h", "[", "5", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "7", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "5", "]"}], "]"}]}], ",", + RowBox[{"m5", "[", + RowBox[{"[", "2", "]"}], "]"}], ",", RowBox[{"{", - RowBox[{"m5", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "->", "0"}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "3"}]]}], "]"}]}]}]], "Input", + CellChangeTimes->{{3.841228339736465*^9, 3.841228391406146*^9}, { + 3.841228542777034*^9, 3.8412285565292*^9}, {3.841228600579276*^9, + 3.841228610938241*^9}, {3.84122872113229*^9, 3.841228734972561*^9}, { + 3.841229172428575*^9, 3.8412291846367283`*^9}, {3.841316222857486*^9, + 3.8413162470884*^9}, {3.841316614704316*^9, 3.8413166159591093`*^9}, { + 3.841317435994903*^9, 3.841317460414875*^9}, {3.841393205908807*^9, + 3.841393219668706*^9}, {3.841393715760593*^9, 3.841393720854136*^9}, { + 3.841393918673491*^9, 3.841393919841535*^9}, {3.841398218184306*^9, + 3.841398224352285*^9}, {3.841398275625342*^9, 3.8413983103457737`*^9}, { + 3.841400233517682*^9, 3.841400233589602*^9}, {3.84140027279879*^9, + 3.841400307999161*^9}, {3.8414653492274017`*^9, 3.841465359690486*^9}, { + 3.841467146790586*^9, 3.841467154468336*^9}, {3.8414672867052107`*^9, + 3.841467288319788*^9}, {3.8414674241193123`*^9, 3.84146742590688*^9}, { + 3.841467918583892*^9, 3.841467924052815*^9}, {3.8414839410370197`*^9, + 3.841483941090184*^9}, {3.841484892766676*^9, 3.841484897275704*^9}, { + 3.841485506193528*^9, 3.841485507759054*^9}, {3.841730357058971*^9, + 3.8417303681386843`*^9}, {3.8417306441451807`*^9, 3.841730655351933*^9}, { + 3.8417309381194973`*^9, 3.841730945469379*^9}, {3.841734059559957*^9, + 3.84173406172647*^9}, {3.841734285483835*^9, 3.8417342940989227`*^9}, { + 3.8417355326753674`*^9, 3.8417355405054827`*^9}, {3.841828611487206*^9, + 3.841828622255392*^9}, {3.841828675040432*^9, 3.841828684736364*^9}, { + 3.841997542245502*^9, 3.8419975516374683`*^9}, {3.842080664614111*^9, + 3.842080665022011*^9}, {3.84208163711196*^9, 3.842081645968207*^9}, { + 3.842081747930049*^9, 3.84208175460223*^9}, {3.842082039052825*^9, + 3.8420820492397423`*^9}, {3.8423371230052137`*^9, 3.842337136476829*^9}, { + 3.842523090019842*^9, 3.84252309266542*^9}, {3.8425250197593327`*^9, + 3.84252504132528*^9}, {3.842525296842931*^9, 3.842525301753889*^9}, { + 3.842525379884775*^9, 3.842525395571744*^9}, {3.842525543904497*^9, + 3.842525544198461*^9}, {3.842525905156863*^9, 3.842525907468851*^9}, { + 3.8425885696042757`*^9, 3.84258858073207*^9}, {3.842588792656406*^9, + 3.8425888202643538`*^9}, {3.8426812946518803`*^9, 3.842681303046391*^9}, { + 3.8426836026128674`*^9, 3.842683605724791*^9}, {3.8426837253751593`*^9, + 3.842683725486979*^9}, {3.842685229708494*^9, 3.842685239291025*^9}, { + 3.842686938041917*^9, 3.842686952634119*^9}, {3.842687006283224*^9, + 3.8426870064672337`*^9}, {3.842687150575683*^9, 3.842687150637838*^9}, { + 3.842687393459402*^9, 3.84268739363433*^9}, 3.842687864738781*^9, { + 3.842687945965291*^9, 3.842687946148342*^9}, {3.842687990853121*^9, + 3.842688021485701*^9}, {3.842688190905941*^9, 3.842688190984992*^9}, { + 3.842688968071505*^9, 3.842688976815401*^9}, {3.842689079202087*^9, + 3.84268908089705*^9}, 3.842689217989202*^9, {3.842689321494268*^9, + 3.8426893215735407`*^9}, {3.84268953374725*^9, 3.842689535833342*^9}, { + 3.8426896540848227`*^9, 3.842689654387868*^9}, {3.842936128483087*^9, + 3.842936137168179*^9}}, + CellLabel->"In[20]:=",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"9.324321373318527`*^-11", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.4523249567315764`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19773211466509105`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.474179448818734`"}]}], ",", RowBox[{ - RowBox[{"m5", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.638356246881029`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.31489233655152`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.2393215432661635`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.28515075380279437`"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.35609439949817756`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0032907286753125244`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.022359055088766188`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.23041063813548635`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.00271439354651574`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.0002479115688626555`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.03575026991711773`"}]}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.8426871199786043`*^9, 3.84268729156643*^9, + 3.8426873840839453`*^9, 3.8426875065822372`*^9, 3.842687850710799*^9, + 3.8426879287424593`*^9, 3.842688129334385*^9, 3.842688321147443*^9, + 3.842689043055613*^9, 3.842689199474453*^9, 3.842689302538309*^9, + 3.842689431347052*^9, 3.842689628417358*^9, 3.8426898058853607`*^9, + 3.8429362869080553`*^9, 3.842936618345025*^9, 3.842936810857802*^9, + 3.842939015862775*^9, 3.842939177612258*^9, 3.8429399143691998`*^9}, + CellLabel->"Out[20]=",ExpressionUUID->"b9674ad4-9299-43fc-9857-72ddd0bea7a1"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"m61", "=", + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{"5", ",", + RowBox[{"h", "[", "6", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "10"}]]}], "]"}], "[", "8", "]"}], ",", + RowBox[{"m6", "[", + RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}]}]], "Input", CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, 3.8418267802857647`*^9}, {3.841826861255658*^9, 3.841826922184668*^9}, { @@ -2280,272 +1774,237 @@ Cell[BoxData[ 3.841827053242969*^9}, {3.8418271119240437`*^9, 3.84182712854834*^9}, { 3.841827636088339*^9, 3.841827638701561*^9}, {3.8418280886535597`*^9, 3.841828101110017*^9}, {3.841828420412331*^9, 3.8418284236037703`*^9}, { - 3.84199569621169*^9, 3.841995696627564*^9}, {3.841996143668233*^9, - 3.841996146667862*^9}, {3.8419971248303843`*^9, 3.841997129597876*^9}, { - 3.8419973660032587`*^9, 3.841997367370261*^9}, {3.842332495641633*^9, - 3.842332499312642*^9}}, - CellLabel-> - "(Sident) In[22]:=",ExpressionUUID->"d76e85cc-6ecb-4d98-b567-57b256fbb910"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "precw", - "\"The precision of the argument function ({<<510600560 bytes>>}) is less \ -than WorkingPrecision (\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 22, 11, - 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.841826482012022*^9, 3.8418265982231407`*^9}, - 3.8418266678489017`*^9, {3.841826768683412*^9, 3.841826780765348*^9}, { - 3.841826898513888*^9, 3.8418269227694597`*^9}, 3.841826973347541*^9, - 3.84182705459556*^9, 3.841827366949621*^9, 3.8418276818272257`*^9, - 3.8418281038913*^9, 3.8418284270510178`*^9, 3.841995894640788*^9, - 3.841996150479692*^9, 3.841996267313401*^9, 3.841997134669332*^9, - 3.842332503756214*^9, 3.842337179917122*^9, 3.842350484381892*^9, - 3.84242315393052*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[22]:=",ExpressionUUID->"cd52e157-2a4c-44ad-8cc0-00537e166b5a"], + 3.8418295127754307`*^9, 3.8418295264076853`*^9}, {3.842337631182955*^9, + 3.8423376428942547`*^9}, {3.842689848971694*^9, 3.842689861239624*^9}, { + 3.842936328099833*^9, 3.842936330571859*^9}}, + CellLabel->"In[21]:=",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], Cell[BoxData[ RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.31630265190654504126990798607059700922`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19673889480178571425603386505667387764`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.50900083782283931078340616602237669362`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.67031087534281158130313089477564731749`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.56306766534037991081905173207850130325`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.25713549953522877435447386379651138296`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.03961674425173714729077632539065329366`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.14571077274500842786302970874523210784`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.00475222097467538268037623052646543767`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - "0.00159156689838684910691438924196192437`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.00377107946158147555052135172564476592`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.00040849309195947277394752738192568273`20."}]}]}], - "}"}]], "Output", - CellChangeTimes->{{3.841826482020074*^9, 3.8418265862239122`*^9}, { - 3.841826659980463*^9, 3.841826667924841*^9}, {3.841826769333158*^9, - 3.841826781359291*^9}, {3.841826901984071*^9, 3.841826926295443*^9}, - 3.841826976960929*^9, 3.841827061597597*^9, 3.841827625662114*^9, - 3.841827712512493*^9, 3.841828205721519*^9, 3.841828563871601*^9, - 3.84199603644456*^9, 3.841996151769075*^9, 3.8419963729530487`*^9, - 3.8419972731107903`*^9, 3.8423325992736893`*^9, 3.842337264346949*^9, - 3.842350571493417*^9, 3.842423257744377*^9}, - CellLabel-> - "(Sident) Out[22]=",ExpressionUUID->"bfe1a0a4-02e5-4097-8032-d3efd7e95a43"] + RowBox[{"0.000029345590802540856`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.4523249567315764`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19773211466509105`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.474179448818734`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.638356246881029`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.31489233655152005`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.23932154326616337`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.2851507538027944`"}], ",", + + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.35609439949817756`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0032907286753125343`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.022359055088766185`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.23041063813548635`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.002714393546515741`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.0002479115688626553`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.03575026991711773`"}]}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.8424241569967003`*^9, 3.8425230885506783`*^9, + 3.8425265804928293`*^9, 3.842689928496808*^9, 3.842936326163786*^9, + 3.842936411779951*^9, 3.842938841600656*^9, 3.842939287572624*^9, + 3.8429400042764673`*^9}, + CellLabel->"Out[21]=",ExpressionUUID->"caa1284c-d3de-42bf-9f1c-5c6b4244304f"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"m6", "=", - RowBox[{"annealFit", "[", - RowBox[{ + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", RowBox[{ RowBox[{ RowBox[{"res", "[", RowBox[{"5", ",", - RowBox[{"h", "[", "6", "]"}], ",", "\[Delta]"}], "]"}], "[", "8", - "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "6", "]"}], "]"}]}], ",", "\[Delta]", ",", - RowBox[{"Join", "[", - RowBox[{ - RowBox[{"m5", "[", - RowBox[{"[", "2", "]"}], "]"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "->", "0"}], ",", - RowBox[{ - RowBox[{"A", "[", "5", "]"}], "->", "0"}]}], "}"}]}], "]"}]}], - "]"}]}]], "Input", - CellChangeTimes->CompressedData[" -1:eJwdz1tIUwEcx/Ghm9FmJLby9qCBtbSTZwqCICpmm6ERYRQJFnPQ6EGneanh -EBXmzIfhGUzsYXgp0kJkU6bVomErMsoeNmpIo9wFbbpFULJKJf3/zsOPz+OX -33F1S93NBIFAcIIGs8133w6NxipP7sWW4Z2vK6uw+CcXhNrB1O8wNelGBD4r -zY3DkuzVPzC8YCrgSKlKKofGVr/NSTKfQnPQPegLwKTZ/7wVvp7qF+RjpakG -/pK+VAZIsabzPFy6qjPCo1eyBmCZ6fMY9P/Y5vWtKZ6HyKhC4oQfEzoWoSXl -wxv4hZPPh0nRtyJe+1CGE+b863HBgHKqN3GMfqbH+6D+Qn2jkLTuXlTDY2Zf -G3SUitvhLU+iAeaJynjLbd5X8Im9zw3PsROWZPJ96AGv9d59ySHS7D2VDNWF -ymuQqdHxpoUn1g1kZqswAuseGcT95MGqqATGvFUslIlYOTwdvT1vRLfiKa9F -b/NAVf5rL7Rah5sHyE2G08LCkZaAAz3mXRCW1DZGYC03sgFl/qWGA+OxylzN -1nW4PHnkN0tecuTwnhl+2CQnTTuuZhh3d3dBneKyHrrswVH4d1o2DhfyVcwM -yZ49XAD3AbmsP1s= - "], - CellLabel-> - "(Sident) In[23]:=",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], - -Cell[BoxData[ - TemplateBox[{ - "CompiledFunction", "cfn", - "\"Numerical error encountered at instruction \ -\\!\\(\\*RowBox[{\\\"275\\\"}]\\); proceeding with uncompiled evaluation.\"", - 2, 23, 12, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.841829215087719*^9, 3.841997708933385*^9, - 3.84208185991401*^9, 3.842082154903194*^9, 3.842337337349305*^9, - 3.842423414766984*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[23]:=",ExpressionUUID->"d0160d2e-8f96-469a-872d-0ee796be9444"], - -Cell[BoxData[ - TemplateBox[{ - "CompiledFunction", "cfn", - "\"Numerical error encountered at instruction \ -\\!\\(\\*RowBox[{\\\"275\\\"}]\\); proceeding with uncompiled evaluation.\"", - 2, 23, 13, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.841829215087719*^9, 3.841997708933385*^9, - 3.84208185991401*^9, 3.842082154903194*^9, 3.842337337349305*^9, - 3.842423416465848*^9}, + RowBox[{"h", "[", "6", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "6"}]]}], "]"}], "[", "8", "]"}], ",", + RowBox[{"m7", "[", + RowBox[{"[", + RowBox[{"2", ",", + RowBox[{";;", + RowBox[{"-", "2"}]}]}], "]"}], "]"}]}], "]"}]}]}]], "Input", + CellChangeTimes->{{3.841228339736465*^9, 3.841228391406146*^9}, { + 3.841228542777034*^9, 3.8412285565292*^9}, {3.841228600579276*^9, + 3.841228610938241*^9}, {3.84122872113229*^9, 3.841228734972561*^9}, { + 3.841229172428575*^9, 3.8412291846367283`*^9}, {3.841316222857486*^9, + 3.8413162470884*^9}, {3.841316614704316*^9, 3.8413166159591093`*^9}, { + 3.841317435994903*^9, 3.841317460414875*^9}, {3.841393205908807*^9, + 3.841393219668706*^9}, {3.841393715760593*^9, 3.841393720854136*^9}, { + 3.841393918673491*^9, 3.841393919841535*^9}, {3.841398218184306*^9, + 3.841398224352285*^9}, {3.841398275625342*^9, 3.8413983103457737`*^9}, { + 3.841400233517682*^9, 3.841400233589602*^9}, {3.84140027279879*^9, + 3.841400307999161*^9}, {3.8414653492274017`*^9, 3.841465359690486*^9}, { + 3.841467146790586*^9, 3.841467154468336*^9}, {3.8414672867052107`*^9, + 3.841467288319788*^9}, {3.8414674241193123`*^9, 3.84146742590688*^9}, { + 3.841467918583892*^9, 3.841467924052815*^9}, {3.8414839410370197`*^9, + 3.841483941090184*^9}, {3.841484892766676*^9, 3.841484897275704*^9}, { + 3.841485506193528*^9, 3.841485507759054*^9}, {3.841730357058971*^9, + 3.8417303681386843`*^9}, {3.8417306441451807`*^9, 3.841730655351933*^9}, { + 3.8417309381194973`*^9, 3.841730945469379*^9}, {3.841734059559957*^9, + 3.84173406172647*^9}, {3.841734285483835*^9, 3.8417342940989227`*^9}, { + 3.8417355326753674`*^9, 3.8417355405054827`*^9}, {3.841828611487206*^9, + 3.841828622255392*^9}, {3.841828675040432*^9, 3.841828684736364*^9}, { + 3.841997542245502*^9, 3.8419975516374683`*^9}, {3.842080664614111*^9, + 3.842080665022011*^9}, {3.84208163711196*^9, 3.842081645968207*^9}, { + 3.842081747930049*^9, 3.84208175460223*^9}, {3.842082039052825*^9, + 3.8420820492397423`*^9}, {3.8423371230052137`*^9, 3.842337136476829*^9}, { + 3.842523090019842*^9, 3.84252309266542*^9}, {3.8425250197593327`*^9, + 3.84252504132528*^9}, {3.842525296842931*^9, 3.842525301753889*^9}, { + 3.842525379884775*^9, 3.842525395571744*^9}, {3.842525543904497*^9, + 3.842525544198461*^9}, {3.842525905156863*^9, 3.842525907468851*^9}, { + 3.8425885696042757`*^9, 3.84258858073207*^9}, {3.842588792656406*^9, + 3.8425888202643538`*^9}, {3.8426812946518803`*^9, 3.842681303046391*^9}, { + 3.8426836026128674`*^9, 3.842683605724791*^9}, {3.8426837253751593`*^9, + 3.842683725486979*^9}, {3.842685229708494*^9, 3.842685239291025*^9}, { + 3.842686938041917*^9, 3.842686952634119*^9}, {3.842687006283224*^9, + 3.8426870064672337`*^9}, {3.842687150575683*^9, 3.842687150637838*^9}, { + 3.842687393459402*^9, 3.84268739363433*^9}, 3.842687864738781*^9, { + 3.842687945965291*^9, 3.842687946148342*^9}, {3.842687990853121*^9, + 3.842688021485701*^9}, {3.842688190905941*^9, 3.842688190984992*^9}, { + 3.842688968071505*^9, 3.842688976815401*^9}, {3.842689079202087*^9, + 3.84268908089705*^9}, 3.842689217989202*^9, {3.842689321494268*^9, + 3.8426893215735407`*^9}, {3.84268953374725*^9, 3.842689535833342*^9}, { + 3.8426896540848227`*^9, 3.842689654387868*^9}, {3.842936128483087*^9, + 3.842936137168179*^9}, 3.843037567666704*^9, {3.84303803129965*^9, + 3.843038043082603*^9}}, CellLabel-> - "During evaluation of (Sident) \ -In[23]:=",ExpressionUUID->"bf8516b3-377b-4cff-962a-9fcb8818bd7a"], + "In[179]:=",ExpressionUUID->"78da668e-4ef0-42e2-a70b-6bf19f9b37c3"], Cell[CellGroupData[{ -Cell[BoxData["1.5264372439351575`"], "Print", - CellChangeTimes->{3.8418292198877277`*^9, 3.841997712748024*^9, - 3.8420818440330753`*^9, 3.842082158658132*^9, 3.842337393579763*^9, - 3.8424234443592453`*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[23]:=",ExpressionUUID->"8311c1bb-943d-422d-9594-0d8b0bf314be"], - -Cell[BoxData["59.50323740024926`"], "Print", - CellChangeTimes->{3.8418292198877277`*^9, 3.841997712748024*^9, - 3.8420818440330753`*^9, 3.842082158658132*^9, 3.842337393579763*^9, - 3.842423532166943*^9}, +Cell["\<\ +General::munfl: + -307 + 0.1 1.14512 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843038179007846*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[23]:=",ExpressionUUID->"559fdef1-1bb7-4720-a627-0547c64f91c6"], + "Remote kernel",ExpressionUUID->"2633e8ff-3a2c-4e3a-a4f9-d4a96377ebf3"], -Cell[BoxData["60.752575633917616`"], "Print", - CellChangeTimes->{3.8418292198877277`*^9, 3.841997712748024*^9, - 3.8420818440330753`*^9, 3.842082158658132*^9, 3.842337393579763*^9, - 3.84242357408407*^9}, +Cell["\<\ +General::munfl: + -307 + 0.1 1.59193 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8430381790862722`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[23]:=",ExpressionUUID->"51224b1b-831d-41ca-938d-620809952d73"], + "Remote kernel",ExpressionUUID->"cf149e9a-ff7b-4279-ba22-60a5061cccd7"], -Cell[BoxData["61.721903834286124`"], "Print", - CellChangeTimes->{3.8418292198877277`*^9, 3.841997712748024*^9, - 3.8420818440330753`*^9, 3.842082158658132*^9, 3.842337393579763*^9, - 3.842423576358542*^9}, +Cell["\<\ +General::munfl: + -308 + 0.1 5.72562 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8430381791650133`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[23]:=",ExpressionUUID->"1c1b5e84-691b-46ee-9233-d55a70201f31"], + "Remote kernel",ExpressionUUID->"579bb1ce-0683-426a-8ecf-cc753bd77ccb"], -Cell[BoxData["2307.610811135138`"], "Print", - CellChangeTimes->{3.8418292198877277`*^9, 3.841997712748024*^9, - 3.8420818440330753`*^9, 3.842082158658132*^9, 3.842337393579763*^9, - 3.8424235796103153`*^9}, +Cell["\<\ +General::stop: Further output of General::munfl + will be suppressed during this calculation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843038179244144*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[23]:=",ExpressionUUID->"26acb34e-cd65-4770-91ee-faa8560d6ae7"] + "Remote kernel",ExpressionUUID->"f8dc207c-67fd-491a-ae00-b45b0b9bf708"] }, Open ]], Cell[BoxData[ RowBox[{"{", - RowBox[{"2307.610811135138`", ",", + RowBox[{"11.957913486887227`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.3047340255897175`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1966440660662214`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.3755343797739474`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19655427215261873`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.535769003595088`"}]}], ",", + RowBox[{"-", "2.553959229993646`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7092519726167876`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.735991580976113`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5911350973917731`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5976099833638995`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.2792215345779851`"}]}], ",", + RowBox[{"-", "0.3573911995082062`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04274889073505093`"}]}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.005692076889976971`"}], + ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.1692489146760486`"}]}], ",", + RowBox[{"-", "0.29743509506934485`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.005267504574391754`"}], + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.053687906866077495`"}], ",", RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", "0.005134267642626646`"}], - ",", + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.019661009355712786`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.00412589654520021`"}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07858988795956891`"}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.0015686458277068952`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", "0.00013326308342091376`"}], + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0032996896600613235`"}], ",", RowBox[{ - RowBox[{"A", "[", "5", "]"}], "\[Rule]", "0.0014336612326993358`"}]}], - "}"}]}], "}"}]], "Output", - CellChangeTimes->{3.84233755530348*^9, 3.842423585189662*^9}, + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.0002532295293844319`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.007174398307835195`"}]}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.8426871199786043`*^9, 3.84268729156643*^9, + 3.8426873840839453`*^9, 3.8426875065822372`*^9, 3.842687850710799*^9, + 3.8426879287424593`*^9, 3.842688129334385*^9, 3.842688321147443*^9, + 3.842689043055613*^9, 3.842689199474453*^9, 3.842689302538309*^9, + 3.842689431347052*^9, 3.842689628417358*^9, 3.8426898058853607`*^9, + 3.8429362869080553`*^9, 3.842936618345025*^9, 3.842936810857802*^9, + 3.842939015862775*^9, 3.842939177612258*^9, 3.8429399143691998`*^9, + 3.843037558671664*^9, 3.843037813798671*^9, 3.843037969298051*^9, + 3.843038186531355*^9}, CellLabel-> - "(Sident) Out[23]=",ExpressionUUID->"95b3fe4e-6c5d-44c3-be33-5d6df8dd4ef5"] + "Out[179]=",ExpressionUUID->"c6e2490b-6500-4f13-ada0-6cff9d298ebe"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"m61", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"5", ",", - RowBox[{"h", "[", "6", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "8", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "6", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m6", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", + RowBox[{ RowBox[{ - RowBox[{"m6", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", + RowBox[{"res", "[", + RowBox[{"5", ",", + RowBox[{"h", "[", "6", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "10"}]]}], "]"}], "[", "8", "]"}], ",", + RowBox[{"m6", "[", + RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}]}]], "Input", CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, 3.8418267802857647`*^9}, {3.841826861255658*^9, 3.841826922184668*^9}, { @@ -2554,297 +2013,291 @@ Cell[BoxData[ 3.841827636088339*^9, 3.841827638701561*^9}, {3.8418280886535597`*^9, 3.841828101110017*^9}, {3.841828420412331*^9, 3.8418284236037703`*^9}, { 3.8418295127754307`*^9, 3.8418295264076853`*^9}, {3.842337631182955*^9, - 3.8423376428942547`*^9}}, - CellLabel-> - "(Sident) In[24]:=",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "precw", - "\"The precision of the argument function ({<<1666575736 bytes>>}) is less \ -than WorkingPrecision (\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 24, 14, - 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842337650075533*^9, 3.842423742779871*^9}, + 3.8423376428942547`*^9}, {3.842689848971694*^9, 3.842689861239624*^9}, { + 3.842936328099833*^9, 3.842936330571859*^9}}, CellLabel-> - "During evaluation of (Sident) \ -In[24]:=",ExpressionUUID->"b2327031-ec11-43c5-9d99-1ad9561a8789"], + "In[192]:=",ExpressionUUID->"223493f4-d77c-46b0-bb97-87a0be67433c"], Cell[BoxData[ RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.30473402558862438279384169966038694306`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19664406607951774833901943811295592628`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.5357690039537832228273199757845976845`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.70925197304907657860231502073565109485`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.59113509728442785240986585173891514474`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.27922153451404268795553330872363798882`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04274889088010283689229826734918839383`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.16924891466424059319679853386434304484`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.00526750468100285897753499365101993439`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - "0.00513426762087048461311709326153702045`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.00412589655174002642884610058388901463`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.00156864582912082369615474351244620055`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", - "0.0001332630841149475556949818043285057`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "5", "]"}], "\[Rule]", - "0.0014336612326993358485643925703811874`20."}]}], "}"}]], "Output", - CellChangeTimes->{3.8424241569967003`*^9}, + RowBox[{"47.66452718842365`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.3710192066561966`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19655268865457023`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.551315962883039`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.732278814614242`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5967868834184186`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.3515062191585261`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.005023244859308164`"}], + ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.2952087119338217`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.05365943568010773`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.01980645818232528`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.0785090329663038`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.003353070367769979`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.00026033616168888695`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.007251366969801466`"}]}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.8424241569967003`*^9, 3.8425230885506783`*^9, + 3.8425265804928293`*^9, 3.842689928496808*^9, 3.842936326163786*^9, + 3.842936411779951*^9, 3.842938841600656*^9, 3.842939287572624*^9, + 3.8429400042764673`*^9, 3.843038346723687*^9}, CellLabel-> - "(Sident) Out[24]=",ExpressionUUID->"632b184d-8506-4e55-91a7-d02786fc1226"] + "Out[192]=",ExpressionUUID->"7ea2834e-6718-45dc-b27c-33dbbcda6b96"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"m7", "=", - RowBox[{"annealFit", "[", - RowBox[{ + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", RowBox[{ - RowBox[{"Most", "@", - RowBox[{ - RowBox[{"res", "[", - RowBox[{"5", ",", - RowBox[{"h", "[", "7", "]"}], ",", "\[Delta]"}], "]"}], "[", "9", - "]"}]}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "7", "]"}], "]"}]}], ",", "\[Delta]", ",", - RowBox[{"Join", "[", RowBox[{ - RowBox[{"m6", "[", - RowBox[{"[", "2", "]"}], "]"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{"gC", "[", "7", "]"}], "->", "0"}], "}"}]}], "]"}]}], - "]"}]}]], "Input", - CellChangeTimes->CompressedData[" -1:eJwdz28o43EcB/CFqdumtHacP4W5znHLRkQJCTvHdQ9Wd909ESvyxJ/jDrUH -qBkeLL/VxIPlXwmlxrXdsesutxPy58piidhsYWy68oCM8Hl/H7x7PXy/30nq -RlVNCI/HS6bABEPbcv9woOjFQ2ADth7sumD2f+4INvSJT6E4vNIH5/OeX8Hc -BNc19H7Xp3OkpEqigLqmfbONlG17vkF7n9MNw+fumYXOjtc/ySmlvhxeSn4p -3aSg9msZXPnQroNP38f1wHz9zgjcvwgyncelCx7SXyq0wc2QL4vQGLm+BPc4 -hdVL8g8zmbP9MTaYeNPxG7qVk52hI/Tz2VUX1Lz9VB1Gmu7eqWGUwdkMLXmC -Fli3FaqFqfx8ZoHZ8QdOz3bZYYl8zCgi1zzjTFPvkDCCNDheiqA6Q/kRysrb -mdHesRMtGdsU5oOqCa2gm3xS7BfCgKNYDlP4cgV85f9s1aG38AfTqDFvwaq0 -vw5oMg3U95DnMq4BZgw2ui3ok60ewdyKah+s4AbPYNa9dM5KSrc5C0watSwq -RmlP5Rs7vB0Xr8Hgv1imNH4qJ5OcGXIxHwHoGi+g - "], - CellLabel-> - "(Sident) In[25]:=",ExpressionUUID->"200b94d3-7cab-4649-99f3-c2558b1a5011"], - -Cell[BoxData[ - TemplateBox[{ - "CompiledFunction", "cfne", - "\"Numerical error encountered; proceeding with uncompiled evaluation.\"", - 2, 25, 15, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.841829215087719*^9, 3.84208234137315*^9, - 3.842082910442643*^9, 3.8420856679427547`*^9, 3.842338518367941*^9, - 3.842424636255373*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"5c39711c-7bf4-47c1-a52a-290b4a57b8a4"], - -Cell[BoxData[ - TemplateBox[{ - "General", "munfl", - "\"\\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"1.1511195098060096`*^49\\\", \\\"7\\\"]]\\) is too small \ -to represent as a normalized machine number; precision may be lost.\"", 2, 25, - 16, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.841829215087719*^9, 3.84208234137315*^9, - 3.842082910442643*^9, 3.8420856679427547`*^9, 3.842338518367941*^9, - 3.8424246373680553`*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"3cdd4a00-f684-4312-bedf-b6d1a2962ad0"], - -Cell[BoxData[ - TemplateBox[{ - "General", "munfl", - "\"\\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"1.1511195098060096`*^49\\\", \\\"7\\\"]]\\) is too small \ -to represent as a normalized machine number; precision may be lost.\"", 2, 25, - 17, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.841829215087719*^9, 3.84208234137315*^9, - 3.842082910442643*^9, 3.8420856679427547`*^9, 3.842338518367941*^9, - 3.842424638375044*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"0f48f5ff-dc91-4d2b-a481-d8efb4472102"], - -Cell[BoxData[ - TemplateBox[{ - "General", "munfl", - "\"\\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"1.1511195098060096`*^49\\\", \\\"7\\\"]]\\) is too small \ -to represent as a normalized machine number; precision may be lost.\"", 2, 25, - 18, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.841829215087719*^9, 3.84208234137315*^9, - 3.842082910442643*^9, 3.8420856679427547`*^9, 3.842338518367941*^9, - 3.8424246393760557`*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"982d1074-b769-4103-b610-7a6efceed480"], - -Cell[BoxData[ - TemplateBox[{ - "General", "stop", - "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"General\\\", \ -\\\"::\\\", \\\"munfl\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 25, 19, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.841829215087719*^9, 3.84208234137315*^9, - 3.842082910442643*^9, 3.8420856679427547`*^9, 3.842338518367941*^9, - 3.842424640376923*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"59e7cf9c-b877-493b-938c-08368aee1e4d"], + RowBox[{"res", "[", + RowBox[{"5", ",", + RowBox[{"h", "[", "7", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "5"}]]}], "]"}], "[", "9", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"m61", "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"gC", "[", "7", "]"}], "->", "0"}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "2"}]]}], "]"}]}]}]], "Input", + CellChangeTimes->{{3.841228339736465*^9, 3.841228391406146*^9}, { + 3.841228542777034*^9, 3.8412285565292*^9}, {3.841228600579276*^9, + 3.841228610938241*^9}, {3.84122872113229*^9, 3.841228734972561*^9}, { + 3.841229172428575*^9, 3.8412291846367283`*^9}, {3.841316222857486*^9, + 3.8413162470884*^9}, {3.841316614704316*^9, 3.8413166159591093`*^9}, { + 3.841317435994903*^9, 3.841317460414875*^9}, {3.841393205908807*^9, + 3.841393219668706*^9}, {3.841393715760593*^9, 3.841393720854136*^9}, { + 3.841393918673491*^9, 3.841393919841535*^9}, {3.841398218184306*^9, + 3.841398224352285*^9}, {3.841398275625342*^9, 3.8413983103457737`*^9}, { + 3.841400233517682*^9, 3.841400233589602*^9}, {3.84140027279879*^9, + 3.841400307999161*^9}, {3.8414653492274017`*^9, 3.841465359690486*^9}, { + 3.841467146790586*^9, 3.841467154468336*^9}, {3.8414672867052107`*^9, + 3.841467288319788*^9}, {3.8414674241193123`*^9, 3.84146742590688*^9}, { + 3.841467918583892*^9, 3.841467924052815*^9}, {3.8414839410370197`*^9, + 3.841483941090184*^9}, {3.841484892766676*^9, 3.841484897275704*^9}, { + 3.841485506193528*^9, 3.841485507759054*^9}, {3.841730357058971*^9, + 3.8417303681386843`*^9}, {3.8417306441451807`*^9, 3.841730655351933*^9}, { + 3.8417309381194973`*^9, 3.841730945469379*^9}, {3.841734059559957*^9, + 3.84173406172647*^9}, {3.841734285483835*^9, 3.8417342940989227`*^9}, { + 3.8417355326753674`*^9, 3.8417355405054827`*^9}, {3.841828611487206*^9, + 3.841828622255392*^9}, {3.841828675040432*^9, 3.841828684736364*^9}, { + 3.8418302810935307`*^9, 3.841830308525895*^9}, {3.842082309644547*^9, + 3.842082322292737*^9}, {3.842082384582395*^9, 3.8420823848377657`*^9}, { + 3.842085309253434*^9, 3.8420853109873867`*^9}, {3.842526212619446*^9, + 3.84252621326678*^9}, {3.842526608898067*^9, 3.842526610786344*^9}, { + 3.842589907388948*^9, 3.842589910627743*^9}, {3.8426883406998796`*^9, + 3.842688350300047*^9}, {3.842689982945778*^9, 3.8426899919141293`*^9}, { + 3.842690298096287*^9, 3.842690302551689*^9}, {3.842690858683028*^9, + 3.842690860745908*^9}, {3.8426914641016073`*^9, 3.842691475164977*^9}, { + 3.842942844119803*^9, 3.842942844167082*^9}, 3.842943826026473*^9}, + CellLabel->"In[76]:=",ExpressionUUID->"200b94d3-7cab-4649-99f3-c2558b1a5011"], Cell[CellGroupData[{ -Cell[BoxData["1.4683713957622144`"], "Print", - CellChangeTimes->{3.8420827819536448`*^9, 3.842085674056548*^9, - 3.842338524652817*^9, 3.8424246922246847`*^9}, +Cell["\<\ +CompiledFunction::cfn: + Numerical error encountered at instruction 304; proceeding with uncompiled + evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8429450008400097`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"06c6bccc-a366-4987-8e95-12de534e3f07"], + "Remote kernel",ExpressionUUID->"2185ced4-0a66-4a97-8838-180d3ce37879"], -Cell[BoxData["1074.0704669374522`"], "Print", - CellChangeTimes->{3.8420827819536448`*^9, 3.842085674056548*^9, - 3.842338524652817*^9, 3.842424804425952*^9}, +Cell["\<\ +General::munfl: + -307 + 0.1 1.28874 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842945156464916*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"8931da64-de35-46b1-9a9a-2a66b237b836"], + "Remote kernel",ExpressionUUID->"df24891c-7bf9-4b21-85c2-73617581eebb"], -Cell[BoxData["10062.936564197653`"], "Print", - CellChangeTimes->{3.8420827819536448`*^9, 3.842085674056548*^9, - 3.842338524652817*^9, 3.8424249311462927`*^9}, +Cell["\<\ +General::munfl: + -307 + 0.1 1.78315 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8429451565439577`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"aaec05c6-4eb2-4186-ace4-6e8fcfaf7ae5"], + "Remote kernel",ExpressionUUID->"a6619516-6538-49ad-b331-3956e0aa62c9"], -Cell[BoxData["10063.805084191375`"], "Print", - CellChangeTimes->{3.8420827819536448`*^9, 3.842085674056548*^9, - 3.842338524652817*^9, 3.8424250221579447`*^9}, +Cell["\<\ +General::munfl: + -308 + 0.1 6.44369 10 is too small to represent as a normalized machine + number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842945156623135*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"090049f8-832b-4500-bf58-48719468911a"], + "Remote kernel",ExpressionUUID->"0558deb8-f9a3-4d34-8ab5-3fc2fa8b0deb"], -Cell[BoxData["10298.839622320893`"], "Print", - CellChangeTimes->{3.8420827819536448`*^9, 3.842085674056548*^9, - 3.842338524652817*^9, 3.842425025827475*^9}, +Cell["\<\ +General::stop: Further output of General::munfl + will be suppressed during this calculation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8429451567021837`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[25]:=",ExpressionUUID->"221823e5-765d-4d6a-9988-8e772c42cbe2"] + "Remote kernel",ExpressionUUID->"af5140d0-5f4f-4ff2-91a9-9ac6d7032d89"] }, Open ]], Cell[BoxData[ RowBox[{"{", - RowBox[{"10298.839622320893`", ",", + RowBox[{"0.8189269819372189`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.313554040397371`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19665875845268746`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.3798861592647795`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19657608588606654`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.5334329874518926`"}]}], ",", + RowBox[{"-", "2.5523594631601134`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.705771571103907`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7335980544394025`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5869628025030212`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5928229158635054`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.28362958378508507`"}]}], ",", + RowBox[{"-", "0.35752822233315795`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04106604279183298`"}]}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.008741540813834102`"}], + ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.17093933179688217`"}]}], ",", + RowBox[{"-", "0.2963514039309786`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.00713246112000304`"}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.053507440697123036`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.019584974378453943`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07869266586078945`"}], ",", + RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", "0.0045327774019623505`"}], + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0033348773427395737`"}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.005202411362695399`"}], ",", + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.0002653753387443968`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.0070012259600581725`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "7", "]"}], "\[Rule]", "1.2063011212229598`*^-6"}]}], + "}"}]}], "}"}]], "Output", + CellChangeTimes->{ + 3.842526612785705*^9, 3.842527269155875*^9, 3.8425893667554903`*^9, + 3.84258987578233*^9, 3.84259060234828*^9, {3.842601285830758*^9, + 3.842601307261709*^9}, 3.8426020125703087`*^9, 3.842681571333026*^9, { + 3.842681734653314*^9, 3.842681745419319*^9}, 3.84268836259077*^9, + 3.842688403543294*^9, 3.842690288289164*^9, 3.8426908270981073`*^9, + 3.8426914364483337`*^9, 3.8426922060770597`*^9, 3.8429405972734413`*^9, + 3.8429412386368837`*^9, 3.842942211135921*^9, 3.842942753592914*^9, + 3.842943612832719*^9, 3.8429444736860723`*^9, 3.842945184841517*^9}, + CellLabel->"Out[76]=",ExpressionUUID->"f91958e9-a220-4f8e-ae16-55c2d7026d35"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData["m7"], "Input", + CellChangeTimes->{{3.8430275901073837`*^9, 3.843027590481913*^9}}, + CellLabel-> + "In[135]:=",ExpressionUUID->"2e40e185-1d47-4e98-b537-128197264f04"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"0.8189269819372189`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.3798861592647795`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19657608588606654`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.5523594631601134`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7335980544394025`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5928229158635054`"}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.0012861779229641672`"}]}], ",", + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.35752822233315795`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.008741540813834102`"}], + ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.2963514039309786`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", "0.000026683191467407747`"}], + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.053507440697123036`"}], ",", RowBox[{ - RowBox[{"A", "[", "5", "]"}], "\[Rule]", "0.0016681726602716807`"}], + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.019584974378453943`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07869266586078945`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0033348773427395737`"}], ",", RowBox[{ - RowBox[{"gC", "[", "7", "]"}], "\[Rule]", "0.00001770468231070235`"}]}], + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.0002653753387443968`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.0070012259600581725`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "7", "]"}], "\[Rule]", "1.2063011212229598`*^-6"}]}], "}"}]}], "}"}]], "Output", - CellChangeTimes->{3.842338787726128*^9, 3.842425048192793*^9}, + CellChangeTimes->{3.843027590991024*^9}, CellLabel-> - "(Sident) Out[25]=",ExpressionUUID->"14118b71-5885-4b32-845e-95c43ebc21e3"] + "Out[135]=",ExpressionUUID->"089e5e7d-8886-4596-9b12-15e349d13600"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"m71", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"5", ",", - RowBox[{"h", "[", "7", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "9", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "7", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m7", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", + RowBox[{ RowBox[{ - RowBox[{"m7", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", + RowBox[{"res", "[", + RowBox[{"5", ",", + RowBox[{"h", "[", "7", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "10"}]]}], "]"}], "[", "9", "]"}], ",", + RowBox[{"m7", "[", + RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}]}]], "Input", CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, 3.8418267802857647`*^9}, {3.841826861255658*^9, 3.841826922184668*^9}, { @@ -2853,263 +2306,471 @@ Cell[BoxData[ 3.841827636088339*^9, 3.841827638701561*^9}, {3.8418280886535597`*^9, 3.841828101110017*^9}, {3.841828420412331*^9, 3.8418284236037703`*^9}, { 3.8418295127754307`*^9, 3.8418295264076853`*^9}, {3.842337631182955*^9, - 3.8423376428942547`*^9}, {3.8423390522239523`*^9, 3.842339066648242*^9}}, - CellLabel-> - "(Sident) In[26]:=",ExpressionUUID->"0dba08c9-c74d-4268-96fa-0c6efda987ca"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "precw", - "\"The precision of the argument function ({<<6083723064 bytes>>}) is less \ -than WorkingPrecision (\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 26, 20, - 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842337650075533*^9, 3.842339083779311*^9, - 3.8424250641380653`*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[26]:=",ExpressionUUID->"e3f507c8-c362-4b0b-adac-3af6e63dd902"], + 3.8423376428942547`*^9}, {3.8423390522239523`*^9, 3.842339066648242*^9}, { + 3.842692328096613*^9, 3.842692341828763*^9}, {3.842692714789094*^9, + 3.84269271557976*^9}}, + CellLabel->"In[89]:=",ExpressionUUID->"0dba08c9-c74d-4268-96fa-0c6efda987ca"], Cell[BoxData[ RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.31355404039653182669914597217190170034`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19665875841110683697922172037847911269`20."}], - ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.53343299034841646331539418608388424723`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.70577157551054277158049213768122631914`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.58696280011958254780275098766609387839`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.28362958660470974751439847343277330961`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04106603664706777390294072097816960584`20."}]}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.17093933071704376945795105099576307144`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.00713245531052218542782052857426229551`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - "0.00453278036043904087566110942927425189`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.00520241118526903817122380025058684848`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.00128617871409771127507986263520036548`20."}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", - "0.00002668327789037362388656113497927527`20."}], ",", - RowBox[{ - RowBox[{"A", "[", "5", "]"}], "\[Rule]", - "0.00166817266046418252029728758844488422`20."}], ",", - RowBox[{ - RowBox[{"gC", "[", "7", "]"}], "\[Rule]", - "0.00001770468231070234835884333324251827`20."}]}], "}"}]], "Output", - CellChangeTimes->{3.842426928944779*^9}, - CellLabel-> - "(Sident) Out[26]=",ExpressionUUID->"e7a20339-bb83-4e2d-8fe0-80adda2c0c83"] + RowBox[{"175.89692615640794`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.375435411428919`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1965201354188921`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.548202398429163`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7277523989023333`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.602777511249843`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.35476072551695503`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", + RowBox[{"-", "0.0071698284978869015`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.28737467546972323`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.052493450595160036`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.019894421937458582`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07241135663444981`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.003534527038057842`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.00033818931210095453`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.007245524506332198`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "7", "]"}], "\[Rule]", + "0.000010619260978013524`"}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.842426928944779*^9, 3.842586429545986*^9, + 3.842692707013597*^9, 3.8426931510872393`*^9, 3.8429457231988564`*^9}, + CellLabel->"Out[89]=",ExpressionUUID->"30dd2ebb-5e89-4bec-b443-d6cfa7697ac6"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"m8", "=", - RowBox[{"annealFit", "[", - RowBox[{ + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", RowBox[{ RowBox[{ RowBox[{"res", "[", RowBox[{"6", ",", - RowBox[{"h", "[", "8", "]"}], ",", "\[Delta]"}], "]"}], "[", "10", - "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "8", "]"}], "]"}]}], ",", "\[Delta]", ",", - RowBox[{"Join", "[", - RowBox[{ - RowBox[{"m7", "[", - RowBox[{"[", "2", "]"}], "]"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{ - RowBox[{"gC", "[", "8", "]"}], "->", "0"}], ",", + RowBox[{"h", "[", "8", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "5"}]]}], "]"}], "[", "10", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"m7", "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", RowBox[{ - RowBox[{"A", "[", "6", "]"}], "->", "0"}]}], "}"}]}], "]"}]}], - "]"}]}]], "Input", + RowBox[{ + RowBox[{"gC", "[", "8", "]"}], "->", "0"}], ",", + RowBox[{ + RowBox[{"A", "[", "6", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "3"}]]}], "]"}]}]}]], "Input", CellChangeTimes->CompressedData[" -1:eJwdz01IkwEcBvDlnOBmEGOazcNyRR/64rZwMBhriLlSw8MgqYvowPDittRK -8GCDueVh7B0s7DDyA6I8bcaWtSh0Ropa4LAhDtsXrdUWQcSkFav/8x4efsfn -eRpNFuNgBY/HO0WBMs/dd+5HhbYz/wrb8M7BXgKqf7ApaJ4Wf4Hiqr4cfKE9 -XYQaWeIQZp67WlhS0i9RQoc17g+TzG76GYxMx5KwaqnMqY9NXn5FPjW4uuBP -yWtDkhTevH0FrveOO2DttQYn1Lk+zsL49xJn7HPHyzSZ7xCF4fuKsRXoPbb1 -Fu6zylCGFHy6wBlwnwjDk78n38Ck4ck9/iz9rC/a4MTVGwOVpO9vjwnWeWIj -MKgVjsKhHb4dnhfoOC/6o6twMWCLwEuKeW8NuZle4PTdfyg6Snqi52qgSWW4 -Dpmucc7jmfmsnZRaK3PQ+NgunCKr2/MiWIi2K+BZgUIJm/O3Qg706pc5vRP+ -HdjftBaFPt+DYSf5jWHNUDVjSQbRx2ykoKZ7IAe72ZmvsLUsXwqR8l02CBvn -givKOdrT1xmBfxbEm7D0QcqZ1R3RqUin+lcb/A/qOC95 +1:eJwd0F1Ik3EYBfA1neBmEGNaWeAHYqnDbZExsjcV2yqNLoTENIYOst2kZl+C +FyqsLS+W72Bh4EgnRHY1ja2PRWFTNGwFG2uIw5wb2WyLQERzhfWc/8Xhd3me +5xToOxuuCgUCQQkF5lnvzg09TtYU/0v64J3lxRVY8YtfhR2D0u9QmqGLw1eV +RVtQnbeyDWMvLOU8KWuVKaGpK+z0kPJg9Dn0DoYiMGNql1kV6jv7hpzQWurg +huytNkKK22+fg/ONPSaYfemQGXKWL6Mw/DPFDH3TvI6SCY3EAz8Jb01D276P +s3CJV7pjpOjrMebk0EEPzN/pewcj2qf9aaP054GtAdh74XJbOmn/e1EPc6yh +buiqFN+EBn+aEZaIOOZpZ+A9fDY54IVnFA5bFrkQHWfa7z+S7CWtgaNZUK/S +NkF5XQ9zf8yxZiRzu9LjsOGJUXyPzKxNSGAyUKuAR0QKJSxL3HCb0Fv1kmnr +dfpha+lMANrtD6+byR9yvgOqhjsjLvTJP6xCdX1bHNbzw+vw+G7hlJssDPIu +WDDmmlaO0T268174Z1y6AFOfc5lr3B5ORZorNmugkDusLnbQPhoDs6ja9xsa +dH5mamQis4UsDZ6SwAcnDeVXyBFBowK2r5eZwuTOtX4zzGnu9m2TshOLzP9R +Il/A "], CellLabel-> - "(Sident) In[27]:=",ExpressionUUID->"77feec13-1cdc-471d-8d45-49920b8c9c58"], + "In[134]:=",ExpressionUUID->"77feec13-1cdc-471d-8d45-49920b8c9c58"], Cell[BoxData[ TemplateBox[{ - "CompiledFunction", "cfn", - "\"Numerical error encountered at instruction \ -\\!\\(\\*RowBox[{\\\"329\\\"}]\\); proceeding with uncompiled evaluation.\"", - 2, 27, 21, 18367073971234218752, "Sident"}, + "LinkObject", "linkd", + "\"Unable to communicate with closed link \ +\\!\\(\\*RowBox[{\\\"LinkObject\\\", \\\"[\\\", RowBox[{\\\"\\\\\\\"ssh -4 -x \ +-o StrictHostKeyChecking=no -o BatchMode=yes -l math sident.lassp.cornell.edu \ +wolfram -noinit -wstp\\\\\\\"\\\", \\\",\\\", \\\"3950\\\", \\\",\\\", \\\"11\ +\\\"}], \\\"]\\\"}]\\).\"", 2, 134, 66, 31647447333701645841, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842428357468219*^9}, + CellChangeTimes->{3.8430227605867643`*^9, 3.843027465907736*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"9d8c8cde-a876-4aa0-bacc-a11e2e5a5a4f"], + "During evaluation of \ +In[134]:=",ExpressionUUID->"91443dc7-57dd-4d8a-aff2-566e34296967"], Cell[BoxData[ TemplateBox[{ - "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ -\"-\\\", \\\"1.9457590062576827`*^-307\\\"}], \\\")\\\"}]}]\\) is too small \ -to represent as a normalized machine number; precision may be lost.\"", 2, 27, - 22, 18367073971234218752, "Sident"}, + "RemoteEvaluate", "badlink", + "\"RemoteEvaluate could not read from link \\!\\(\\*RowBox[{\\\"LinkObject\ +\\\", \\\"[\\\", RowBox[{\\\"\\\\\\\"ssh -4 -x -o StrictHostKeyChecking=no -o \ +BatchMode=yes -l math sident.lassp.cornell.edu wolfram -noinit \ +-wstp\\\\\\\"\\\", \\\",\\\", \\\"3950\\\", \\\",\\\", \\\"11\\\"}], \ +\\\"]\\\"}]\\).\"", 2, 134, 67, 31647447333701645841, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842428822357911*^9}, + CellChangeTimes->{3.8430227605867643`*^9, 3.8430274659128532`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"abb69614-c7af-4867-9c74-b775865d17c1"], + "During evaluation of \ +In[134]:=",ExpressionUUID->"8c8db586-7a76-4cc8-b40c-f5641a83fb2a"], Cell[BoxData[ TemplateBox[{ - "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ -\"-\\\", \\\"2.1472802042089403`*^-307\\\"}], \\\")\\\"}]}]\\) is too small \ -to represent as a normalized machine number; precision may be lost.\"", 2, 27, - 23, 18367073971234218752, "Sident"}, + "LinkObject", "linkn", + "\"Argument \\!\\(\\*RowBox[{\\\"LinkObject\\\", \\\"[\\\", RowBox[{\\\"\\\ +\\\\\"ssh -4 -x -o StrictHostKeyChecking=no -o BatchMode=yes -l math \ +sident.lassp.cornell.edu wolfram -noinit -wstp\\\\\\\"\\\", \\\",\\\", \ +\\\"3950\\\", \\\",\\\", \\\"11\\\"}], \\\"]\\\"}]\\) in \ +\\!\\(\\*RowBox[{\\\"LinkClose\\\", \\\"[\\\", RowBox[{\\\"LinkObject\\\", \\\ +\"[\\\", RowBox[{\\\"\\\\\\\"ssh -4 -x -o StrictHostKeyChecking=no -o \ +BatchMode=yes -l math sident.lassp.cornell.edu wolfram -noinit \ +-wstp\\\\\\\"\\\", \\\",\\\", \\\"3950\\\", \\\",\\\", \\\"11\\\"}], \ +\\\"]\\\"}], \\\"]\\\"}]\\) has an invalid LinkObject number; the link may be \ +closed.\"", 2, 134, 68, 31647447333701645841, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842428823502338*^9}, + CellChangeTimes->{3.8430227605867643`*^9, 3.8430274659176064`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"8c83226f-3d8e-45b4-9867-78ca2b33ed7f"], + "During evaluation of \ +In[134]:=",ExpressionUUID->"55680071-ebde-46b2-9985-b7b1773db7d9"], -Cell[BoxData[ - TemplateBox[{ - "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ -\"-\\\", \\\"9.728795031288413`*^-308\\\"}], \\\")\\\"}]}]\\) is too small to \ -represent as a normalized machine number; precision may be lost.\"", 2, 27, - 24, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842428824503319*^9}, +Cell[BoxData["$Failed"], "Output", + CellChangeTimes->{3.841829478405797*^9, 3.842082489741064*^9, + 3.842083149562351*^9, 3.842083340661083*^9, 3.8424304437921963`*^9, + 3.842588045934964*^9, 3.842605348676124*^9, 3.8426955372270527`*^9, + 3.842699079739625*^9, 3.842951609647294*^9, 3.8429563055131893`*^9, + 3.842956346130459*^9, 3.842958187894113*^9, 3.843017098691923*^9, + 3.8430227606049833`*^9, 3.843027465922112*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"a8287486-e0c0-498a-907b-96aa1a9c0033"], + "Out[134]=",ExpressionUUID->"23e34e05-cb3e-40f1-ba06-a11819fced80"] +}, Open ]], + +Cell[CellGroupData[{ Cell[BoxData[ - TemplateBox[{ - "General", "stop", - "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"General\\\", \ -\\\"::\\\", \\\"munfl\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 27, 25, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842428825504197*^9}, + RowBox[{"m81", "=", + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{"6", ",", + RowBox[{"h", "[", "8", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "10"}]]}], "]"}], "[", "10", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"m8", "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", "}"}]}], "]"}]}], "]"}]}]}]], "Input", + CellChangeTimes->CompressedData[" +1:eJwd0F1Ik3EYBfA1neC2QMa0ssAUMdPhtkgYrjcT2yyNLoRESYYOit2k9i14 +sYS15cXyHSwMHOqEyK6msfWxUHSGih/RxhqRlHMjW24RRFqusJ7zvzj8Ls/z +nEJjZ+MloUAgKKXAAsftuf6hVE3Jv9QyvPXx/Rqs/M6vw44+2RcoyzIk4Att +8TbUFKz9gvFn9gqelLfJVdDaterxk4pw7CkM9EWiMGtil1kdMde9Isf09nr4 +Qz6pj5LiyzfPwPmmbivMvXDQBjn7u2G4+i3NjHzWvYyRSZ3ED1eEN6ahM2fp +NfzAq3xxUvTpGHO8/4AfHt4xT8Go/vGdjGH6c/92L+w519KeSbr+njfCPEfk +GvRqxdehKZhhgUdFHPOkJzQDn4z3BuBppdspJRdjo0zXvYeSvaQjVCqFRrW+ +GSrqu5n74u4NC5nflZmAjY8s4rtkdm1SAlOhWiU8IlKqYHnyqs+K3urnTGeP +JwjbymZD0OV6cMVGbir4Dqge6Ix60adYWIeahvYEbOAHvsLju0UTPrIozHth +4Yh3WjVC9xjOBuCfUdkiTL/JZ25wezg1aav8WQOF3CFNiZv20ZmYxaeWf0OT +IchMD45lXyTLwick8H6VqaKVHBQ0KWGd1jz3lpRObi3A/5ZfVmk= + "], CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"62c1c011-3524-4242-8769-7604f1ad5845"], + "In[107]:=",ExpressionUUID->"2742bf10-6e80-4043-a3d1-4f8276912fa7"], Cell[CellGroupData[{ -Cell[BoxData["89.48548714332613`"], "Print", - CellChangeTimes->{3.8424288404702597`*^9}, +Cell["\<\ +General::munfl: + -263 -53 + 7.64335 10 1.63811 10 is too small to represent as a normalized + machine number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8429596446057873`*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"0b87cef7-1e91-4227-9832-eb55dcbfd10d"], + "Remote kernel",ExpressionUUID->"d968a77e-0b23-4ede-8778-fe70c8fe67a4"], -Cell[BoxData["1054.1501496701742`"], "Print", - CellChangeTimes->{3.8424294626297703`*^9}, +Cell["\<\ +General::munfl: + -263 -53 + 7.64335 10 1.63811 10 is too small to represent as a normalized + machine number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842959644684785*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"e12dfe7a-2b77-4ef7-836d-8c903e0fbd9a"], + "Remote kernel",ExpressionUUID->"c0fb9f74-f597-4290-ab92-19fc6918cb3b"], -Cell[BoxData["10446.107430623724`"], "Print", - CellChangeTimes->{3.842430014092888*^9}, +Cell["\<\ +General::munfl: + -264 -54 + 2.38855 10 8.19053 10 is too small to represent as a normalized + machine number; precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842959644763789*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"8cf4e08b-8255-429f-97a9-83d09e6afa55"], + "Remote kernel",ExpressionUUID->"d580040e-4b47-47e5-a184-41377961a189"], -Cell[BoxData["10447.26961256053`"], "Print", - CellChangeTimes->{3.8424303231269207`*^9}, +Cell["\<\ +General::stop: Further output of General::munfl + will be suppressed during this calculation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842959644842986*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"5c8c844f-3ad5-495f-bbce-9d837ebebc3d"], + "Remote kernel",ExpressionUUID->"f836f1cb-320c-4756-a39e-0d2706b3cc76"], -Cell[BoxData["10461.445570771271`"], "Print", - CellChangeTimes->{3.842430339077183*^9}, +Cell["\<\ +CompiledFunction::cfne: + Numerical error encountered; proceeding with uncompiled evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842959644973405*^9}, CellLabel-> - "During evaluation of (Sident) \ -In[27]:=",ExpressionUUID->"111d2f5b-d90b-4c0d-9312-a5a961c1d149"] + "Remote kernel",ExpressionUUID->"c1df8207-0a77-43dc-8619-51d191eb8a45"], + +Cell["\<\ +CompiledFunction::cfn: + Numerical error encountered at instruction 329; proceeding with uncompiled + evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.842960026779848*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"7bac1e96-85d8-4b0c-a777-cc3800b0bd9f"] }, Open ]], Cell[BoxData[ RowBox[{"{", - RowBox[{"10461.445570771271`", ",", + RowBox[{"474.3340844808429`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.3165755399877996`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1966622586676097`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.3945402473101085`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19657904694560144`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.5326463007251494`"}]}], ",", + RowBox[{"-", "2.540331831170335`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.704610793571788`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7160917979602017`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5858031732617006`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5879818755841343`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.28522649008675394`"}]}], ",", + RowBox[{"-", "0.3570513437923083`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04070402717976069`"}]}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.00008683579482103827`"}], + ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.17149012788410323`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.007797122391878326`"}], - ",", + RowBox[{"-", "0.2832843539025121`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", "0.004656040873728506`"}], + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.052008686379266846`"}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.005103205021904066`"}], ",", + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.020069279882927036`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.001432754158168632`"}]}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07315824903377943`"}], ",", + RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", "0.00006084634154911762`"}], + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0034639815271289166`"}], ",", RowBox[{ - RowBox[{"A", "[", "5", "]"}], "\[Rule]", "0.002063010461212938`"}], ",", + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.00023626079352352796`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.007241986884380438`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "7", "]"}], "\[Rule]", "0.000014182759560736414`"}], - ",", + RowBox[{"gC", "[", "7", "]"}], "\[Rule]", + RowBox[{"-", "0.000021904950135006763`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "2.804302657070413`*^-7"}], + RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "3.454775277884922`*^-6"}], ",", RowBox[{ RowBox[{"A", "[", "6", "]"}], "\[Rule]", - RowBox[{"-", "0.00006191602811550506`"}]}]}], "}"}]}], "}"}]], "Output",\ + RowBox[{"-", "3.393785586194989`*^-6"}]}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.841829478405797*^9, 3.842082489741064*^9, + 3.842083149562351*^9, 3.842083340661083*^9, 3.8424304437921963`*^9, + 3.842588045934964*^9, 3.842605348676124*^9, 3.8426955372270527`*^9, + 3.842699079739625*^9, 3.842936038504257*^9, 3.8429603423886766`*^9}, + CellLabel-> + "Out[107]=",ExpressionUUID->"956b70bf-8ee9-40a5-8b0c-7ecec5569248"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"m9", "=", + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{"6", ",", + RowBox[{"h", "[", "9", "]"}], ",", "\[Delta]"}], "]"}], "[", "11", + "]"}], "//.", + RowBox[{"rules", "[", + RowBox[{"h", "[", "9", "]"}], "]"}]}], ",", "\[Delta]", ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"m8", "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"gC", "[", "9", "]"}], "->", "0"}], "}"}]}], "]"}]}], + "]"}]}]}]], "Input", + CellChangeTimes->CompressedData[" +1:eJwd0F1Ik3EYBfA1ndBmEGOZWmDKmJbDvYuEgbyZ2JYf4YWg1EVDB8Zu8qsP +BS9UmFteDN/Bwi5GOiHMq2lsWhNlLrEwDbZsRKLOjXS5RRBhZaI9539x+F2e +5zn5prb6FrFIJFJRYJ6ja2noWapCdZxagY82Pm/B0h/CNmwdlO9CeYYxAV+V +KfehLm/rN4xP20sEUtGk4KC1fd3jJ9VrsZcwOBiJwoypI2Z5pPfGLPnCYK+B +PxVzhigpvfuwCr5t7LbCMw3nbJC3fxqB698PmJGv+tcxMqmX+eGq+EEAOk+/ +X4RfBM4XJyWbl5mTQzl+eOFv7zyMGsb70kboz+z9fthz83ZzOuk6rDPBLEek +E3rLpPehOZRmgRclPPOqJ7wAJyb7g/C6xu3MJJdjY0zX46eyU6QjXJQJTVrD +Laiu6Waejbt3LGRue3oC1j+3SAfIk5VJGUyFKzWwUKLhYHGyw2dFb/kM09nj +CcGmS2/C0OV6cs9G7qmFVqgdbot60ad+tw11tc0JWCsMf4NXjgqmfGTBmuCF ++aPeADdK9xirg/DfmHwZHnzIZe7wJ3gtaSv9VQHF/Hmdyk376M1M5bWVP9Bs +DDEb7ihLODL+McXB/9MBRgE= + "], + CellLabel-> + "In[255]:=",ExpressionUUID->"da6fb0f0-808b-49e1-9a6d-6ced77c20899"], + +Cell[BoxData[ + TemplateBox[{ + "LinkObject", "linkd", + "\"Unable to communicate with closed link \ +\\!\\(\\*RowBox[{\\\"LinkObject\\\", \\\"[\\\", RowBox[{\\\"\\\\\\\"ssh -4 -x \ +-o StrictHostKeyChecking=no -o BatchMode=yes -l math sident.lassp.cornell.edu \ +wolfram -noinit -wstp\\\\\\\"\\\", \\\",\\\", \\\"7847\\\", \\\",\\\", \\\"11\ +\\\"}], \\\"]\\\"}]\\).\"", 2, 255, 258, 31645164027744773303, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.84260545067861*^9, 3.8426134264024973`*^9}, + CellLabel-> + "During evaluation of \ +In[255]:=",ExpressionUUID->"a7443b43-ee71-430d-91d4-435b34fc9655"], + +Cell[BoxData[ + TemplateBox[{ + "RemoteEvaluate", "badlink", + "\"RemoteEvaluate could not read from link \\!\\(\\*RowBox[{\\\"LinkObject\ +\\\", \\\"[\\\", RowBox[{\\\"\\\\\\\"ssh -4 -x -o StrictHostKeyChecking=no -o \ +BatchMode=yes -l math sident.lassp.cornell.edu wolfram -noinit \ +-wstp\\\\\\\"\\\", \\\",\\\", \\\"7847\\\", \\\",\\\", \\\"11\\\"}], \ +\\\"]\\\"}]\\).\"", 2, 255, 259, 31645164027744773303, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.84260545067861*^9, 3.842613426410461*^9}, + CellLabel-> + "During evaluation of \ +In[255]:=",ExpressionUUID->"3a4d6953-ecc7-4836-bcde-ce1889fff237"], + +Cell[BoxData[ + TemplateBox[{ + "LinkObject", "linkn", + "\"Argument \\!\\(\\*RowBox[{\\\"LinkObject\\\", \\\"[\\\", RowBox[{\\\"\\\ +\\\\\"ssh -4 -x -o StrictHostKeyChecking=no -o BatchMode=yes -l math \ +sident.lassp.cornell.edu wolfram -noinit -wstp\\\\\\\"\\\", \\\",\\\", \ +\\\"7847\\\", \\\",\\\", \\\"11\\\"}], \\\"]\\\"}]\\) in \ +\\!\\(\\*RowBox[{\\\"LinkClose\\\", \\\"[\\\", RowBox[{\\\"LinkObject\\\", \\\ +\"[\\\", RowBox[{\\\"\\\\\\\"ssh -4 -x -o StrictHostKeyChecking=no -o \ +BatchMode=yes -l math sident.lassp.cornell.edu wolfram -noinit \ +-wstp\\\\\\\"\\\", \\\",\\\", \\\"7847\\\", \\\",\\\", \\\"11\\\"}], \ +\\\"]\\\"}], \\\"]\\\"}]\\) has an invalid LinkObject number; the link may be \ +closed.\"", 2, 255, 260, 31645164027744773303, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.84260545067861*^9, 3.842613426416132*^9}, + CellLabel-> + "During evaluation of \ +In[255]:=",ExpressionUUID->"f91cae25-3d55-4507-97e3-8efe0f1015cd"], +Cell[BoxData["$Failed"], "Output", CellChangeTimes->{3.841829478405797*^9, 3.842082489741064*^9, - 3.842083149562351*^9, 3.842083340661083*^9, 3.8424304437921963`*^9}, + 3.842083149562351*^9, 3.842083340661083*^9, 3.8424304437921963`*^9, + 3.842588045934964*^9, 3.842605348676124*^9, 3.842605450691359*^9, + 3.842613426421671*^9}, + CellLabel-> + "Out[255]=",ExpressionUUID->"8ae1da27-83ab-4529-80cd-aa8d17f74340"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"m81", "=", + RowBox[{ + "Import", "[", "\"\<~/doc/research/first_order_singularities/mma/m8.wl\>\"", + "]"}]}]], "Input", + CellChangeTimes->{{3.8431175557870197`*^9, 3.843117572978077*^9}, + 3.843117649811626*^9}, + CellLabel-> + "In[368]:=",ExpressionUUID->"f17f1130-056e-4552-87df-88000e071617"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"477.8872720993887`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.3945095399721226`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19657331402448114`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.540815351266595`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7168304596304513`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.58914437918972`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.3578933157155564`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", + RowBox[{"-", "0.0002471276399885635`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.28467214257786666`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.05289863457865093`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.0201090322678147`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.073168474426385`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0034486104788930307`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.00021837125394706086`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.007098620515609658`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "7", "]"}], "\[Rule]", + RowBox[{"-", "0.000025781921631023817`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "3.737427990965571`*^-6"}], + ",", + RowBox[{ + RowBox[{"A", "[", "6", "]"}], "\[Rule]", "0.000017492654253389754`"}]}], + "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.843117650061253*^9}, CellLabel-> - "(Sident) Out[27]=",ExpressionUUID->"95a89ed3-1405-46fd-84e6-4e39c6d0115c"] + "Out[368]=",ExpressionUUID->"02d3c92e-d0da-4803-afd6-9943e49011bf"] }, Open ]], Cell[CellGroupData[{ @@ -3171,141 +2832,40 @@ less than WorkingPrecision (\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 28, In[28]:=",ExpressionUUID->"19f98077-4ff8-4918-9a55-7133637e973a"] }, Open ]], -Cell[BoxData[{ - RowBox[{ - RowBox[{"ntest", "=", "2"}], ";", - RowBox[{"n1", "=", "2"}], ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]1", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"n1", ",", - RowBox[{"h", "[", "0", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", "m01"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]2", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"n1", ",", - RowBox[{"h", "[", "1", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "1", "]"}], "]"}]}], "/.", "m11"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]3", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "2", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "2", "]"}], "]"}]}], "/.", "m21"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]4", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "2", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "2", "]"}], "]"}]}], "/.", "m31"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]5", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"4", ",", - RowBox[{"h", "[", "4", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "4", "]"}], "]"}]}], "/.", "m41"}]}], - ";"}], "\[IndentingNewLine]", +Cell[BoxData[ RowBox[{ - RowBox[{"our\[CapitalPhi]6", "=", + RowBox[{"test", "=", RowBox[{ RowBox[{ RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"4", ",", - RowBox[{"h", "[", "5", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "5", "]"}], "]"}]}], "/.", "m51"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]7", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"5", ",", - RowBox[{"h", "[", "6", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "6", "]"}], "]"}]}], "/.", "m61"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]8", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"5", ",", - RowBox[{"h", "[", "7", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "7", "]"}], "]"}]}], "/.", "m71"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"our\[CapitalPhi]9", "=", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", - RowBox[{"6", ",", - RowBox[{"h", "[", "8", "]"}]}], "]"}], "[", - RowBox[{"ntest", ",", "1"}], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "8", "]"}], "]"}]}], "/.", "m81"}]}], - ";"}], "\[IndentingNewLine]", - RowBox[{ - RowBox[{"test", "=", - RowBox[{ - RowBox[{ - RowBox[{"(", RowBox[{ - RowBox[{"(", + RowBox[{ RowBox[{ - RowBox[{"\[CapitalPhi]s", "[", - RowBox[{"[", - RowBox[{";;", - RowBox[{"ntest", "+", "1"}]}], "]"}], "]"}], "-", "#"}], ")"}], - "/", - RowBox[{"\[CapitalPhi]s", "[", - RowBox[{"[", - RowBox[{";;", - RowBox[{"ntest", "+", "1"}]}], "]"}], "]"}]}], ")"}], "&"}], "/@", - RowBox[{"{", - RowBox[{ - "our\[CapitalPhi]1", ",", "our\[CapitalPhi]2", ",", "our\[CapitalPhi]3", - ",", "our\[CapitalPhi]4", ",", "our\[CapitalPhi]5", ",", - "our\[CapitalPhi]6", ",", "our\[CapitalPhi]7", ",", "our\[CapitalPhi]8", - ",", "our\[CapitalPhi]9"}], "}"}]}]}], ";"}]}], "Input", + RowBox[{"(", + RowBox[{"(", + RowBox[{ + RowBox[{"\[CapitalPhi]s", "[", + RowBox[{"[", + RowBox[{";;", + RowBox[{"3", "+", "1"}]}], "]"}], "]"}], "-", "#"}], ")"}], + RowBox[{"(*", + RowBox[{"/", + RowBox[{"\[CapitalPhi]s", "[", + RowBox[{"[", + RowBox[{";;", + RowBox[{"ntest", "+", "1"}]}], "]"}], "]"}]}], "*)"}], ")"}], + "&"}], "@", + RowBox[{ + RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", + RowBox[{ + RowBox[{"NF", "[", "#", "]"}], ",", + RowBox[{"H", "[", "#", "]"}]}], "]"}], "[", + RowBox[{"3", ",", "1"}], "]"}]}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"2", ",", "11"}], "]"}]}]}], ";"}]], "Input", CellChangeTimes->{{3.8322388835042*^9, 3.832238885982521*^9}, { 3.832238918751101*^9, 3.832238980544174*^9}, {3.832239034801425*^9, 3.8322390392969837`*^9}, {3.832239749854486*^9, 3.832239844064394*^9}, { @@ -3411,185 +2971,804 @@ Cell[BoxData[{ 3.84208328073446*^9, 3.8420832814942417`*^9}, {3.842338085574991*^9, 3.842338122695022*^9}, {3.8423419036628428`*^9, 3.8423419057897663`*^9}, { 3.842411926198134*^9, 3.8424119445903883`*^9}, {3.84242112346338*^9, - 3.842421124350946*^9}}, - CellLabel->"In[81]:=",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], + 3.842421124350946*^9}, {3.8425246463904753`*^9, 3.842524647582129*^9}, { + 3.8425261808183413`*^9, 3.84252618263431*^9}, {3.842526599403637*^9, + 3.842526600402379*^9}, {3.842584762496993*^9, 3.842584763605804*^9}, { + 3.842586556447665*^9, 3.8425865573026247`*^9}, {3.842588774167568*^9, + 3.842588775015543*^9}, {3.8425898936602573`*^9, 3.842589894419978*^9}, { + 3.842591690750532*^9, 3.842591694796809*^9}, {3.842591763999857*^9, + 3.8425917648060007`*^9}, {3.842595028980213*^9, 3.8425950297146683`*^9}, { + 3.8426006595073023`*^9, 3.842600660337736*^9}, {3.8426012597092857`*^9, + 3.8426012598444643`*^9}, {3.842671531683838*^9, 3.8426715648646193`*^9}, { + 3.842681238154293*^9, 3.8426812428420362`*^9}, 3.842689972295826*^9, + 3.842693186141412*^9, 3.842936082549595*^9, 3.84293875338476*^9, + 3.842938857602396*^9, 3.842940621121595*^9, 3.842945739862487*^9, + 3.8430171395294952`*^9, 3.843036809620142*^9, 3.8430368501491833`*^9, { + 3.8430378234400663`*^9, 3.843037824206767*^9}, 3.8430383694571238`*^9, + 3.843106605962316*^9, {3.8431066621712503`*^9, 3.843106662882271*^9}, { + 3.843107502514744*^9, 3.843107516057703*^9}, {3.843107662253826*^9, + 3.843107664900504*^9}, {3.843117576267062*^9, 3.843117577898965*^9}, { + 3.8431965775334883`*^9, 3.843196577687647*^9}, {3.8431966249287167`*^9, + 3.843196626848268*^9}, {3.8432906922751417`*^9, 3.843290744856604*^9}, { + 3.843544475418182*^9, 3.843544475561912*^9}}, + CellLabel->"In[50]:=",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"Show", "[", - RowBox[{ - RowBox[{"ListLogLogPlot", "[", + RowBox[{"pCov", "=", + RowBox[{"ListLogPlot", "[", + RowBox[{ RowBox[{ + RowBox[{ + RowBox[{"Thread", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"Range", "[", + RowBox[{"2", ",", "11"}], "]"}], ",", "#"}], "}"}], "]"}], "&"}], "/@", RowBox[{"Abs", "@", RowBox[{"Re", "@", - RowBox[{"Transpose", "[", "test", "]"}]}]}], ",", - RowBox[{"Joined", "->", "True"}]}], "]"}], ",", - RowBox[{"LogLogPlot", "[", - RowBox[{ - RowBox[{"0.0005", - SuperscriptBox["x", - RowBox[{"-", "2"}]]}], ",", + RowBox[{"Transpose", "[", "test", "]"}]}]}]}], ",", + RowBox[{"Joined", "->", "True"}], ",", "\[IndentingNewLine]", + RowBox[{"AxesLabel", "->", RowBox[{"{", - RowBox[{"x", ",", "0.5", ",", "10"}], "}"}], ",", - RowBox[{"PlotStyle", "->", "Black"}]}], "]"}]}], "]"}]], "Input", + RowBox[{ + "\"\\"", ",", + "\"\<\!\(\*SuperscriptBox[SubscriptBox[\(\[CapitalDelta]\ +\[ScriptCapitalF]\), \(0\)], \((n)\)]\)\>\""}], "}"}]}], ",", + RowBox[{"PlotLegends", "->", + RowBox[{"LineLegend", "[", + RowBox[{ + RowBox[{"{", + RowBox[{"0", ",", "1", ",", "2", ",", "3"}], "}"}], ",", + RowBox[{"LegendLabel", "->", "n"}]}], "]"}]}]}], "]"}]}]], "Input", CellChangeTimes->CompressedData[" -1:eJwd0mtIk2EAxfG1SSxrGZEVXTe2ycDEmVZuMsbCS80aY8gsIcpZ1pw5nGvM -pq3s4hJ8tSHJGNocktAFSmfYBKNVKDI2xXAlVCi2/PBWRCVlFD3n+XD4fT/8 -RSar4QyXw+FkkcGJ9w8WatpZzVTm6iRsuhv5Cjtd09Q9P2cbLMT96i9U/aRe -VONnNfw356gZXEYKn7dvz4BFi4/n64hRdYLakhbVeonVYzuPQr5a6F7oYTV1 -kweohpnebjjLbfVBbbpnBo7bGer8za1LMEv6jVr+zKVbJM6Ne6ktfUHBR+Kp -H671cEua0A2D/YnrMBJXKZPEDVfkKtjVmNsFLxem34apnSbJJ6Jsn5marduc -CRmdiOrcdUwOA2ycmmTPMrAwZKaGHHu7oShlmJoXr/wDE5YCzhKR/Vy1FkqK -BwUwf3ebFv6tKCuFpcuxZtjh116CRiWvFcqUPA8U7qj/bexlNY6Dv1bgtcCY -q5wYckuaYHXC3HCcyJMK7NDAnGiE665ON0N2sGgEbuQPjUJ7ydv6CqJqW64N -LvtW1VYSbbVWK/TZYhfgilPvgI8KpgwmYtL7mpp9Mu98FVH2T0Htvx8dOE2M -DiSoSvHwDQ8xrAxTR4wP33UQnfdGqW1c/3c4IX5C7TuSGuHdIb9Ywi+g59ar -khRisFhxCJYZ1vAFxDnHReqmoQ9ieYD0IzoshU9fKnJyiDG3KR/+B8ZPgIA= - +1:eJwd0ltI03EYxvG5jVjWMiIrssOGTmYmuqalkyELdTVL5hAtIcqtrKk5NteY +TV3awSU4bUg2RssNSVCD0immYTQLRcZUrC2NCsWWF//KTlKLovf9XTx87h++ +XKVGcY5Oo9GEMHTyXd9SeQslmUlcF0Jr73u/oG2mWeL+n4HqCvBg1meifErO +LXdQEtbrC8R4upWHPmuJiUdzlh8tVoG+rCCxMcons4FlY7uPo6wsjnnpLiWp +mjpEVMw5O9AAvcmOyqItc+iE3kpcvLljBU3ifSUWPzXlL4MLEzZio8vN/gCe ++WHahG6P4phRd1fwOuqdFotC4OaGFDHaXiNsR69kR99GI9uUcR9BfpqamJy/ +LRG15nOJxj0nUtBOapoYos5b0WyPmugxHOhAucxBYup06R80WJFJWwGpT6oN +aFxuPxtN39ssQ/+WFOaheWv+OrTVIatHi0SMJpQvYlhQzi7t7yInJTEc/hVG +r3WOmYpBjzmuFi0LqqtPggweW48qrKdq0I1XZ+tQqj9nGN3CGhhF9dJ5bQko +3inUoWv2iMpSUFep0aB2nf8SGjbKDejDzBmFEgzZXhKTT6deVIH8fxnErl5f +91nQ1x0kimIHb1jAEdEIcbjowdtW0NgzSmymO76jk7FDRNexSC/jHvxSMTKO +Wm69kDJBd27GEbRQsZ7FBhcMl4lbB97HpnRCP9yjPPTx8wyBAPSblelobU9f +4xsXJYkpHiKuFiTzmG7otNeZgH5LSwjIwX22pHl0PGK1pAB0SlVatOyJYKYe +vBMWEo0NMZ4wGHrFIf4HeiG0EQ== "], - CellLabel->"In[92]:=",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], + CellLabel->"In[52]:=",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], + +Cell[BoxData[ + TemplateBox[{ + GraphicsBox[{{}, {{{}, {}, { + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[1.6]], + LineBox[{{2., -5.856599682468509}, {3., -7.466296480483548}, { + 4., -10.136275338080454`}, {5., -9.68356928742401}, { + 6., -9.90021444246551}, {7., -11.061638913188895`}, { + 8., -11.714565536474149`}, {9., -12.90430640260919}, { + 10., -12.501821175077207`}, {11., -9.615083962749754}}]}, { + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[1.6]], + LineBox[{{2., -5.404465032019062}, {3., -6.826429782057151}, { + 4., -8.965013252194838}, {5., -8.684484917575256}, { + 6., -8.863180078343914}, {7., -9.761520791437412}, { + 8., -10.74245195067655}, {9., -11.765486445850913`}, { + 10., -11.341767147217661`}, {11., -9.567581153492885}}]}, { + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.560181, 0.691569, 0.194885], + AbsoluteThickness[1.6]], + LineBox[{{2., -5.960702024192532}, {3., -7.124214362663731}, { + 4., -8.612904152028939}, {5., -8.475444881202655}, { + 6., -8.695552782640089}, {7., -9.282439276847414}, { + 8., -10.68511141565525}, {9., -11.531461881147008`}, { + 10., -11.046231010829892`}, {11., -11.302235331794291`}}]}, { + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.922526, 0.385626, 0.209179], + AbsoluteThickness[1.6]], + LineBox[{{2., -7.737411975757921}, {3., -9.652388588760953}, { + 4., -8.917039046694875}, {5., -8.947851999283966}, { + 6., -9.489711075039514}, {7., -9.487527678321284}, { + 8., -11.872856598145137`}, {9., -12.458123858909548`}, { + 10., -11.654831678775878`}, { + 11., -10.05145753522362}}]}}}, {{}, {}}}, { + DisplayFunction -> Identity, GridLines -> {None, None}, DisplayFunction -> + Identity, DisplayFunction -> Identity, DisplayFunction -> Identity, + DisplayFunction -> Identity, AspectRatio -> + NCache[GoldenRatio^(-1), 0.6180339887498948], Axes -> {True, True}, + AxesLabel -> { + FormBox["\"Order\"", TraditionalForm], + FormBox[ + "\"\\!\\(\\*SuperscriptBox[SubscriptBox[\\(\[CapitalDelta]\ +\[ScriptCapitalF]\\), \\(0\\)], \\((n)\\)]\\)\"", TraditionalForm]}, + AxesOrigin -> {1.8125000000000004`, -13.491211551681658`}, + DisplayFunction :> Identity, Frame -> {{False, False}, {False, False}}, + FrameLabel -> {{None, None}, {None, None}}, FrameTicks -> {{ + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledFrameTicks[{Identity, Identity}]}, { + Automatic, Automatic}}, GridLines -> {None, None}, GridLinesStyle -> + Directive[ + GrayLevel[0.5, 0.4]], + Method -> { + "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& )}}, + PlotRange -> {{1.8125000000000004`, + 11.}, {-13.491211551681658`, -5.404465032019062}}, PlotRangeClipping -> + True, PlotRangePadding -> {{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.05]}}, Ticks -> {Automatic, + Charting`ScaledTicks[{Log, Exp}]}}], + FormBox[ + FormBox[ + TemplateBox[{"0", "1", "2", "3"}, "LineLegend", + DisplayFunction -> (FormBox[ + StyleBox[ + StyleBox[ + PaneBox[ + TagBox[ + GridBox[{{ + StyleBox[ + "n", {FontFamily -> "Arial"}, Background -> Automatic, + StripOnInput -> False]}, { + TagBox[ + GridBox[{{ + TagBox[ + GridBox[{{ + GraphicsBox[{{ + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[1.6]], { + LineBox[{{0, 10}, {20, 10}}]}}, { + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[1.6]], {}}}, AspectRatio -> Full, + ImageSize -> {20, 10}, PlotRangePadding -> None, + ImagePadding -> Automatic, + BaselinePosition -> (Scaled[0.1] -> Baseline)], #}, { + GraphicsBox[{{ + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[1.6]], { + LineBox[{{0, 10}, {20, 10}}]}}, { + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[1.6]], {}}}, AspectRatio -> Full, + ImageSize -> {20, 10}, PlotRangePadding -> None, + ImagePadding -> Automatic, + BaselinePosition -> (Scaled[0.1] -> Baseline)], #2}, { + GraphicsBox[{{ + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.560181, 0.691569, 0.194885], + AbsoluteThickness[1.6]], { + LineBox[{{0, 10}, {20, 10}}]}}, { + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.560181, 0.691569, 0.194885], + AbsoluteThickness[1.6]], {}}}, AspectRatio -> Full, + ImageSize -> {20, 10}, PlotRangePadding -> None, + ImagePadding -> Automatic, + BaselinePosition -> (Scaled[0.1] -> Baseline)], #3}, { + GraphicsBox[{{ + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.922526, 0.385626, 0.209179], + AbsoluteThickness[1.6]], { + LineBox[{{0, 10}, {20, 10}}]}}, { + Directive[ + EdgeForm[ + Directive[ + Opacity[0.3], + GrayLevel[0]]], + PointSize[0.5], + RGBColor[0.922526, 0.385626, 0.209179], + AbsoluteThickness[1.6]], {}}}, AspectRatio -> Full, + ImageSize -> {20, 10}, PlotRangePadding -> None, + ImagePadding -> Automatic, + BaselinePosition -> (Scaled[0.1] -> Baseline)], #4}}, + GridBoxAlignment -> { + "Columns" -> {Center, Left}, "Rows" -> {{Baseline}}}, + AutoDelete -> False, + GridBoxDividers -> { + "Columns" -> {{False}}, "Rows" -> {{False}}}, + GridBoxItemSize -> { + "Columns" -> {{All}}, "Rows" -> {{All}}}, + GridBoxSpacings -> { + "Columns" -> {{0.5}}, "Rows" -> {{0.8}}}], "Grid"]}}, + GridBoxAlignment -> { + "Columns" -> {{Left}}, "Rows" -> {{Top}}}, AutoDelete -> + False, GridBoxItemSize -> { + "Columns" -> {{Automatic}}, "Rows" -> {{Automatic}}}, + GridBoxSpacings -> {"Columns" -> {{1}}, "Rows" -> {{0}}}], + "Grid"]}}, GridBoxAlignment -> {"Columns" -> {{Center}}}, + AutoDelete -> False, + GridBoxItemSize -> { + "Columns" -> {{Automatic}}, "Rows" -> {{Automatic}}}, + GridBoxSpacings -> { + "Columns" -> {{Automatic}}, "Rows" -> {{Automatic}}}], "Grid"], + Alignment -> Left, AppearanceElements -> None, + ImageMargins -> {{5, 5}, {5, 5}}, ImageSizeAction -> + "ResizeToFit"], LineIndent -> 0, StripOnInput -> False], { + FontFamily -> "Arial"}, Background -> Automatic, StripOnInput -> + False], TraditionalForm]& ), + InterpretationFunction :> (RowBox[{"LineLegend", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"Directive", "[", + RowBox[{ + RowBox[{"PointSize", "[", "0.012833333333333334`", "]"}], + ",", + InterpretationBox[ + ButtonBox[ + TooltipBox[ + GraphicsBox[{{ + GrayLevel[0], + RectangleBox[{0, 0}]}, { + GrayLevel[0], + RectangleBox[{1, -1}]}, { + RGBColor[0.368417, 0.506779, 0.709798], + RectangleBox[{0, -1}, {2, 1}]}}, DefaultBaseStyle -> + "ColorSwatchGraphics", AspectRatio -> 1, Frame -> True, + FrameStyle -> + RGBColor[ + 0.24561133333333335`, 0.3378526666666667, + 0.4731986666666667], FrameTicks -> None, PlotRangePadding -> + None, ImageSize -> + Dynamic[{ + Automatic, + 1.35 (CurrentValue["FontCapHeight"]/AbsoluteCurrentValue[ + Magnification])}]], + StyleBox[ + RowBox[{"RGBColor", "[", + RowBox[{"0.368417`", ",", "0.506779`", ",", "0.709798`"}], + "]"}], NumberMarks -> False]], Appearance -> None, + BaseStyle -> {}, BaselinePosition -> Baseline, + DefaultBaseStyle -> {}, ButtonFunction :> + With[{Typeset`box$ = EvaluationBox[]}, + If[ + Not[ + AbsoluteCurrentValue["Deployed"]], + SelectionMove[Typeset`box$, All, Expression]; + FrontEnd`Private`$ColorSelectorInitialAlpha = 1; + FrontEnd`Private`$ColorSelectorInitialColor = + RGBColor[0.368417, 0.506779, 0.709798]; + FrontEnd`Private`$ColorSelectorUseMakeBoxes = True; + MathLink`CallFrontEnd[ + FrontEnd`AttachCell[Typeset`box$, + FrontEndResource["RGBColorValueSelector"], { + 0, {Left, Bottom}}, {Left, Top}, + "ClosingActions" -> { + "SelectionDeparture", "ParentChanged", + "EvaluatorQuit"}]]]], BaseStyle -> Inherited, Evaluator -> + Automatic, Method -> "Preemptive"], + RGBColor[0.368417, 0.506779, 0.709798], Editable -> False, + Selectable -> False], ",", + RowBox[{"AbsoluteThickness", "[", "1.6`", "]"}]}], "]"}], + ",", + RowBox[{"Directive", "[", + RowBox[{ + RowBox[{"PointSize", "[", "0.012833333333333334`", "]"}], + ",", + InterpretationBox[ + ButtonBox[ + TooltipBox[ + GraphicsBox[{{ + GrayLevel[0], + RectangleBox[{0, 0}]}, { + GrayLevel[0], + RectangleBox[{1, -1}]}, { + RGBColor[0.880722, 0.611041, 0.142051], + RectangleBox[{0, -1}, {2, 1}]}}, DefaultBaseStyle -> + "ColorSwatchGraphics", AspectRatio -> 1, Frame -> True, + FrameStyle -> + RGBColor[ + 0.587148, 0.40736066666666665`, 0.09470066666666668], + FrameTicks -> None, PlotRangePadding -> None, ImageSize -> + Dynamic[{ + Automatic, + 1.35 (CurrentValue["FontCapHeight"]/AbsoluteCurrentValue[ + Magnification])}]], + StyleBox[ + RowBox[{"RGBColor", "[", + RowBox[{"0.880722`", ",", "0.611041`", ",", "0.142051`"}], + "]"}], NumberMarks -> False]], Appearance -> None, + BaseStyle -> {}, BaselinePosition -> Baseline, + DefaultBaseStyle -> {}, ButtonFunction :> + With[{Typeset`box$ = EvaluationBox[]}, + If[ + Not[ + AbsoluteCurrentValue["Deployed"]], + SelectionMove[Typeset`box$, All, Expression]; + FrontEnd`Private`$ColorSelectorInitialAlpha = 1; + FrontEnd`Private`$ColorSelectorInitialColor = + RGBColor[0.880722, 0.611041, 0.142051]; + FrontEnd`Private`$ColorSelectorUseMakeBoxes = True; + MathLink`CallFrontEnd[ + FrontEnd`AttachCell[Typeset`box$, + FrontEndResource["RGBColorValueSelector"], { + 0, {Left, Bottom}}, {Left, Top}, + "ClosingActions" -> { + "SelectionDeparture", "ParentChanged", + "EvaluatorQuit"}]]]], BaseStyle -> Inherited, Evaluator -> + Automatic, Method -> "Preemptive"], + RGBColor[0.880722, 0.611041, 0.142051], Editable -> False, + Selectable -> False], ",", + RowBox[{"AbsoluteThickness", "[", "1.6`", "]"}]}], "]"}], + ",", + RowBox[{"Directive", "[", + RowBox[{ + RowBox[{"PointSize", "[", "0.012833333333333334`", "]"}], + ",", + InterpretationBox[ + ButtonBox[ + TooltipBox[ + GraphicsBox[{{ + GrayLevel[0], + RectangleBox[{0, 0}]}, { + GrayLevel[0], + RectangleBox[{1, -1}]}, { + RGBColor[0.560181, 0.691569, 0.194885], + RectangleBox[{0, -1}, {2, 1}]}}, DefaultBaseStyle -> + "ColorSwatchGraphics", AspectRatio -> 1, Frame -> True, + FrameStyle -> + RGBColor[ + 0.37345400000000006`, 0.461046, 0.12992333333333334`], + FrameTicks -> None, PlotRangePadding -> None, ImageSize -> + Dynamic[{ + Automatic, + 1.35 (CurrentValue["FontCapHeight"]/AbsoluteCurrentValue[ + Magnification])}]], + StyleBox[ + RowBox[{"RGBColor", "[", + RowBox[{"0.560181`", ",", "0.691569`", ",", "0.194885`"}], + "]"}], NumberMarks -> False]], Appearance -> None, + BaseStyle -> {}, BaselinePosition -> Baseline, + DefaultBaseStyle -> {}, ButtonFunction :> + With[{Typeset`box$ = EvaluationBox[]}, + If[ + Not[ + AbsoluteCurrentValue["Deployed"]], + SelectionMove[Typeset`box$, All, Expression]; + FrontEnd`Private`$ColorSelectorInitialAlpha = 1; + FrontEnd`Private`$ColorSelectorInitialColor = + RGBColor[0.560181, 0.691569, 0.194885]; + FrontEnd`Private`$ColorSelectorUseMakeBoxes = True; + MathLink`CallFrontEnd[ + FrontEnd`AttachCell[Typeset`box$, + FrontEndResource["RGBColorValueSelector"], { + 0, {Left, Bottom}}, {Left, Top}, + "ClosingActions" -> { + "SelectionDeparture", "ParentChanged", + "EvaluatorQuit"}]]]], BaseStyle -> Inherited, Evaluator -> + Automatic, Method -> "Preemptive"], + RGBColor[0.560181, 0.691569, 0.194885], Editable -> False, + Selectable -> False], ",", + RowBox[{"AbsoluteThickness", "[", "1.6`", "]"}]}], "]"}], + ",", + RowBox[{"Directive", "[", + RowBox[{ + RowBox[{"PointSize", "[", "0.012833333333333334`", "]"}], + ",", + InterpretationBox[ + ButtonBox[ + TooltipBox[ + GraphicsBox[{{ + GrayLevel[0], + RectangleBox[{0, 0}]}, { + GrayLevel[0], + RectangleBox[{1, -1}]}, { + RGBColor[0.922526, 0.385626, 0.209179], + RectangleBox[{0, -1}, {2, 1}]}}, DefaultBaseStyle -> + "ColorSwatchGraphics", AspectRatio -> 1, Frame -> True, + FrameStyle -> + RGBColor[ + 0.6150173333333333, 0.25708400000000003`, + 0.13945266666666667`], FrameTicks -> None, + PlotRangePadding -> None, ImageSize -> + Dynamic[{ + Automatic, + 1.35 (CurrentValue["FontCapHeight"]/AbsoluteCurrentValue[ + Magnification])}]], + StyleBox[ + RowBox[{"RGBColor", "[", + RowBox[{"0.922526`", ",", "0.385626`", ",", "0.209179`"}], + "]"}], NumberMarks -> False]], Appearance -> None, + BaseStyle -> {}, BaselinePosition -> Baseline, + DefaultBaseStyle -> {}, ButtonFunction :> + With[{Typeset`box$ = EvaluationBox[]}, + If[ + Not[ + AbsoluteCurrentValue["Deployed"]], + SelectionMove[Typeset`box$, All, Expression]; + FrontEnd`Private`$ColorSelectorInitialAlpha = 1; + FrontEnd`Private`$ColorSelectorInitialColor = + RGBColor[0.922526, 0.385626, 0.209179]; + FrontEnd`Private`$ColorSelectorUseMakeBoxes = True; + MathLink`CallFrontEnd[ + FrontEnd`AttachCell[Typeset`box$, + FrontEndResource["RGBColorValueSelector"], { + 0, {Left, Bottom}}, {Left, Top}, + "ClosingActions" -> { + "SelectionDeparture", "ParentChanged", + "EvaluatorQuit"}]]]], BaseStyle -> Inherited, Evaluator -> + Automatic, Method -> "Preemptive"], + RGBColor[0.922526, 0.385626, 0.209179], Editable -> False, + Selectable -> False], ",", + RowBox[{"AbsoluteThickness", "[", "1.6`", "]"}]}], "]"}]}], + "}"}], ",", + RowBox[{"{", + RowBox[{#, ",", #2, ",", #3, ",", #4}], "}"}], ",", + RowBox[{"LegendMarkers", "\[Rule]", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{"False", ",", "Automatic"}], "}"}], ",", + RowBox[{"{", + RowBox[{"False", ",", "Automatic"}], "}"}], ",", + RowBox[{"{", + RowBox[{"False", ",", "Automatic"}], "}"}], ",", + RowBox[{"{", + RowBox[{"False", ",", "Automatic"}], "}"}]}], "}"}]}], ",", + RowBox[{"Joined", "\[Rule]", + RowBox[{"{", + RowBox[{"True", ",", "True", ",", "True", ",", "True"}], + "}"}]}], ",", + RowBox[{"LabelStyle", "\[Rule]", + RowBox[{"{", "}"}]}], ",", + RowBox[{"LegendLabel", "\[Rule]", "n"}], ",", + RowBox[{"LegendLayout", "\[Rule]", "\"Column\""}]}], "]"}]& ), + Editable -> True], TraditionalForm], TraditionalForm]}, + "Legended", + DisplayFunction->(GridBox[{{ + TagBox[ + ItemBox[ + PaneBox[ + TagBox[#, "SkipImageSizeLevel"], Alignment -> {Center, Baseline}, + BaselinePosition -> Baseline], DefaultBaseStyle -> "Labeled"], + "SkipImageSizeLevel"], + ItemBox[#2, DefaultBaseStyle -> "LabeledLabel"]}}, + GridBoxAlignment -> {"Columns" -> {{Center}}, "Rows" -> {{Center}}}, + AutoDelete -> False, GridBoxItemSize -> Automatic, + BaselinePosition -> {1, 1}]& ), + Editable->True, + InterpretationFunction->(RowBox[{"Legended", "[", + RowBox[{#, ",", + RowBox[{"Placed", "[", + RowBox[{#2, ",", "After"}], "]"}]}], "]"}]& )]], "Output", + CellChangeTimes->{ + 3.843197719884576*^9, {3.843197778203732*^9, 3.843197835574469*^9}, { + 3.843290749006317*^9, 3.8432907541455383`*^9}, {3.84354447787111*^9, + 3.843544481390995*^9}}, + CellLabel->"Out[52]=",ExpressionUUID->"4feaac21-8fda-4a64-875e-21656d6b13aa"] +}, Open ]], + +Cell[BoxData[ + RowBox[{ + RowBox[{"Export", "[", + RowBox[{"\"\\"", ",", "pCov"}], "]"}], + ";"}]], "Input", + CellChangeTimes->{{3.8431978371752787`*^9, 3.8431978469504023`*^9}}, + CellLabel-> + "In[546]:=",ExpressionUUID->"50db4e94-544f-4873-82ae-09a8483fdb2d"], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"ListLogPlot", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{ + RowBox[{"Abs", "@", + RowBox[{"rule\[Theta]0", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "/.", + RowBox[{ + RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], ")"}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"2", ",", "11"}], "]"}]}], ",", + RowBox[{"PlotRange", "->", + RowBox[{"{", + RowBox[{ + SuperscriptBox["10", + RowBox[{"-", "6"}]], ",", ".1"}], "}"}]}]}], "]"}]], "Input", + CellChangeTimes->{{3.843108152525075*^9, 3.843108170815724*^9}, { + 3.843117745741742*^9, 3.843117745941431*^9}, {3.843545854483124*^9, + 3.843545854627014*^9}}, + CellLabel->"In[53]:=",ExpressionUUID->"01387b01-3294-40e7-8b69-78378d670e52"], Cell[BoxData[ - GraphicsBox[{{{}, {{}, {}, - {RGBColor[0.368417, 0.506779, 0.709798], PointSize[ - 0.012833333333333334`], AbsoluteThickness[1.6], - LineBox[{{0., -5.971972334739143}, { - 0.6931471805599453, -7.681503916379692}, { - 1.0986122886681098`, -10.32757498295671}, { - 1.3862943611198906`, -9.829681783551441}, { - 1.6094379124341003`, -10.230357632303523`}, { - 1.791759469228055, -11.722321803004917`}, { - 1.9459101490553132`, -11.124820927921238`}, { - 2.0794415416798357`, -11.001954370113104`}, { - 2.1972245773362196`, -11.118634002311206`}}]}, - {RGBColor[0.880722, 0.611041, 0.142051], PointSize[ - 0.012833333333333334`], AbsoluteThickness[1.6], - LineBox[{{0., -4.184942732378463}, { - 0.6931471805599453, -5.725381520561698}, { - 1.0986122886681098`, -7.828252802638092}, { - 1.3862943611198906`, -7.579916569917494}, { - 1.6094379124341003`, -7.866801448752056}, { - 1.791759469228055, -9.318111966918382}, { - 1.9459101490553132`, -8.608117468053502}, { - 2.0794415416798357`, -8.516686765727957}, { - 2.1972245773362196`, -8.644056122322144}}]}, - {RGBColor[0.560181, 0.691569, 0.194885], PointSize[ - 0.012833333333333334`], AbsoluteThickness[1.6], - LineBox[{{0., -3.6691276816033196`}, { - 0.6931471805599453, -4.981672033906618}, { - 1.0986122886681098`, -6.416778302027888}, { - 1.3862943611198906`, -6.260942540208597}, { - 1.6094379124341003`, -6.618985912040625}, { - 1.791759469228055, -8.10866005325722}, { - 1.9459101490553132`, -7.18049112358534}, { - 2.0794415416798357`, -7.1210841072949815`}, { - 2.1972245773362196`, -7.264304490440206}}]}}, {{}, {}}}, {{{}, {}, - TagBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwB4QQe+yFib1JlAgAAAE0AAAACAAAAI5En3kIu5r+WYuVBwtsYwE4n8gm8 -Jua/C73y9qPdGMB6vbw1NR/mv4AXAKyF3xjA0OlRjScQ5r9qzBoWSeMYwH5C -fDwM8uW/PjZQ6s/qGMDY89Ca1bXlv+gJu5Ld+RjAjFZ6V2g95b87sZDj+BcZ -wPUbzdCNTOS/4f87hS9UGcAUxAQAVELiv9kVbvm91hnAdEoGlbVa4L9BtC2U -pVAawDKI065P+dy/2NWUAynIGsAUtr6sKuzYvxxw16PNSRvAeqA9djwk1b/P -kqdqy8IbwHjF4LhEC9G/Ly5TYupFHMACoo+s3ArKv75Mpi6lxhzAGjKFfp2J -wr+884YhuT4dwMduhoWWzLS/aBNDRe7AHcCZrUXxNGuWv4O7jI98Oh7Aovun -Q+2Uoj/N5n2uprEewKZ8aJvgc7k/w4pK/vEyH8C3BFezN0TEPyq3pHSWqx/A -6lWxJpJwzD8eLu0NLhcgwATtQfJUO9I/P8Lby15XIMCQcpcFKvnVP5gaER08 -kyDAhL3InwgI2j9HL7QGKtQgwPVLZm6w0d0/Lgieg8QQIcDmz+/hMPbgP2yd -9ZhvUiHAjIZK3/j54j9B9KCY6JIhwPFe23Yl2+Q/TQ+TKw7PIcAJGtrR1uTm -P7Dm8lZEECLA4PYOx+zL6D9LgpkVJ00iwHLg4Q5yqeo/fd+TvteIIsC3rCIa -fK/sPwb5+/+YySLAu5qZv+qS7j/H1qrUBgYjwLo1PxRvT/A/3nDHQYVHI8Bz -pAByoFDxP4zMN5nRhyPACyTdHIRA8j9y7O6DysMjwP2UcCmqRPM/rsgTB9QE -JMDOFh+Dgjf0PyNpfx2KQSTA/Z4chpIl9T8uyz4eDn0kwIYY0erkJ/Y/kelr -t6K9JMDuoqCc6Rj3PyrM3+Pj+STAsB4nsDAe+D8ba8GoNTslwFGryBAqEvk/ -Q87pADR4JcBPPrkaWwH6PwPzZUMAtCXAp8Jghs4E+z8Z1E8e3fQlwN5XIz/0 -9vs/Z3mAjGYxJsBv3pxZXP38PwvbHpMAcybAXmtlHfz+/T9H/hCEaLMmwCwJ -SS5O7/4/uuVJCH3vJsBUmOOg4vP/P4SJ8CSiMCfALZxMsJRzAECG8d3Uc20n -wF/vzuTT6gBAHxsfbxOpJ8A+uyxKNGwBQA4BzqHD6SfAjQ8Y1u3kAUA2q8Nn -ICYowPqxjeUI5wFAbHx+7y0nKMBoVAP1I+kBQKNNOXc7KCjAQpnuE1rtAUAQ -8K6GVioowPgixVHG9QFA6zSapYwuKMBiNnLNngYCQKC+cOP4NijAOF3MxE8o -AkAL0h1f0UcowKb/QdRqKgJAQqPY5t5IKMATorfjhSwCQHl0k27sSSjA7uai -ArwwAkDmFgl+B0wowKNweUAoOQJAwVv0nD1QKMAOhCa8AEoCQHblytqpWCjA -eyacyxtMAkCttoVit1kowOjIEds2TgJA44dA6sRaKMDDDf35bFICQFEqtvnf -XCjAeJfTN9laAkArb6EYFmEowOY5SUf0XAJAYkBcoCNiKMBT3L5WD18CQJkR -FygxYyjALiGqdUVjAkAGtIw3TGUowJvDH4VgZQJAPYVHv1lmKMAIZpWUe2cC -QHNWAkdnZyjAdggLpJZpAkCqJ73OdGgowOOqgLOxawJA4fh3VoJpKMD8G1uL - - "]]}, - Annotation[#, "Charting`Private`Tag$55730#1"]& ]}, {}}}, + GraphicsBox[{{{ + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{1., -4.161270223633423}, {1., -4.158067709187776}}], + LineBox[{{1., -4.158067709187776}, {1., -4.154875418109133}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{2., -5.968025267814502}, {2., -5.948676992997732}}], + LineBox[{{2., -5.948676992997732}, {2., -5.92969597928999}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{3., -5.868703144086993}, {3., -5.851168288784784}}], + LineBox[{{3., -5.851168288784784}, {3., -5.833935613558236}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{4., -5.81726599136133}, {4., -5.800602992083543}}], + LineBox[{{4., -5.800602992083543}, {4., -5.784213103719304}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{5., -7.374624319971788}, {5., -7.297895133901858}}], + LineBox[{{5., -7.297895133901858}, {5., -7.226636088131909}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{6., -7.6456159352018345`}, {6., -7.546157264081057}}], + LineBox[{{6., -7.546157264081057}, {6., -7.455701909219525}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{7., -10.896063819448266`}, {7., -9.588223891678313}}], + LineBox[{{7., -9.588223891678313}, {7., -9.040335757269364}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{8., -36.04365338911715}, {8., -10.257564108125548`}}], + LineBox[{{8., -10.257564108125548`}, {8., -9.37178855906428}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{9., -36.04365338911715}, {9., -12.392853344821907`}}], + LineBox[{{9., -12.392853344821907`}, {9., -9.823787150772034}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{10., -10.961498850071521`}, {10., -9.605499648012994}}], + LineBox[{{10., -9.605499648012994}, {10., -9.050287646359877}}]}}, + Antialiasing->False]}}, { + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{1, -4.154875418109133}, + Offset[{3, 0}, {1, -4.154875418109133}]}, {{ + 1, -4.154875418109133}, + Offset[{-3, 0}, {1, -4.154875418109133}]}, {{ + 1, -4.161270223633423}, + Offset[{3, 0}, {1, -4.161270223633423}]}, {{ + 1, -4.161270223633423}, + Offset[{-3, 0}, {1, -4.161270223633423}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{2, -5.92969597928999}, + Offset[{3, 0}, {2, -5.92969597928999}]}, {{2, -5.92969597928999}, + Offset[{-3, 0}, {2, -5.92969597928999}]}, {{ + 2, -5.968025267814502}, + Offset[{3, 0}, {2, -5.968025267814502}]}, {{ + 2, -5.968025267814502}, + Offset[{-3, 0}, {2, -5.968025267814502}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{3, -5.833935613558236}, + Offset[{3, 0}, {3, -5.833935613558236}]}, {{ + 3, -5.833935613558236}, + Offset[{-3, 0}, {3, -5.833935613558236}]}, {{ + 3, -5.868703144086993}, + Offset[{3, 0}, {3, -5.868703144086993}]}, {{ + 3, -5.868703144086993}, + Offset[{-3, 0}, {3, -5.868703144086993}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{4, -5.784213103719304}, + Offset[{3, 0}, {4, -5.784213103719304}]}, {{ + 4, -5.784213103719304}, + Offset[{-3, 0}, {4, -5.784213103719304}]}, {{ + 4, -5.81726599136133}, Offset[{3, 0}, {4, -5.81726599136133}]}, {{ + 4, -5.81726599136133}, + Offset[{-3, 0}, {4, -5.81726599136133}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{5, -7.226636088131909}, + Offset[{3, 0}, {5, -7.226636088131909}]}, {{ + 5, -7.226636088131909}, + Offset[{-3, 0}, {5, -7.226636088131909}]}, {{ + 5, -7.374624319971788}, + Offset[{3, 0}, {5, -7.374624319971788}]}, {{ + 5, -7.374624319971788}, + Offset[{-3, 0}, {5, -7.374624319971788}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{6, -7.455701909219525}, + Offset[{3, 0}, {6, -7.455701909219525}]}, {{ + 6, -7.455701909219525}, + Offset[{-3, 0}, {6, -7.455701909219525}]}, {{ + 6, -7.6456159352018345`}, + Offset[{3, 0}, {6, -7.6456159352018345`}]}, {{ + 6, -7.6456159352018345`}, + Offset[{-3, 0}, {6, -7.6456159352018345`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{7, -9.040335757269364}, + Offset[{3, 0}, {7, -9.040335757269364}]}, {{ + 7, -9.040335757269364}, + Offset[{-3, 0}, {7, -9.040335757269364}]}, {{ + 7, -10.896063819448266`}, + Offset[{3, 0}, {7, -10.896063819448266`}]}, {{ + 7, -10.896063819448266`}, + Offset[{-3, 0}, {7, -10.896063819448266`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{8, -9.37178855906428}, + Offset[{3, 0}, {8, -9.37178855906428}]}, {{8, -9.37178855906428}, + Offset[{-3, 0}, {8, -9.37178855906428}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{9, -9.823787150772034}, + Offset[{3, 0}, {9, -9.823787150772034}]}, {{ + 9, -9.823787150772034}, + Offset[{-3, 0}, {9, -9.823787150772034}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{10, -9.050287646359877}, + Offset[{3, 0}, {10, -9.050287646359877}]}, {{ + 10, -9.050287646359877}, + Offset[{-3, 0}, {10, -9.050287646359877}]}, {{ + 10, -10.961498850071521`}, + Offset[{3, 0}, {10, -10.961498850071521`}]}, {{ + 10, -10.961498850071521`}, + Offset[{-3, 0}, {10, -10.961498850071521`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}}}, + {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + PointBox[{{1., -4.158067709187776}, {2., -5.948676992997732}, { + 3., -5.851168288784784}, {4., -5.800602992083543}, { + 5., -7.297895133901858}, {6., -7.546157264081057}, { + 7., -9.588223891678313}, {8., -10.257564108125548`}, { + 9., -12.392853344821907`}, {10., -9.605499648012994}}]}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{-0.09250468055625578, -12.35252994512177}, + AxesOrigin->{0., -13.815510557964274`}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, FrameTicks->{{ Charting`ScaledTicks[{Log, Exp}], - Charting`ScaledFrameTicks[{Log, Exp}]}, { - Charting`ScaledTicks[{Log, Exp}], - Charting`ScaledFrameTicks[{Log, Exp}]}}, + Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic, Automatic}}, GridLines->{None, None}, GridLinesStyle->Directive[ GrayLevel[0.5, 0.4]], - ImageSize->{573.0000000000002, Automatic}, Method->{ "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ - Exp[ + Identity[ Part[#, 1]], Exp[ Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Exp[ + Identity[ Part[#, 1]], Exp[ Part[#, 2]]}& )}}, - PlotRange->{{-0.09250468055625578, - 2.1972245773362196`}, {-12.35252994512177, -3.6691276816033196`}}, + PlotRange-> + NCache[{{0., 10}, {-Log[1000000], -2.3025850929940455`}}, {{ + 0., 10}, {-13.815510557964274`, -2.3025850929940455`}}], PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], - Scaled[0.02]}, { - Scaled[0.02], - Scaled[0.05]}}, - Ticks->FrontEndValueCache[{ - Charting`ScaledTicks[{Log, Exp}], - Charting`ScaledTicks[{Log, Exp}]}, {{{0., - FormBox["1", TraditionalForm], {0.01, 0.}}, {0.6931471805599453, - FormBox["2", TraditionalForm], {0.01, 0.}}, {1.6094379124341003`, - FormBox["5", TraditionalForm], {0.01, 0.}}, {0.4054651081081644, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 1.0986122886681098`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 1.3862943611198906`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 1.791759469228055, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 1.9459101490553132`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 2.0794415416798357`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 2.1972245773362196`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 2.302585092994046, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 2.3513752571634776`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 2.3978952727983707`, + Scaled[0.02]}, {0, 0}}, + Ticks->FrontEndValueCache[{Automatic, + Charting`ScaledTicks[{Log, Exp}]}, {Automatic, {{-13.815510557964274`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}}, {{-11.512925464970229`, + TemplateBox[{"10", + RowBox[{"-", "6"}]}, "Superscript", SyntaxForm -> SuperscriptBox], + TraditionalForm], {0.01, 0.}}, {-11.512925464970229`, FormBox[ TemplateBox[{"10", RowBox[{"-", "5"}]}, "Superscript", SyntaxForm -> SuperscriptBox], @@ -3605,9 +3784,13 @@ QHNWAkdnZyjAdggLpJZpAkCqJ73OdGgowOOqgLOxawJA4fh3VoJpKMD8G1uL StyleBox["\"0.010\"", ShowStringCharacters -> False], 0.01, AutoDelete -> True], NumberForm[#, { DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, - 0.}}, {-13.815510557964274`, + 0.}}, {-2.3025850929940455`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + TagBox[ + InterpretationBox[ + StyleBox["\"0.100\"", ShowStringCharacters -> False], 0.1, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, 0.}}, {-13.122363377404328`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, @@ -3726,166 +3909,138 @@ QHNWAkdnZyjAdggLpJZpAkCqJ73OdGgowOOqgLOxawJA4fh3VoJpKMD8G1uL FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {-2.4079456086518722`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.3025850929940455`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {-1.6094379124341003`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {-1.2039728043259361`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.916290731874155, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.6931471805599453, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.5108256237659907, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.35667494393873245`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.2231435513142097, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.10536051565782628`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {0., FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}}}]]], "Output", - CellChangeTimes->{ - 3.8417351792754507`*^9, 3.841735270593384*^9, 3.841735357439477*^9, - 3.8417355174882727`*^9, 3.8417361563955936`*^9, 3.8418267108534307`*^9, - 3.8418270312390823`*^9, 3.841827075851301*^9, {3.841827197304531*^9, - 3.841827206353059*^9}, 3.84182732581502*^9, 3.841827843948781*^9, - 3.8418279040382137`*^9, 3.8418282580491457`*^9, 3.841828584061058*^9, { - 3.841829019571225*^9, 3.841829044223043*^9}, 3.8418294854778643`*^9, - 3.841830262119801*^9, {3.841995372213642*^9, 3.841995396475107*^9}, - 3.841995484645892*^9, 3.841995682626008*^9, 3.841996135853613*^9, - 3.841996384100068*^9, {3.841996514866692*^9, 3.841996526602826*^9}, - 3.841996688560584*^9, 3.8419970235038013`*^9, 3.841997350767638*^9, - 3.841997527513164*^9, 3.841997893705214*^9, 3.842069090690687*^9, { - 3.8420692244517384`*^9, 3.842069254324669*^9}, 3.842069827638431*^9, - 3.8420700802724743`*^9, 3.842070418369782*^9, 3.8420706117281237`*^9, - 3.8420776841978817`*^9, 3.8420779660784683`*^9, 3.842078147309599*^9, - 3.842078893092942*^9, 3.842079245401634*^9, 3.842079378136832*^9, - 3.8420796453175364`*^9, 3.8420796884261827`*^9, 3.842079791791926*^9, - 3.84207986806572*^9, 3.842079956289599*^9, 3.842080013691594*^9, - 3.8420800736067333`*^9, 3.8420801767022*^9, {3.842080258395369*^9, - 3.8420802657767553`*^9}, 3.8420803495055437`*^9, 3.842080447839018*^9, - 3.84208053012062*^9, 3.842080571064817*^9, 3.842080636861492*^9, { - 3.842080801936014*^9, 3.8420808330683537`*^9}, 3.8420809866283007`*^9, { - 3.842081026758739*^9, 3.8420810665712843`*^9}, 3.842081116607491*^9, { - 3.84208115809739*^9, 3.8420811630394373`*^9}, 3.842081236267685*^9, - 3.842081286153639*^9, {3.8420813178474293`*^9, 3.842081320915207*^9}, - 3.842081445803397*^9, 3.842081582461212*^9, 3.8420817332329817`*^9, - 3.842081984502657*^9, 3.842082290220502*^9, {3.842083183386943*^9, - 3.842083282444791*^9}, 3.842338155816634*^9, 3.8423390209717093`*^9, - 3.842341908479927*^9, 3.842350578695993*^9, 3.842411947329998*^9, - 3.842421125366508*^9}, - CellLabel->"Out[92]=",ExpressionUUID->"dac320a3-b62f-4eeb-bdd8-2ec3673bb6b2"] + CellChangeTimes->{{3.843108155152555*^9, 3.843108171091902*^9}, + 3.843117746212892*^9, 3.8432908590333967`*^9, 3.843545855148696*^9}, + CellLabel->"Out[53]=",ExpressionUUID->"a8c5c14c-e1d5-4c80-aa1c-7079b2b99f03"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"ListLogPlot", "[", + RowBox[{"ListPlot", "[", RowBox[{ RowBox[{ - RowBox[{"Abs", "[", - RowBox[{"{", + RowBox[{"(", + RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "0", "]"}], "]"}], "/.", "m01"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "1", "]"}], "]"}], "/.", "m11"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "2", "]"}], "]"}], "/.", "m21"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "3", "]"}], "]"}], "/.", "m31"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "4", "]"}], "]"}], "/.", "m41"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "5", "]"}], "]"}], "/.", "m51"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "6", "]"}], "]"}], "/.", "m61"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "7", "]"}], "]"}], "/.", "m71"}], ",", - RowBox[{ - RowBox[{"rule\[Theta]0", "[", - RowBox[{"h", "[", "8", "]"}], "]"}], "/.", - RowBox[{"m8", "[", - RowBox[{"[", "2", "]"}], "]"}]}]}], "}"}], "]"}], "/.", - RowBox[{ - RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], ",", - RowBox[{"PlotRange", "->", - RowBox[{"{", - RowBox[{ - SuperscriptBox["10", - RowBox[{"-", "6"}]], ",", - SuperscriptBox["10", - RowBox[{"\[IndentingNewLine]", - RowBox[{"-", "1"}]}]]}], "}"}]}]}], "]"}]], "Input", + RowBox[{"Re", "@", + RowBox[{"ruleAH", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "/.", + RowBox[{ + RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], ")"}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"2", ",", "11"}], "]"}]}], "]"}]], "Input", CellChangeTimes->{{3.842336862881982*^9, 3.842336866191779*^9}, { 3.8423369010084476`*^9, 3.84233703955632*^9}, {3.842338047829585*^9, 3.8423380779341507`*^9}, {3.84233902963297*^9, 3.842339034487693*^9}, { - 3.842341912687029*^9, 3.8423419130620003`*^9}, {3.842411957903296*^9, - 3.8424119648708353`*^9}}, - CellLabel->"In[78]:=",ExpressionUUID->"2534e368-bebd-4cc1-a20e-9a47aa5e90e3"], + 3.842341912687029*^9, 3.8423419130620003`*^9}, {3.842350596340082*^9, + 3.842350647444791*^9}, {3.8424119721274652`*^9, 3.8424119777670403`*^9}, { + 3.8430387966805*^9, 3.843038812840392*^9}, {3.843038863691227*^9, + 3.843038867833747*^9}, {3.843108068941387*^9, 3.843108125684422*^9}, { + 3.843117741837873*^9, 3.843117741925305*^9}, {3.84354585876329*^9, + 3.8435458589228354`*^9}}, + CellLabel->"In[54]:=",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], Cell[BoxData[ GraphicsBox[{{{ {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{1., -4.116544210801462}, {1., -4.11348156181928}}], - LineBox[{{1., -4.11348156181928}, {1., -4.1104282640237715`}}]}}, + LineBox[{{1., 0.2523396669680713}, {1., 0.2723396669680713}}], + LineBox[{{1., 0.2723396669680713}, {1., 0.29233966696807134`}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{2., 0.09371259766422015}, {2., 0.11371259766422015`}}], + LineBox[{{2., 0.11371259766422015`}, {2., 0.13371259766422014`}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{2., -5.838639013811128}, {2., -5.821619088957594}}], - LineBox[{{2., -5.821619088957594}, {2., -5.804884000807531}}]}}, + LineBox[{{3., 0.07534753314923061}, {3., 0.09534753314923061}}], + LineBox[{{3., 0.09534753314923061}, {3., 0.11534753314923062`}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{3., -5.869758511346478}, {3., -5.852205302120374}}], - LineBox[{{3., -5.852205302120374}, {3., -5.83495490044484}}]}}, + LineBox[{{4., 0.08137835397397165}, {4., 0.10137835397397166`}}], + LineBox[{{4., 0.10137835397397166`}, {4., 0.12137835397397166`}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{4., -5.818208239779498}, {4., -5.801529662687046}}], - LineBox[{{4., -5.801529662687046}, {4., -5.785124703214511}}]}}, + LineBox[{{5., -0.013529287427400432`}, {5., + 0.0064707125725995684`}}], + LineBox[{{5., 0.0064707125725995684`}, {5., 0.02647071257259957}}]}}, + Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{5., -7.517702604697093}, {5., -7.429676413484005}}], - LineBox[{{5., -7.429676413484005}, {5., -7.348775820498549}}]}}, + LineBox[{{6., -0.023456222957275213`}, { + 6., -0.0034562229572752123`}}], + LineBox[{{6., -0.0034562229572752123`}, {6., + 0.016543777042724788`}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{6., -9.313921978404101}, {6., -8.872724187637855}}], - LineBox[{{6., -8.872724187637855}, {6., -8.567643450108353}}]}}, + LineBox[{{7., -0.06755274506093277}, {7., -0.047552745060932766`}}], + LineBox[{{7., -0.047552745060932766`}, { + 7., -0.027552745060932766`}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{7., -36.04365338911715}, {7., -11.041011363144566`}}], - LineBox[{{7., -11.041011363144566`}, {7., -9.625392335798844}}]}}, + LineBox[{{8., -0.0656943559101739}, {8., -0.0456943559101739}}], + LineBox[{{8., -0.0456943559101739}, {8., -0.0256943559101739}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{8., -36.04365338911715}, {8., -11.248833648422448`}}], - LineBox[{{8., -11.248833648422448`}, {8., -9.672019108110016}}]}}, + LineBox[{{9., -0.06336481652412472}, {9., -0.043364816524124716`}}], + LineBox[{{9., -0.043364816524124716`}, { + 9., -0.023364816524124716`}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{9., -36.04365338911715}, {9., -10.32274467271925}}], - LineBox[{{9., -10.32274467271925}, {9., -9.39815592839539}}]}}, + LineBox[{{10., -0.06723723012142056}, {10., -0.04723723012142056}}], + LineBox[{{10., -0.04723723012142056}, {10., -0.02723723012142056}}]}}, Antialiasing->False]}}, { {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{1, -4.1104282640237715`}, - Offset[{3, 0}, {1, -4.1104282640237715`}]}, {{ - 1, -4.1104282640237715`}, - Offset[{-3, 0}, {1, -4.1104282640237715`}]}, {{ - 1, -4.116544210801462}, - Offset[{3, 0}, {1, -4.116544210801462}]}, {{ - 1, -4.116544210801462}, - Offset[{-3, 0}, {1, -4.116544210801462}]}}], {{{1., 0.}, {0., + LineBox[{{{1., 0.29233966696807134`}, + Offset[{3, 0}, {1., 0.29233966696807134`}]}, {{1., + 0.29233966696807134`}, + Offset[{-3, 0}, {1., 0.29233966696807134`}]}, {{1., + 0.2523396669680713}, Offset[{3, 0}, {1., 0.2523396669680713}]}, {{ + 1., 0.2523396669680713}, + Offset[{-3, 0}, {1., 0.2523396669680713}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -3893,14 +4048,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{2, -5.804884000807531}, - Offset[{3, 0}, {2, -5.804884000807531}]}, {{ - 2, -5.804884000807531}, - Offset[{-3, 0}, {2, -5.804884000807531}]}, {{ - 2, -5.838639013811128}, - Offset[{3, 0}, {2, -5.838639013811128}]}, {{ - 2, -5.838639013811128}, - Offset[{-3, 0}, {2, -5.838639013811128}]}}], {{{1., 0.}, {0., + LineBox[{{{2., 0.13371259766422014`}, + Offset[{3, 0}, {2., 0.13371259766422014`}]}, {{2., + 0.13371259766422014`}, + Offset[{-3, 0}, {2., 0.13371259766422014`}]}, {{2., + 0.09371259766422015}, + Offset[{3, 0}, {2., 0.09371259766422015}]}, {{2., + 0.09371259766422015}, + Offset[{-3, 0}, {2., 0.09371259766422015}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -3908,13 +4063,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{3, -5.83495490044484}, - Offset[{3, 0}, {3, -5.83495490044484}]}, {{3, -5.83495490044484}, - Offset[{-3, 0}, {3, -5.83495490044484}]}, {{ - 3, -5.869758511346478}, - Offset[{3, 0}, {3, -5.869758511346478}]}, {{ - 3, -5.869758511346478}, - Offset[{-3, 0}, {3, -5.869758511346478}]}}], {{{1., 0.}, {0., + LineBox[{{{3., 0.11534753314923062`}, + Offset[{3, 0}, {3., 0.11534753314923062`}]}, {{3., + 0.11534753314923062`}, + Offset[{-3, 0}, {3., 0.11534753314923062`}]}, {{3., + 0.07534753314923061}, + Offset[{3, 0}, {3., 0.07534753314923061}]}, {{3., + 0.07534753314923061}, + Offset[{-3, 0}, {3., 0.07534753314923061}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -3922,14 +4078,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{4, -5.785124703214511}, - Offset[{3, 0}, {4, -5.785124703214511}]}, {{ - 4, -5.785124703214511}, - Offset[{-3, 0}, {4, -5.785124703214511}]}, {{ - 4, -5.818208239779498}, - Offset[{3, 0}, {4, -5.818208239779498}]}, {{ - 4, -5.818208239779498}, - Offset[{-3, 0}, {4, -5.818208239779498}]}}], {{{1., 0.}, {0., + LineBox[{{{4., 0.12137835397397166`}, + Offset[{3, 0}, {4., 0.12137835397397166`}]}, {{4., + 0.12137835397397166`}, + Offset[{-3, 0}, {4., 0.12137835397397166`}]}, {{4., + 0.08137835397397165}, + Offset[{3, 0}, {4., 0.08137835397397165}]}, {{4., + 0.08137835397397165}, + Offset[{-3, 0}, {4., 0.08137835397397165}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -3937,29 +4093,44 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{5, -7.348775820498549}, - Offset[{3, 0}, {5, -7.348775820498549}]}, {{ - 5, -7.348775820498549}, - Offset[{-3, 0}, {5, -7.348775820498549}]}, {{ - 5, -7.517702604697093}, - Offset[{3, 0}, {5, -7.517702604697093}]}, {{ - 5, -7.517702604697093}, - Offset[{-3, 0}, {5, -7.517702604697093}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, + LineBox[{{{5., 0.02647071257259957}, + Offset[{3, 0}, {5., 0.02647071257259957}]}, {{5., + 0.02647071257259957}, + Offset[{-3, 0}, {5., 0.02647071257259957}]}, {{ + 5., -0.013529287427400432`}, + Offset[{3, 0}, {5., -0.013529287427400432`}]}, {{ + 5., -0.013529287427400432`}, + Offset[{-3, 0}, {5., -0.013529287427400432`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{6., 0.016543777042724788`}, + Offset[{3, 0}, {6., 0.016543777042724788`}]}, {{6., + 0.016543777042724788`}, + Offset[{-3, 0}, {6., 0.016543777042724788`}]}, {{ + 6., -0.023456222957275213`}, + Offset[{3, 0}, {6., -0.023456222957275213`}]}, {{ + 6., -0.023456222957275213`}, + Offset[{-3, 0}, {6., -0.023456222957275213`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{6, -8.567643450108353}, - Offset[{3, 0}, {6, -8.567643450108353}]}, {{ - 6, -8.567643450108353}, - Offset[{-3, 0}, {6, -8.567643450108353}]}, {{ - 6, -9.313921978404101}, - Offset[{3, 0}, {6, -9.313921978404101}]}, {{ - 6, -9.313921978404101}, - Offset[{-3, 0}, {6, -9.313921978404101}]}}], {{{1., 0.}, {0., + LineBox[{{{7., -0.027552745060932766`}, + Offset[{3, 0}, {7., -0.027552745060932766`}]}, {{ + 7., -0.027552745060932766`}, + Offset[{-3, 0}, {7., -0.027552745060932766`}]}, {{ + 7., -0.06755274506093277}, + Offset[{3, 0}, {7., -0.06755274506093277}]}, {{ + 7., -0.06755274506093277}, + Offset[{-3, 0}, {7., -0.06755274506093277}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -3967,10 +4138,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{7, -9.625392335798844}, - Offset[{3, 0}, {7, -9.625392335798844}]}, {{ - 7, -9.625392335798844}, - Offset[{-3, 0}, {7, -9.625392335798844}]}}], {{{1., 0.}, {0., + LineBox[{{{8., -0.0256943559101739}, + Offset[{3, 0}, {8., -0.0256943559101739}]}, {{ + 8., -0.0256943559101739}, + Offset[{-3, 0}, {8., -0.0256943559101739}]}, {{ + 8., -0.0656943559101739}, + Offset[{3, 0}, {8., -0.0656943559101739}]}, {{ + 8., -0.0656943559101739}, + Offset[{-3, 0}, {8., -0.0656943559101739}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -3978,10 +4153,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{8, -9.672019108110016}, - Offset[{3, 0}, {8, -9.672019108110016}]}, {{ - 8, -9.672019108110016}, - Offset[{-3, 0}, {8, -9.672019108110016}]}}], {{{1., 0.}, {0., + LineBox[{{{9., -0.023364816524124716`}, + Offset[{3, 0}, {9., -0.023364816524124716`}]}, {{ + 9., -0.023364816524124716`}, + Offset[{-3, 0}, {9., -0.023364816524124716`}]}, {{ + 9., -0.06336481652412472}, + Offset[{3, 0}, {9., -0.06336481652412472}]}, {{ + 9., -0.06336481652412472}, + Offset[{-3, 0}, {9., -0.06336481652412472}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -3989,455 +4168,27923 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{9, -9.39815592839539}, - Offset[{3, 0}, {9, -9.39815592839539}]}, {{9, -9.39815592839539}, - Offset[{-3, 0}, {9, -9.39815592839539}]}}], {{{1., 0.}, {0., + LineBox[{{{10., -0.02723723012142056}, + Offset[{3, 0}, {10., -0.02723723012142056}]}, {{ + 10., -0.02723723012142056}, + Offset[{-3, 0}, {10., -0.02723723012142056}]}, {{ + 10., -0.06723723012142056}, + Offset[{3, 0}, {10., -0.06723723012142056}]}, {{ + 10., -0.06723723012142056}, + Offset[{-3, 0}, {10., -0.06723723012142056}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}}}, {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], AbsoluteThickness[1.6], - PointBox[{{1., -4.11348156181928}, {2., -5.821619088957594}, { - 3., -5.852205302120374}, {4., -5.801529662687046}, { - 5., -7.429676413484005}, {6., -8.872724187637855}, { - 7., -11.041011363144566`}, {8., -11.248833648422448`}, { - 9., -10.32274467271925}}]}, {{}, {}}}, + PointBox[{{1., 0.2723396669680713}, {2., 0.11371259766422015`}, {3., + 0.09534753314923061}, {4., 0.10137835397397166`}, {5., + 0.0064707125725995684`}, {6., -0.0034562229572752123`}, { + 7., -0.047552745060932766`}, {8., -0.0456943559101739}, { + 9., -0.043364816524124716`}, {10., -0.04723723012142056}}]}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{0., -13.815510557964274`}, + AxesOrigin->{0., 0}, + DisplayFunction->Identity, + Frame->{{False, False}, {False, False}}, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + Method->{ + "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& )}}, + PlotRange->{{0., 10.}, {-0.06755274506093277, 0.29233966696807134`}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.05], + Scaled[0.05]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.8423370092964497`*^9, 3.84233703988655*^9}, { + 3.842338051871869*^9, 3.842338078127988*^9}, 3.842339034834592*^9, + 3.84234191354906*^9, {3.8423505853859243`*^9, 3.84235064767439*^9}, + 3.842411978256198*^9, 3.843038817213481*^9, {3.843038864538209*^9, + 3.8430388681449957`*^9}, {3.843108092458481*^9, 3.8431081259843197`*^9}, + 3.843117742197577*^9, 3.843290859577046*^9, 3.8435458592274714`*^9}, + CellLabel->"Out[54]=",ExpressionUUID->"369ce8bb-3f38-4cdc-a50e-361502ed2af6"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"ListLogLogPlot", "[", + RowBox[{ + RowBox[{ + RowBox[{"(", + RowBox[{"n", "\[Function]", + RowBox[{ + RowBox[{ + RowBox[{"Table", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"1", "/", + RowBox[{"(", + RowBox[{ + RowBox[{"2", "i"}], "+", "1"}], ")"}]}], ",", + RowBox[{"Abs", "@", + FractionBox[ + RowBox[{"SeriesCoefficient", "[", + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "n", "]"}], "[", "\[Theta]", "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", "0", ",", + RowBox[{ + RowBox[{"2", "i"}], "+", "1"}]}], "}"}]}], "]"}], + RowBox[{"SeriesCoefficient", "[", + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "n", "]"}], "[", "\[Theta]", "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", "0", ",", + RowBox[{ + RowBox[{"2", "i"}], "-", "1"}]}], "}"}]}], "]"}]]}]}], + "}"}], ",", + RowBox[{"{", + RowBox[{"i", ",", "1", ",", "11"}], "}"}]}], "]"}], "/.", + RowBox[{"S", "[", "n", "]"}]}], "/.", + RowBox[{ + RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}]}], ")"}], "/@", + RowBox[{"Range", "[", + RowBox[{"6", ",", "11"}], "]"}]}], ",", + RowBox[{"Joined", "->", "True"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843038908915916*^9, 3.843039025372636*^9}, { + 3.843039068391004*^9, 3.8430390812137423`*^9}, {3.843039113072671*^9, + 3.8430391365187674`*^9}, 3.843039167992139*^9, {3.8430392481128073`*^9, + 3.8430392946898603`*^9}, {3.843107885522884*^9, 3.843107953865671*^9}, { + 3.843108048821316*^9, 3.84310805498759*^9}, {3.843117727838696*^9, + 3.843117732197199*^9}, {3.843545863507519*^9, 3.8435458707473803`*^9}}, + CellLabel->"In[56]:=",ExpressionUUID->"f785d7e5-8e11-45cc-81cd-0e70921fef2b"], + +Cell[BoxData[ + TemplateBox[{ + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ +encountered.\"", 2, 56, 13, 31651411105451143371, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.8435458711323977`*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"fbed0e74-268f-4f92-9c8b-885e991c9194"], + +Cell[BoxData[ + TemplateBox[{ + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 56, 14, 31651411105451143371, + "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.843545871138833*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"3019447d-825a-41a2-8859-4c89ccb0279f"], + +Cell[BoxData[ + TemplateBox[{ + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ +encountered.\"", 2, 56, 15, 31651411105451143371, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.84354587118867*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"61105ece-905e-4dea-a167-c1aa370850db"], + +Cell[BoxData[ + TemplateBox[{ + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 56, 16, 31651411105451143371, + "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.8435458712391768`*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"97532bae-588b-414e-ba22-1f379282c661"], + +Cell[BoxData[ + TemplateBox[{ + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ +encountered.\"", 2, 56, 17, 31651411105451143371, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.843545871290011*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"a9a08f59-33f8-4715-b1ca-fa46d17e2d2b"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ +\\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ +calculation.\"", 2, 56, 18, 31651411105451143371, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.843545871340711*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"c80cb912-309d-4f18-a8a2-45f46f724cf6"], + +Cell[BoxData[ + TemplateBox[{ + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 56, 19, 31651411105451143371, + "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.843545871391727*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"55f6f0f8-5eba-4cef-a841-b59602b7a802"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ +\\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ +during this calculation.\"", 2, 56, 20, 31651411105451143371, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { + 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, + 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { + 3.843545864042536*^9, 3.8435458714422407`*^9}}, + CellLabel-> + "During evaluation of \ +In[56]:=",ExpressionUUID->"5aca199c-ea33-42c7-b391-203dc632654a"], + +Cell[BoxData[ + GraphicsBox[{{}, {{}, {}, + {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.0986122886681098`, -0.3850163301760701}, \ +{-1.6094379124341003`, -1.701988293921857}, {-1.9459101490553135`, \ +-2.4960554641396633`}, {-2.1972245773362196`, -1.604414188207668}, \ +{-2.3978952727983707`, -3.571206504374364}}]}, + {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.0986122886681098`, -0.34506385655267025`}, \ +{-1.6094379124341003`, -1.5939241893147924`}, {-1.9459101490553135`, \ +-2.9476876890974495`}, {-2.1972245773362196`, -0.21675247223648747`}, \ +{-2.3978952727983707`, -2.2224800380831224`}, {-2.5649493574615367`, \ +-2.593652555199629}}]}, + {RGBColor[0.560181, 0.691569, 0.194885], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.0986122886681098`, -0.1238551595142692}, \ +{-1.6094379124341003`, -1.5262739805409067`}, {-1.9459101490553135`, \ +-1.3260542349097244`}, {-2.1972245773362196`, -0.05303613428984394}, \ +{-2.3978952727983707`, -1.2473567197011404`}, {-2.5649493574615367`, \ +-1.9161848159261075`}, {-2.70805020110221, -2.691884607034622}}]}, + {RGBColor[0.922526, 0.385626, 0.209179], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.0986122886681098`, -0.1240320577088941}, \ +{-1.6094379124341003`, -1.5888048175086207`}, {-1.9459101490553135`, \ +-1.1645195437127034`}, {-2.1972245773362196`, -0.16668462170807238`}, \ +{-2.3978952727983707`, -1.221053737981528}, {-2.5649493574615367`, \ +-1.8512494269356834`}, {-2.70805020110221, -2.455394833056804}, \ +{-2.833213344056216, -3.518642781414847}}]}, + {RGBColor[0.528488, 0.470624, 0.701351], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.0986122886681098`, -0.13682497604637694`}, \ +{-1.6094379124341003`, -1.5571246005962862`}, {-1.9459101490553135`, \ +-1.2430287194497254`}, {-2.1972245773362196`, -0.11403261828290505`}, \ +{-2.3978952727983707`, -1.2328536922821567`}, {-2.5649493574615367`, \ +-1.9348777097548686`}, {-2.70805020110221, -3.1364963477033556`}, \ +{-2.833213344056216, -1.62733778422123}, {-2.9444389791664407`, \ +-2.1796219761348974`}}]}, + {RGBColor[0.772079, 0.431554, 0.102387], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.0986122886681098`, -0.1267313434111352}, \ +{-1.6094379124341003`, -1.532402820008442}, {-1.9459101490553135`, \ +-1.3002923839109752`}, {-2.1972245773362196`, -0.09735825227557053}, \ +{-2.3978952727983707`, -1.2334331642750809`}, {-2.5649493574615367`, \ +-1.9190020802733774`}, {-2.70805020110221, -3.0890547749032007`}, \ +{-2.833213344056216, -1.4365091686151825`}, {-2.9444389791664407`, \ +-1.69865569030457}, {-3.044522437723423, -2.831182560441635}}]}}, {{}, {}}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{-3.1264466063251453`, -3.8465233015554117`}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, FrameTicks->{{ Charting`ScaledTicks[{Log, Exp}], - Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic, Automatic}}, + Charting`ScaledFrameTicks[{Log, Exp}]}, { + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledFrameTicks[{Log, Exp}]}}, GridLines->{None, None}, GridLinesStyle->Directive[ GrayLevel[0.5, 0.4]], - ImageSize->{713.9999999999998, Automatic}, Method->{ "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ - Identity[ + Exp[ Part[#, 1]], Exp[ Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Identity[ + Exp[ Part[#, 1]], Exp[ Part[#, 2]]}& )}}, - PlotRange-> - NCache[{{0., 9}, {-Log[1000000], -Log[10]}}, {{ - 0., 9}, {-13.815510557964274`, -2.302585092994046}}], + PlotRange->{{-3.1264466063251453`, -1.0986122886681098`}, \ +{-3.8465233015554117`, -0.05303613428984394}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], - Scaled[0.02]}, {0, 0}}, - Ticks->FrontEndValueCache[{Automatic, - Charting`ScaledTicks[{Log, Exp}]}, {Automatic, {{-13.815510557964274`, - FormBox[ - TemplateBox[{"10", - RowBox[{"-", "6"}]}, "Superscript", SyntaxForm -> SuperscriptBox], - TraditionalForm], {0.01, 0.}}, {-11.512925464970229`, - FormBox[ - TemplateBox[{"10", - RowBox[{"-", "5"}]}, "Superscript", SyntaxForm -> SuperscriptBox], - TraditionalForm], {0.01, 0.}}, {-9.210340371976182, - FormBox[ - TemplateBox[{"10", - RowBox[{"-", "4"}]}, "Superscript", SyntaxForm -> SuperscriptBox], - TraditionalForm], {0.01, 0.}}, {-6.907755278982137, - FormBox["0.001`", TraditionalForm], {0.01, 0.}}, {-4.605170185988091, + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.05]}}, + Ticks->FrontEndValueCache[{ + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledTicks[{Log, Exp}]}, {{{-2.995732273553991, FormBox[ TagBox[ InterpretationBox[ - StyleBox["\"0.010\"", ShowStringCharacters -> False], 0.01, + StyleBox["\"0.05\"", ShowStringCharacters -> False], 0.05, AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, 0.}}, {-2.3025850929940455`, FormBox[ TagBox[ InterpretationBox[ - StyleBox["\"0.100\"", ShowStringCharacters -> False], 0.1, + StyleBox["\"0.10\"", ShowStringCharacters -> False], 0.1, AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, - 0.}}, {-13.122363377404328`, + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-1.6094379124341003`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-12.716898269296165`, + TagBox[ + InterpretationBox[ + StyleBox["\"0.20\"", ShowStringCharacters -> False], 0.2, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-3.912023005428146, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-12.429216196844383`, + 0.}}, {-3.506557897319982, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-12.206072645530174`, + 0.}}, {-3.2188758248682006`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-12.02375108873622, + 0.}}, {-2.8134107167600364`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-11.86960040890896, + 0.}}, {-2.659260036932778, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-11.736069016284437`, + 0.}}, {-2.5257286443082556`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-11.618285980628055`, + 0.}}, {-2.4079456086518722`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-10.819778284410283`, + 0.}}, {-1.8971199848858813`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-10.41431317630212, + 0.}}, {-1.2039728043259361`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-10.126631103850338`, + 0.}}, {-0.916290731874155, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-9.903487552536127, + 0.}}, {-0.6931471805599453, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-9.721165995742174, + 0.}}, {-0.5978370007556204, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-9.567015315914915, + 0.}}, {-0.5108256237659907, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-9.433483923290392, + 0.}}, {-0.4307829160924542, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-9.315700887634009, + 0.}}}, {{-2.995732273553991, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-8.517193191416238, + TagBox[ + InterpretationBox[ + StyleBox["\"0.05\"", ShowStringCharacters -> False], 0.05, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-2.3025850929940455`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-8.111728083308073, + TagBox[ + InterpretationBox[ + StyleBox["\"0.10\"", ShowStringCharacters -> False], 0.1, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-0.6931471805599453, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-7.824046010856292, + TagBox[ + InterpretationBox[ + StyleBox["\"0.50\"", ShowStringCharacters -> False], 0.5, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, 0.}}, {0., + FormBox["1", TraditionalForm], {0.01, 0.}}, {-4.605170185988091, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-7.600902459542082, + 0.}}, {-3.912023005428146, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-7.418580902748128, + 0.}}, {-3.506557897319982, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-7.264430222920869, + 0.}}, {-3.2188758248682006`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-7.1308988302963465`, + 0.}}, {-2.8134107167600364`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-7.013115794639964, + 0.}}, {-2.659260036932778, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-6.214608098422191, + 0.}}, {-2.5257286443082556`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-5.809142990314028, + 0.}}, {-2.4079456086518722`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-5.521460917862246, + 0.}}, {-1.6094379124341003`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-5.298317366548036, + 0.}}, {-1.2039728043259361`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-5.115995809754082, + 0.}}, {-0.916290731874155, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-4.961845129926823, + 0.}}, {-0.5108256237659907, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-4.8283137373023015`, + 0.}}, {-0.35667494393873245`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-4.710530701645918, + 0.}}, {-0.2231435513142097, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.912023005428146, + 0.}}, {-0.10536051565782628`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.506557897319982, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 0.6931471805599453, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.2188758248682006`, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 1.0986122886681098`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.995732273553991, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 1.3862943611198906`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.8134107167600364`, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 1.6094379124341003`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.659260036932778, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 1.791759469228055, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.5257286443082556`, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 1.9459101490553132`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.4079456086518722`, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 2.0794415416798357`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-1.6094379124341003`, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 2.1972245773362196`, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 2.302585092994046, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}}}]]], "Output", + CellChangeTimes->{{3.843038954862771*^9, 3.8430389696454067`*^9}, + 3.843039029103711*^9, {3.843039071066758*^9, 3.843039081689735*^9}, { + 3.8430391134133043`*^9, 3.843039136782056*^9}, {3.843039258690278*^9, + 3.8430393001732893`*^9}, 3.843107954234598*^9, 3.843108055756125*^9, { + 3.8431177285019007`*^9, 3.843117732948329*^9}, {3.843545864185811*^9, + 3.843545871490288*^9}}, + CellLabel->"Out[56]=",ExpressionUUID->"d94aa6a6-6068-4806-8761-9547885e2162"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"ListLogLogPlot", "[", + RowBox[{ + RowBox[{ + RowBox[{"Table", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"1", "/", + RowBox[{"(", + RowBox[{"2", "i"}], ")"}]}], ",", + RowBox[{"Abs", "@", + FractionBox[ + RowBox[{"A", "[", "i", "]"}], + RowBox[{"A", "[", + RowBox[{"i", "-", "1"}], "]"}]]}]}], "}"}], ",", + RowBox[{"{", + RowBox[{"i", ",", "1", ",", "10"}], "}"}]}], "]"}], "/.", + RowBox[{"(", + RowBox[{"S", "/@", + RowBox[{"Range", "[", + RowBox[{"8", ",", "10"}], "]"}]}], ")"}]}], ",", + RowBox[{"Joined", "->", "True"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843038908915916*^9, 3.843039025372636*^9}, { + 3.843039068391004*^9, 3.8430390812137423`*^9}, {3.843039113072671*^9, + 3.8430391365187674`*^9}, 3.843039167992139*^9, {3.8430392481128073`*^9, + 3.8430392946898603`*^9}, {3.843039385411243*^9, 3.8430394335640697`*^9}, { + 3.843039467206892*^9, 3.843039493341281*^9}, {3.843107802839673*^9, + 3.8431078135107822`*^9}, {3.843117687924999*^9, 3.843117711412985*^9}}, + CellLabel-> + "In[376]:=",ExpressionUUID->"be68f105-e7f1-4186-b7b1-a6f02a4bd594"], + +Cell[BoxData[ + GraphicsBox[{{}, {{}, {}, + {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.3862943611198906`, -1.065632984119704}, \ +{-1.791759469228055, -0.7038774661999426}, {-2.0794415416798357`, \ +-1.3244689164589851`}, {-2.3025850929940455`, -2.382023689150254}}]}, + {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.3862943611198906`, -1.0530284925188969`}, \ +{-1.791759469228055, -0.7407613051154354}, {-2.0794415416798357`, \ +-1.3784237071084091`}, {-2.3025850929940455`, -2.301979177901118}}]}, + {RGBColor[0.560181, 0.691569, 0.194885], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{-1.3862943611198906`, -1.0695638342431624`}, \ +{-1.791759469228055, -0.7273331384144447}, {-2.0794415416798357`, \ +-1.358573490193449}, {-2.3025850929940455`, -2.3328641793702882`}, \ +{-2.4849066497880004`, -6.005874714718158}}]}}, {{}, {}}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{-2.531158990066128, -6.420786088407457}, + DisplayFunction->Identity, + Frame->{{False, False}, {False, False}}, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{ + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledFrameTicks[{Log, Exp}]}, { + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledFrameTicks[{Log, Exp}]}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + Method->{ + "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + Exp[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + Exp[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& )}}, + PlotRange->{{-2.531158990066128, -1.3862943611198906`}, \ +{-6.420786088407457, -0.7038774661999426}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.05]}}, + Ticks->FrontEndValueCache[{ + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledTicks[{Log, Exp}]}, {{{-2.3025850929940455`, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.10\"", ShowStringCharacters -> False], 0.1, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-1.8971199848858813`, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.15\"", ShowStringCharacters -> False], 0.15, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-1.6094379124341003`, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.20\"", ShowStringCharacters -> False], 0.2, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-1.3862943611198906`, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.25\"", ShowStringCharacters -> False], 0.25, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, + 0.}}, {-2.995732273553991, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.8134107167600364`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.659260036932778, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.5257286443082556`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.4079456086518722`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.2072749131897207`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.120263536200091, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.0402208285265546`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.9661128563728327`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.8325814637483102`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.7719568419318752`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.7147984280919266`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.6607312068216509`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.5606477482646683`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.5141277326297755`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.4696759700589417`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.4271163556401458`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.3470736479666092`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.3093333199837622`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.2729656758128873`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.2378743560016174`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.2039728043259361`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}}, {{-5.298317366548036, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.005\"", ShowStringCharacters -> False], 0.005, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + 0.}}, {-4.605170185988091, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.010\"", ShowStringCharacters -> False], 0.01, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + 0.}}, {-2.995732273553991, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.050\"", ShowStringCharacters -> False], 0.05, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + 0.}}, {-2.3025850929940455`, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.100\"", ShowStringCharacters -> False], 0.1, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + 0.}}, {-0.6931471805599453, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.500\"", ShowStringCharacters -> False], 0.5, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + 0.}}, {-6.907755278982137, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-6.214608098422191, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-5.809142990314028, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-5.521460917862246, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-5.115995809754082, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.961845129926823, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.8283137373023015`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.710530701645918, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-3.912023005428146, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-3.506557897319982, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-3.2188758248682006`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.8134107167600364`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.659260036932778, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.5257286443082556`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.4079456086518722`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-1.6094379124341003`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {-1.2039728043259361`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.916290731874155, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.5108256237659907, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.35667494393873245`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.2231435513142097, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.10536051565782628`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {0., + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 0.09531017980432493, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 0.1823215567939546, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 0.26236426446749106`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 0.3364722366212129, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 0.4054651081081644, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 0.47000362924573563`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}}}]]], "Output", - CellChangeTimes->{{3.8423370092964497`*^9, 3.84233703988655*^9}, { - 3.842338051871869*^9, 3.842338078127988*^9}, 3.842339034834592*^9, - 3.84234191354906*^9, 3.8423505853859243`*^9, 3.842411965086033*^9}, - CellLabel->"Out[78]=",ExpressionUUID->"c05b3224-88e5-4816-869c-4737a893ff59"] + CellChangeTimes->{{3.843038954862771*^9, 3.8430389696454067`*^9}, + 3.843039029103711*^9, {3.843039071066758*^9, 3.843039081689735*^9}, { + 3.8430391134133043`*^9, 3.843039136782056*^9}, {3.843039258690278*^9, + 3.8430393001732893`*^9}, {3.843039418677228*^9, 3.8430394361655817`*^9}, + 3.843039493793488*^9, {3.843107813972395*^9, 3.8431078381755133`*^9}, { + 3.843117689894182*^9, 3.84311771161446*^9}}, + CellLabel-> + "Out[376]=",ExpressionUUID->"484b3664-7020-4397-8c72-20ca9cf966ed"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"Plot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", " ", "]"}], "/.", + RowBox[{ + RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"8", ",", "10"}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", "0", ",", "1.4"}], "}"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843117379400096*^9, 3.843117450464201*^9}, { + 3.8431176777326736`*^9, 3.84311768214819*^9}, {3.8431179869457617`*^9, + 3.84311800024196*^9}}, + CellLabel-> + "In[389]:=",ExpressionUUID->"0805dca1-3214-4053-b11e-4c015cec2e4b"], + +Cell[BoxData[ + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ + 1.], LineBox[CompressedData[" +1:eJwt1mk4VW8XBnCUioiUhCaR0qyMGe5EkVBRSqYiSkmUJCRFkSk0kERRIimh +ROlIyZAkQ5yzZTjOPscQZTpE6X3+1/V+Wtfvw97XHtaz7qXgdNLSRUhAQGCa +oIDAf/XOga2Rj7Id9G/+v2p9UKrVUtLFxcBNwf/V7KxG7wlFM0ScVjMYJ/Xo +4rXlLYp2EFlUONZE6labA56fFd3xeX2GRhGpaj6/PpQpBiDUP6rVl9SsEJ2f +7xQj4Vl2e3/00kjwZh6aWqKYBDnB5K8Di5LQsd3ErsAyG0dLGabjutlYWr18 +uUvFC6RGeraUP3iBjTPz27Lev8H6p/TPUq83KDQ846i5qhQKUP9e0fwOPofF +XvDyyrDx/WBw9vIyVKWNLbQOq4SdXP+imAcVkFiXqdxp+hlpFeePdw99gm/N +43BH11qEOEQLP/tRg/wbWRGrzeswc/Tdm68CXwGzx//uzWnAUKjCsmsOdShV +iXiv9LURjm6zKxNT6xF7a15saH4T5t+xL0nobcDT4ZSvsWeZmJKToSs84xtk +2PXy/gcpCLo+SrFe2gTfke4Xpzd/R/nmaQI98s1YPv63dI5AG2IM4/2Fe5sx +27jVvfJUO8LyFcZynjKxoGSg0MqnHYyUDtPdz5lQ1hae3nquHesvqfT9zGNC +d9Xq+4NB7RiuyFy8opAJVwm/Rvlr7bjS0KYZ8p6JoiZpfY+sdnjnqMzubWLi +8FFziTmcdkx7uMni1D8mXl5989xubwcKOkriHbaz0Lav880Gmw4cFhCILdnB +wgxl0YoZ9h3orbcPXmLBgm3pvtb8wx3o2f7JkmXJgsDEoKi4dwdmhn6JV7dj +Ybu7yuHiuA64Sa24Fe7BQovFrXmLajuQay27IzuWBeGFxQrD9eR6AxXZmuss +rO3tXF3V1AGGqiP14yYLQaGqhj5tHfjn/lhHKZGFZSXVJ2v6O/CDKjvil8qC +h+qUykBxNpaadVt8yGVBcK6nf5spG+N63m6fv7Lgk67xMM2Cjbjwyx/S6ln4 +ofW35oglG9I9MUt9G1n4Zh++9JcNG9ynCjw5JguPHz2oFHBjY/TF9Gsm7SxY +6jBlloay4dVva2DUz8IDpy35h9+zseLszDNvZlCQHZnRuqKcjbm5LuOWohSi +Q79M76tio2fsxAXeTAq+T+xsz9SxsWZwZZioBAVzvo/QlQ42Qo7XxmpJU+Bf +zdr16B8b6tdXn1JToGDyfG5ft04nJLUi6h9rUriHdy4n0Im7WSzdHi0KY59P +tP7a0glRfRHu8k0UHvV8/DK6vRNGfypH7+pSmKZ0Lld4fydUJM1lzhhQ+HDr ++1kF705UqR7e9MWUAgLShWyedCL1gt6rDXYUbola+bc868SXA4JTN9lT6E/4 +N+SY14m8YZloOFBIfrGfdi3qRFDHXPutpG//9ItWeFd0IjhBm9Y5TKHo0Mmo +GE4nBPL/3Ww4TkHDWGt+xQIOPIsXI/8chScrxyzil3AwesYm+YYfhaWzXl12 +VeKga2pV7yl/ChKNmkNTV3PwbfmG3SrnKXQ5adYY6HDwMvjA1vNBFO4EaoS8 +tuGA5xf2+d0VClJO/KJwew6yyheKXwylELb15YDNIXL/xKub9MMoeItpOI4d +5YDeXu+Qe5WCRaL6JnVfDiQ93lZfjKQg8FLt19NbHEjli+sUx1LwuT2sHJjI +geuko7VtHIUfAfn25skcyBjvdxwlZhqqfep9yME1LfVNKjco5H7dmL7iBQez +vwTP8b5FYcWLoZbRVxzsHODdEYynkJKQN6f8DQdO+b2zo4gjHTdedPlA7Fv3 +8W4CBZe+DXap9RzwOSp70hMptNQOxnk1caCYfWu74h0Klvm5lZspDqQfZKxO +Jtb336DZxuYgyKP0dVQShfkiG6QWDHLQJzl10iqZQpWSasVNSRrqUZLS5+9T +UJzaZK40l4a/pGJbHXFAZ0B9rgyN+mZRSjmVwtrUitYvi2iYjx49Wkkct/jg +iMgaGnJXaphjaRR6J4X9E9bTqNr5e8PmBxSMWrMElqvRuHJZ7u1lYn7S6Ewj +HRrBZ6yOzXxIwUbu2tLA7TSUZ66VF0wn3+e3WoaYOQ3flttTNxGLMllr7uyi +UbpFeYkX8Zt4Ze1X+2jYRb/qYxJLn61+u82Wxp3sqpSZjyh4WJ8yanSgUdQo +HaJDvET67c5BFxpP4dV5k/jcsHNjkBuNn6xohxLiunoRW4kTNOpUBcS6iUPi +9rqu9qaxcNf4X7UMCt+9JnqLztKQjondsp9YY/d9r+3+5P4BtwvPEXdJ9AW4 +XqKR0SSLAmKDn3FCI5dpWHy/b15PnFijFRZ8lYbr28LoPuKh7FZxqSgaK++5 +CU3LpGAWFXL9Xgx5vk+FGQuIH7qvlF13g0ZZdu5FVeLJHbXJxfE0JJvsooyI +rVf5KJndofFnouTTXuJnogses5JpPBvm6boQz+h5t84tlYZsMav9FPHByiMv +Rh/SaPdOLQgkLswQ17mSScPF0eRdGLFUWF7J3GwalZ2Nf2OIjx+x2ZaWQ4MZ +uedEPPGHbf8+qebTkBGpkUgiXqj8cHdJAY2ClWZ0MrGP8I4mi9c0bvKa+1KI +v3B+2X1/S2OTTaDKf17x4Rb7eCmNhjfbEv67/mKa7tHxMhobT+tuSiBmXWL3 +hVXS+ChwTCKOeKNT2GmZzzTuirNkwokjDdb+flhLw9El3iqImF7SEKjWQMNk +9EmpN7G+gN/U90001n1b5nKEOL5tcfhuisZpLXGd/cS/3pZJtLfSEPb1MDIm +3p58/KYHm/SP1N6LasSp52fL/6VJv2dUDywmnrAruBfRTaNzsv2mCPEeXXtl +uT4at/7ePTFA/p/wRIaq5jAN661bXr0mfpzc35g3SqOrUv9DMrHFFjW/9RM0 +xJt+SwcRJ1xllKoIcdGqSIXrEeutET6SLszFu4uyT2WJ2bWmMxVFuIh8sE1m +mPTf6vnfrBZIcvGtJfl1GvHX1/JjCXO4+C3dyfcl9nE8lCQtw4WBrYmvGTEj +/Qdn1iIurr7KNO8n58FSfYqP4GounNO0jBYSjzabyAWu46JAf+Q5h5y3pIDo +t+MbuBiK1XN9TMx9Lzt9WJsLzyWZqeuJz1muT+Aac7FP55z7CnJeF/HP6Drv +4GJAYokkm5zv0tuv29ssuDjjVjZ+m1isY5sKcy8Xgn7GD6cS3ztpX/TJmbzv +57pdZWR+bJ2T5mByhIvTafPWehH3vOwSKjvGRRun3lKeWG3y9I63XuT5QsZP +HbtHoTwyvCXnAhfy2m8lhsl8cl9fG7QmmIuRiLiVccSSDdLLHl/h4qOhc9Ra +4gPy90+kRXHhU6q3yukuyZ/Mgsmbd7hIVyqszCfz77r531SpFC7cubuVtxJr +DWwxvpbKhaXkqU/1ZH5e0qq5FpbJxdYLMT97b5N5Us5Z4l9Avscfw/vTybzV +5cw2PFjPRfbXtqIf18n8/37s4YomLnLCQ8r3Er9vfD99gMVF/419tW/IvDct +P1N9kc2FTWb/txCSD/sfM/emDXDhW5pf9CeawmnP+0e5EjxUj38ZiiD5ouE2 +XvV0Dg+D/MwvDSR/xg9ZrTkrw4OpuUOmPHGQlfDg9MU8/BYst04j+RWt4eav +spaH899fJSUGk/77sz7a3YyHhPnP+leQ/PMYufpLbRcPO/LKXuwOoKDaz7b8 +a8XDjTXRAb4kL1+13ZCJtuVhNDRHpITk68fSsXvPjvFQlJG+TNOH9GNYSd5g +GA/Gzw2DyjzIfiK9i+lbxsNBh70ZziTPS0SuhQtU8rBUQm+/I8l718nPOmHV +PKQMpJrbkH0gl7cjOb6ehx4xRxGTA+R7FBk7v+zggfO1qVzSmoKfI/qG/vKQ +9H21/05zClTmWsGTGl1gTbTduET2kUvJJ3L52l2o0e9ZZ6xN5sf1J86Bel0Q +SrKbK0r2F++AlR+jjLrAFP5XGK5O+m+ncsQTyy40D3P9z64n/2t4oXSPRxcW +5IiOTS6jcFdfXMXlURdkfsh9/Ej2J6cwxwStrC7sgvlr9VkUlOueTxd71oXB +d365qWIUclysubkvu6AREPLIR4T0e2RKmsDHLsRWhD6fNoXMe5bqoiROF85k ++58sH2Fhw1nrOfUK3bibWP+jmux/5c9S/m5O6obu4gS9oTssNBfHRgjd60bI +02h2320Wuj4Fy35I68YDxZAMbjwLol1H1I2zutH4zTChjuyvZkvWnzAv6kZp +/DTxGxEs1MaUtNg0d6NVJ9K/2I8FllfH61Nze9BUtXIoax8LfRsV/R5E9kAz +IL1/ihgL7XoT1stiejDHItoxT4SFBuP6jenXeyAZbK97aDoLRbbBfY8SexA6 +6r/plRALV4I7D2Zl9CDCyNDC6jcTS+rTjHM/9ECqKUFAn8vEnlNK0iV/epDQ +Mvyb+5bs/znLcij3Xjy5kdsQd5yJNWf+HhM6+AMitkaTk/ebYbxhT9ufLX0o +tIwN7K1ugr1Q0kSgQj8UCl2Zz+lvkJUrTPol9RPpZgnMn3WNCFi5d1R9+Cfc +l5kNWBY3YIuB83F171+QktsV6udXj0Q3qkCb+wvJTvkhKdp18JQ3axa0HsC0 +hjeFg321kPVa/trgywAKxTWE93bVQNqw1O/45kFk7/O9tGt6NTivyv+IvR6E +7Dq5wL7yCqi+KrM8tXEIY1bc1ft9y1C3Mfjyu7Qh3Nu87PPItlJss8ryC1s4 +jKMFtovnuRVD/Gecmfa1YbgLvdk+K/wlLOeeHsyeHIZipZ6Brs4zHPtefCDx +7Aj4r1eXisWl4NvSihTPrhH4CZoc29HnAL3+9z5He0agPD6lpCLcGg8KGeYH +f4xgxJRneMXCDF67CiZ2/hpBQs2Khqh5yxhigen71o2NQMwjNu+6ghPDgBki +0T+djyAnXumqvnOMJ9cMLhxfzkfwvlm40RvFmGOrZ+2swofPS+exPxPRDD9l +7TW2q/jQWy562nBaDMO4eD1luo4PzZIKvX/zYhnsnsWaKzX5uK8076m+9nXG +/G2T/V3b+FCPGdJtD4pnXJg9XtZuwod2ldTvxaIJDG7LSFKzKR8fq45HeF5P +YOSd7jOtsODDWcdli1H6bYbF/Zb0R9Z8yEclHXlZfYeR7958PmU/HxrX4wzP +Wycx5LUa9sQf4MO90UV6b3sSo6vmk1CoAx8zaj8+1hy+y9iZWM4MPMiHZ6in +z5bAZMZLl/c5Pk585J5ZZ+IwI4WxQJUR6nGYj1jVBUoRcSmM4D9FDq6ufOz7 +qBJ6eNY9xv8ARdJ7AQ== + "]]}, + Annotation[#, "Charting`Private`Tag$51507#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ + 1.], LineBox[CompressedData[" +1:eJwt1nlUTd0bB/CkqEiGEBKKkEZpIPoqFNEgCpFIpTQriXojRYOIpKI0qzeZ +STS4TRSRBkn3kLr3nntv83yLUr/9rvX746xnfdYZ9jn7PPt59gp7T0tHYSEh +oUly/BcTbXZEZT86qh/7/6hbsbJWd+VmLPmzJeS/+Ci30XdMYQ+8jxsa/CHR +eZlq5Q+FI7hd3zfaROKOQzZenxXc0EBd0SkgcYNfX8U7hUAEfhBl+ZOYG6rX +W6oQBe9tVk7X5aPAm3FcpEQhCQta1KcNyCWhbdfOI/mWj5BSt0V8bPMjyH9a +vdqxKg9TnksnVmXmQXPGy1+55UWIqHBZV+5dhDfbztjprCvDrMFR0crvpfBz +mJnHe/EO9eIhtlmr3+FjxuhS6/AP0Iy8rxSYWQUptRxFtsln1DgwVhUPVsO/ +5kGknVMtHs0QW3OkqwYvY3OvKpvWYy/77sgVoTpgz4PJ1HlfYcXSd5x/tB5l +a6+Wr6xrxA4vU/9faQ24GbfgZtjLJjQ2WLqf7/iKx0MpdTfPNkNil+64y7Rv +WMhqWBJwjEKPrPk5seVN8B9uz/PZ+hPRCLTPl/mO1X/+ls0T+oVtvs3r3nO/ +Y45xi9uH0634qhLRND2nGbIl/W/2+bXCuCZnd3puMxQ3ik5vOdeKuuxSCb3H +zdi8Tjlt4GIr1GsVXU6+aIaT1PnGJdGt4OeMp+UWN6Ogab6+R24rtET7frbV +NcPB2VRqHqcVCQph1iK/m/EqoujZEas2lCpu95UxZOLXAXbR+kNteH82s/T0 +dibEFCWqxGzb8HnbCuFqIyYOlx1oeenQhvlfCg6f2c2E0NiAhKRvG4xuBLs9 +28/ELre1DsUxbbh9Nf7neycmfpjFLZCrbYOlomudYwQTokuLVww1kPFMe1WC +rjKh2slW/tjUhnlm+v6x15i4GKaxze9XG5LkUhoLbjKxquSTZ01PG7gnFJ/0 +3GHCQ2PqhyBJFrrsiwoHcpiYIu0V8MuEheXB3rquVUz4ZWnfzzBjwXzQP1D3 +IxNdun9rTlqycKZWtHjqJya+2UbK9x1iYekJDc3YL0w8yM78IOTCgvOIQ1/S +NyYs9ZoXyoexsFYz4JYph4lMe8OXDuUsaHRlPFGaYGLRsFjLmkoWPqf6m+RP +MnE97Mv07o8sMHW1WwymUPB/eOTwmXoW+P4ifRYiFEwFfsJX2lhInClfYC1B +QRCRa5E9yYK0REq90AIKO59Jd7frsSGUJ+RVs45CKkod3cHGuqy6j1NVKIx+ +dm/pM2TDTdTGTkeVQnbH+y8ju9hQTDbXuqNOYdrKc89FD7IR1jzjlrEWhYq4 +n2dX+LKxVHKtmLk+BQRmCR96yEZyr7aPmDmFOIl9AT+esFG69CdPxILkZcLk +oN0LNj76no6dJE7OO0g7FbDh9W3T7V5LCuM9ElW+VWykvRnTLLCmUHDc89oN +Dhu/p/7z47ctBW1jXZkqWQ4+lzFi5F0pPFQaNYtfzgE7+Ky5gFh+1uvLTis5 +0JBLG6h0oyDVqDMoosxBY61Cl4MHBb69To2BHgcRMrwHl70pJAZphxYe4iCg +fZqF/VkKc+0FBZG2HNgqVntK+1MI3/Gq/9BxDgZXXThTQew7U9tu1JmDkayk +9XLnKZjd1dqk5U/Gmy/i8zqQgtCrDX2P4ziwF2dODw+m4HdnSDHoLgfDsWMh +MpcodAW+tDVNJu+7vojOIm7etqG68z4H8VskbYpDKDyv08xak8eBc0SiaN1l +CmvyBn+MvObAYefxxxZXKKQkvJhXWcRBnsXTzTXEUXaawY4VHPwSZYuXh1Fw +7F5/JL2BA4P9Pk63Iij8qB2I8W7iQMXKRk84koLly+cftlIcZOuWDnsQ6wes +1/nF4sAjTXKJ4VUKMuLr58oOcPDo38zVX6IoXO/q39k5zEHKnGtrVK5REKl9 +dqHgNwdzbUZnRRD3x2l0H5xCoynvSNSm6xQ+rtSouj2bhlr21V2h0RQURJpM +V0rTcI6scv5EHMgObHi+kIaZ+r/P596goJpe1fJFjkbQwMH3d4jDgj0cjsrT +UBJRu8Ekbj0m3dG1ioZ++bPQRTcpxCw7NiyuQsarr+q+Sdw5IRqQoE5D1uiE +fTXx9pZcodUbaBx++GrK1BiS/0kjM7br0XiuFVfiRnxocbR80C4aenlpULpF +5vv3hn9nmtLo1nCTsCKWaGaqJFrQGNssJRREXBSvuPH1ARrJ8f2uH4nnn/30 +1ugwjZkxm1jdxB7Wp7c3HqVxy8szRCqWwvL5b80HHGmMfH5mZEp8buhE40UX +GqMrPzq7ENc3iB+WcqfhMJuTF0K87sWT1nteNHxsRFWTiENjrJyUfWlMGdSs +e07803uss+AsjRu9Z1IqibX3pnnvCqBhfrUugUkcrW480hREY8Zq0+JOYr5U +d6DTJfJ9u3pnjhEb9MYID1+mMXTvbZjYbQp3a3TDQyJoDAhKVaSJBx+1SM69 +Rp6/W2hyKfGea6G3Um/QqH19cWwV8X03pUVqsTSsgk0UlIkndtcmF8fTsJV0 +9FMntl7nt3JPIo2qjqah9cRPJGQfMJNpLPj8IFmTWKyjVM0lncbxa7TPf+eP +fTiZN3KfxkmlG75qxG/+ldS7kkPjQtXzVCXiueEvSqQf0Yh7ZjWqQOx68pBR +xlMam6yCA5YQVxhNVmu8JO+7U19pDvFSxft7S/JpHDK6LSJK7Ce6u8mskAZL +NVpihHz/F07fkZ9vaSiIaW3mEa+piGO5lpH7/0bfaSQOztjs/OcdDaNjOfJl +xMxLrO7wDzS6siKbHhJr2of7LPxMo9h9y+vbxFEGqr/v19II9auuCCTWFzov +Ut5E5nN22vEdxPG/lkXupWj8bhvvVyTue/tOqrWFRr7PkcfTiNP/mbPkL01j +1bBFbgnJn7Ej+alX22lEWYx13iXev9lWcXE3DZntXw/6EIuO/auhM0Sj86R+ +vhzxg+SexhcjNLbM4kcPkHw2M9xwXn2MhuuQbHYFcUIEo2ytMBffbu2/eYJ4 +i4roySxRLuweuTuoE7NqTWYoiHPhWqnvN0bWi7LMt32ys7nw3KRjHUVcV7hk +NGEeF0/F/FZaEvvZHU+av5CLodJEjQXEjKwuziw5Lh4f+ST233q11JrqN0WZ +XH9b9mEEWd8j33cuDlLjIkW567QBcVLg9bd/1nPRNjnt3AipD9zyRdOHNpLr +pfdtO0p8zlI9gWvMRWJccd0CUk9SPW0Lqk9wIaE5l6VJ6tOOeRlHd57koqdI +IvMLqWcdr/jC705xYTxDI8uFeMOEz+633ly0mG53TQinUBkV+ePpBS5m2276 +00rqY09O/sTtRC5kZv+zUY7U11umf9PnpnBx88wH0WRSf3X7DY2j07kQjds5 +T5b4km5NdHgOma+RlQNzSf2eX8lZHpDPxYCxcWlnEIXNnDnbjjVwEdGmlLeL +1H+hn6fur2niYnwvb1fqOQrljeXT+5lcrPBYWz9E+oVJ5ZlPwSwuwn6nF8eT +/nLwQbNVRj8XlmzNqe98Kfh4pTlzpXjIW/DQrpj0J22XPx8fz+Nhoz1Xd9Cd +wp/j+1TOLuQhZGzP9NXEF/eJDkxfxsMJdanQcNLvrmu7BKxV5WFfwiUhTWeS +H+Pq19328KAzFieneJzUp+GIvg0WPLB0Fh/UI/tCjR6W5d99PHx1Sgk3s6Pw ++lfswuuHecg6te6LB+mv78tGU5+c4mFG+6vhuEMkX8JLXgyE86B/L7Hi8l4K +i+ZbNPu/48FwcsW+FlAoEY+OFPrAQ7r3WMl70v+dJj7rhX/iYet4ldujLaS+ +8nYnxzfwUFOQH3RGj8xHgfGJV208DCxTi+7TpnDeDt2Df3lQZez9J4bsP6gc +1Sme2nxcr2a7XllE/key+3PBRj7MOOlftWXI+r318ETQFj6mqe27QJP9jG+g +0vtr2/m4Kzw7cYs0hZnmilcfWvKxI/9t7/dZ5H8NLZ3f4cFHiGSwctFUCvf0 +Jdc6ZvOxSmxRr1YXE/bhdgm6uXwseOo9ea2DCcX6Z9NnPuGj/OtqcQ6fiaeO +1tznr/iI0PMSj6SZKItKyRB6z0eNSmNZcQsTfKaGXBKHjz6HzO0VtUysP2s9 +r2FFO2zTnTrNXjBR+STl79akdtjo8mKcTjPxvfjmVeHUdlS3PX2604vcXx2y +qCKjHT/73/DWeDAhwT+pZZzbjpi/7ulsFyb2LFd3Ny1oh2RWqoXhcSZqb5T8 +OPS9Hc9Y1WWZ5kwwvdsKT0t3YDzSMnFSiYluTYXzmVEd0FRoCFv8g+zHn656 +Srl1Inb91PQDWs1QOfP3lPCxLnim5zjHOHyH8fr9v8YNu3Hyj/vRG+FNsBVO +Ggta0QPbwj0Mv7vfsGjxm6S+ub24OyF60OpiIwKVrEa0hnoRGf+wZbnDVxga +nHDV8u1DismtTYWLG3DXhcrfyO1Dllzz5rSvdfBasuf7FOt+2Fqnq/4JrcUi +79WFBl/6kWd6SdxkTg3mbys777p1AM+U9f0b1KrBeV05PrNwAAuKMkJGhyuh +8fqd5WnNQUzYfFzr+aIC9Zohl0szBiHYnnc4Lq4URvtyz4cvHYLBJl2jabuL +INkbs2dj9BDijFz9lpXnwVLaZ+DRxBCWbu3P9ox+jFM/i23unh0GgxHio3o4 +Fd/kq1K8+MNQK+Gf7J3ljy095X7OHcMwqz9n2qzuh8w3DNNjXcOo+ma4oMDS +F94W+WPmfcPoWy7/+FicF2YGZR1QGx3G6JwvE+Gb7GHQHCrVM10A/R7dY9I2 +BxgPow0uuK4WwFNLPftnWzBj3uEt1ifWCvDG2G6hQ90lxnnFjSqH1wng8rsz +o7skhGFcrE6ZqAnwchubLZ56mcHqWKajpCPAaU5GoePRCIaM0UQP30iAjV4G +1rXuNxgX5vx517pTgLjuO4U59A0G98dw0ncTAeyd7lmG2t5kvPDpNqkyI89f +EjSx2TSGYZb2IyvbWgBN+Su5hcqxjJdu3/9JOShASfTC8XuZsYwlul/3x9sI +0PF4wjxY9jaDX1MtHHZUAOVX9zpMZsYxzO9WNgcdEyAgtWiVRmgc45Vj+VM/ +ewHsNk3ukRmPY8hqMMI8HATYL5dgI+QbzwgZLzjq5CRA8JU3O9s74xn/A3Lz +LHc= + "]]}, + Annotation[#, "Charting`Private`Tag$51507#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ + 1.], LineBox[CompressedData[" +1:eJwt1nk0lO0bB3Apr9KiyCulhaKUJVKI+qaFUihKSaUSlS1lKRFJC1FKhSQp +kSyRNbuobFmyzzyEMc/MEJJl9Nr63Z3z++s6nzPnmfue577u7zUypy+YWAsK +CAjMnCYg8Lc+O7or8E3Sia2P/181P62q1VylAyctzZt/a1JCo8v4yn3Ytmvd +rjFSzy1XLm1deQwnVB7PbCF1l/lRp6qV9vg6JO6WQ6q628Cnzys9cXfe5lZ3 +UhNuav/8uDIQvxgqxsGygeDOPjWjaGUEip7viZ+2PAKde3YfyzJJgtSS+yzd +LUmQ/bp6tXVZBqTz+nt0YjKwYXZ6e0JJHsLNX9rXXMpD9g5XS411xVj5YMGs +JsZHuJ2Zk8FN+4yXaWn2rgqfURH9e6mZXzky48v9nd6UQVTlrXyXQRWWueft +1PhdiSvV8XctbWpxekbGcPxgNdIfJwQoGtbhk49ITt+Mb8C++D9R4g1wncf9 +54dVHYoVAkpWfWvERKCcwZm39XgY8u/DO+nN0DvsvmvdcAPeDb/49vAyA7K2 +yQs8xZogyapf4nGSwoJxMfXFKs24MtKd4bytDSEecydV1rVg9dhksbhAO/wl +tp2gJlqwQP+7ffmlDuhtUsy6W8KAdNGvbFO3DmxWMyra9IUBeS0h4e/uHehT +1ZfuLGNAZ53iy8HrHbhQsfDr+moGbESvNi4J6sDUUd9/8loYyGmW2OqY0AHf +sG3FPn0MnDlnKCrO7oDnDV/NcgkmMv3z3h871IlROcdYnGKi/XBXnpp5J9K2 +PFVNtmJiprxI2czjnZB2epYtbcOERfHh7+lnOuFUfLnwly0TAuODInNdOlEX +0vrc14WJPfYKZ/KDO3Hlqel1vTtMtBqF/LusthM2sy/m6ycwIbQ0X2a4vhM+ +QiPBbklMKP/oUqxo7sRJia0no5OZuH5HdYdbeyfqffw7+WlMyBV9vVDd34kg +df7VW3lMOKpOL/eay8KTUP3ubVVMTFvo5NFuwIKqfGCBSh8TbrGbYqKNWEiT +ZBgv/MlEr+Zk9VkTFuaK3+TyB5hoOn5XdsCchb58T4XMYSbi37wuFzjPQndx +wbD0BBMm2gxJ2TssLKf1ggJEKLw+vT39TAkLGdcixXvlKEiNzPy+ppSFxMcy +mx+upnD/To1wXwULNvERVuoKFK4kHrNwrWOhpVaq0EWRgiHfTfB2JwtZrcFP +2tQo8P0T9r/5w0L/i7WJxqCw+/3Cvm7tLjhuDuSkm1GIwkdrB3Th6zxTM8Ej +FH5XOXwf2N6FdS0FM43MKbzp+VIzuqcLui27N3ZYUPhnlXuq0JEumE7cTvhJ ++uxTSNtlGZcuuDqtEMi1pQDPWEHzxC7w2316Ba9RCBEx9WhN7kKBumLIIi8K +/WF/hizTulBfwriu6E0hMuMIbZPThYmz4lLGPhQm+kXKXMrIemCaet+ikHPq +wr0H7C4o5wx1B9yjsElfc1GZNBtLPE6ZH4qgkLj2t1HoCjaMvm+P2/Wcguy8 +D7dsVrEx9dmOtyGSgmijxtAMRTY+WTrriERR4J3WqNbVZiOn10stMprCM69N +N3PN2RCoM9pvFU9B7DQ/5+5xNrZu2ZiilkDBb1fmL/NTbOT2jwgIJFJwmbPJ +8vc5NurP/3J5nETBKHzj5o1X2ChRMYh9nUJBIFN94F0IGwm+vWtPZ1Jwezos +7xXOhrSKQ8j8LAq9nunHDSPZsBIJGMwjZuxQr/wRw8a/L594imZTSP22IXZN +Bhv8uenNz3IprMkYah39wEZSTE+pVh6FF2Fp4qV5bBwaeh/XSBxoucHH+hMb +C4QHtIULKFj3qR17Vc+G7m+ZtweKKLTWDgZfbCbrNTUsbSc2SU8t30axsXdC +0tf2I4WtHmoa7Sw2ijxMlnsUU1g0S01MepD8viM5kb6fKFSsUi17Mp+GqtiM +XNUyCitnNBuuWkhDSclaMpTYs8uzPlWSRl2FdNwYsfKrsu81y2iYzoiwySun +ELz85MgsJRqaiVpH1lZS+DEl5BG2nob+oqTDPsQ7vycIrFanYRD/KKCJmB8x +OnunNg3z3ZUPPL5SMF8cJOu1h0b6a6sFKVXk/fynHjfHkIbDlf7038QiDKbS +s/00AjTF7qGaQl6ovNaHwzS0JTdTpcQSl78W6FnQyDi+58CsGgqOZpd2Np6g +capDeGI38QqJAuNBaxopHvE/i4ndh60ar5+nsa0jXHOSuK5+loWoA42GRaaZ +G2sp3Aw+ZKPoQkNHZeP+l8Q80T5Pmxs07s32njr9jYLuz2DBkVs0XNbZ998n +Dq/W9PP1J+9Hzlw8m3jfvZuPoh7QeH/qwIRwHYUY+7VSKo9pyB+1L1Ikntpb +G5kfSmPas9hsY+JkEel4ZiQNi+Gnex8Qz+z5qHL+FQ0FrhU7ifhk+dmM0Rga +XU2OqeXE2XFztW+/pZEYUJLRRSzml1a0MImGyKBt/zix3VlzvegUGq2LHY+J +1ZP7q/enUjWdhp120x954qXyMQeKsmgYJSW2aBG7Ce1tNsql4d0/xjEgrmEP +HGsrIPt5WbH2KPGaTyEsu2IaNwLlXp8l9onWOTf2mQY/XMLUmZh5g9XnV05D +rPW1xjXiDaf9nCWraFzwbTtwizhQV/m/mFoa87vLXwYQ0ysavNQbSH/5u6x+ +QLxV4OqMkmYax2fwWMHEoe3L7x6gaDgvV2l4RDxQ8Fm04zuNJyUHx/9+vifS +7okji8aaKasjf59/dW3BkkmaRrPAuR9/v3/8WFZUQDfpFxn79L/rH9Q5Lr+4 +j5wX0yPt7/4Sl0xPjBugMVb+ivd3/0LjcaoawzTyU3tNzxHHR/Y3po3S4BlN +32JBbLRd/er6cRp6M2Qd9xEP0e7LkqZoDO47yNUmDvMvLFYQ5ODSp5g4BeIt +SkJnY4U4KFotmypBzKo1mL1yFgfahxqnCxArLmoylZ7PwX6V+ddryHl9y13y +O0ycg3dIiU8ndrM8FSEhyUFpZ9bSMOLC2F72vGUcDC9Y32ZOfMZAzT9AhoO6 +eTkKmn/7pf+y0kw5DoQ8vhcsJDbZON1tmiIHuX1rS8pIP4627F7spcJBtYOD +WhRxhOf9gjE1sp/93j2uxJwSKeFhLQ7inutvlCYOOGuZ4LSFg7BAqbJe0v/r +Z8cY920j69G/onOJ3U3Wh3H0OZhu2L/pIPEyvquO1V4OJLqV+5cSFz/N7Wg3 +4iBkdnI/Te7XnE49BcYhDtId4iqdiKMuHM+ptOJg8bsVoxfJ/d0lHn1i91kO +uiIm/1Mi7snkCX625ZD/dcF6PHL/1aec9xZc5CC2Xzb/MHFp4N3WFG8O/tGI +kJEl+WG/vva6ki8Hl+fznWtJvsxvkJCLv83B/Ui/+deIjy556RB9jwOBhPA1 +3yrIfHqbNfXkGQcsL8OmMyS/HhlOvhJ7wcGIqL6nELHmr+36Qa84yD8o6PCa +5N0Nzeogv7ccRP2YodJWSvKmlL3CI4uD17JqGpu/UNBhL9hxsp6Dwe2Hz70i ++SrQZhuzppmDctUqvaXEJY0lwr+YHOimzVkVQvLYoNT1qw+L9EeoIeVD8vpI +PONQ9C8OHo28hi7Jd2enl+c4olzsGX7HtyTzYtP5sYp34lzUxvOrcj5QGDtl +qnRZkovPi9/HiBNfNxUaFF7OhWhEv1khmUf3N533UFDmwkjpbclUGunnifX3 +7fdxUSAb1r70HcnHEf8B9f1c2MqEVB4h8021n2UyacqF9fS27Idk/n1ofyx5 +34ILWurj80kyL78U/45KtuViS7/h7fw3pJ/9itIG/bhYuuwsv43MWymJ/Ywr +n7mYvfdf7VcPKRTNCrorUM7FT8Ve+eQHFGymqrT9vnJxNNX3aE4QyXfu3sjQ +ei7ezXJ8WknmvUGOvlVmJxc2Tc7uDH8KVy3RNzTJRVhFTesr8n+Beqs87cIm +Hrz/483uu0DOI9Ihla/FA3cke12JI8mnR4lWXlt4eGy3NTTUgcxvz7Vf7u3k +4WkA74C2Hek/Y/mARBMefqhdMXe0Iec1vFSix5GHz7XRNTeOUXi+da6C9Rse +FlzLMbbRp3DazzJMM4GHgCa5YN4uCvJ174XnJPPQcNWYcW4nhRRrM05qJg/G +mc/drHRJvwe+iBb4wsN7AafBbdpkXjBVl0WweVgTXCH1XJmC2mUz8XqZblze +K3+HEif9m/xicltENxjVqTaeLUy05D8MEIzqxsisgLS7TUzwKn2lPkV3Y2a7 +4arQBiZEeGc36id0w2DU6VJ8LRP7Vqx3MMzpxubrO0tzypiofVDUat7SjeUy +5jdvfGCCebEz99LCHvzc9bwtOYSJvg0rr74O7MGpAq5okCETHVvGzeQe9CB9 +i/ODA3uZaNCv3xD7qAejtfXeYnuYyLHw7XsT3oMoUzohaCcTt327TibE9cDY +UhiXtZlYUR+tn/qpB5eM/b3H1zBx8NIqiaKJHtilNnUwBMnzKXIplP0P7H9T +rDr2ngEl10lbwZO9qJIXnuzpa4G+2sH2ie192P5yq5T+shYcF4wY95Lph3Dr +o7ZMnWZILc6OGBD7CQPnwICH65vgufbQ6MbhnyjaVX8hSaYR23Wt7Da6DOBm +J7Wjk1OP8PNUlhZnAKo6LhmO7+rgtGRfyzSzX7Bu4Q3Psv8GqYurc3VrfmGN +c6zkjfEaSOwovmq3bRBec6cxtDZUgf2hdGJO7iAk3aXUJ8UqoPrhs8mlDUNw +jAuP2cv9groNvrc+Rg9hQYRG+fy2EuiZJlz1WzqMoeH/Xrh6FmHuz+B9WkHD +MHv8PUv+Tg5MFjoPJk0NI07ApSJaMh22bflHwy+PAB89vl0fe4sm2bIXTrwR ++Ddk9hb+85TcqxK3cz0jMNY4bxd1LwyvswsNT/aOwMou+IL7wjBc3J81bjww +gulD7PkSsqGY4xV7WOX3CLY/PjQ0qPMEuoybov3CfPxznTFv78WHSAzS9bZb +zcdWe7P2kThfiFtsMbNS4EPwafj2jvQbuCqvpWSxjo9u1zdqeUU+0M9fTxmo +8OG14smDhyneYPUs11irwYf37oM2tnOvYJHeVD9Pj49Vmo1S7r6n4L1g7HPH +bj742ZbJUpYW4LSORLQY8OHbe+t8TaYp0pz7DMqM+PCY5qUY9kgVRi9bY9+Y +8XGhe4its/NoYbp9y7UXR/j4lJmqXDt6snCJZsPB0KN8GLKVLXKqzhTyqisF +75zgY7I8v0rjqn2hcXgpw+skHwWUoqf1GqfCTOuSFLfTfHyMk1OuFL9UKK1a +eMfxDB+Hfk+M7PvjXOg7kXPCxoYPneN9X3t6XAv/B/a2mwQ= + "]]}, + Annotation[#, "Charting`Private`Tag$51507#3"]& ]}, {}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + Frame->{{False, False}, {False, False}}, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->All, + Method->{ + "DefaultBoundaryStyle" -> Automatic, + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> + AbsolutePointSize[6], "ScalingFunctions" -> None, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& )}}, + PlotRange->{{0, 1.4}, {-0.03135980749074168, 0.4347886631116957}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.05], + Scaled[0.05]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843117407966116*^9, 3.843117451050243*^9}, { + 3.8431176786646423`*^9, 3.843117682458384*^9}, 3.84311800075559*^9}, + CellLabel-> + "Out[389]=",ExpressionUUID->"7bddf2cb-d9c2-45b4-b3f3-da130bbff533"] }, Open ]], -Cell[BoxData[""], "Input", - CellChangeTimes->{{3.842337008306306*^9, - 3.8423370083084803`*^9}},ExpressionUUID->"a1f6b25c-0873-4498-a93d-\ -113565ed896c"], +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"Plot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "#", "]"}], "[", "\[Theta]", " ", + "]"}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"8", ",", "10"}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", "0", ",", "1.4"}], "}"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843117379400096*^9, 3.843117450464201*^9}, { + 3.8431176777326736`*^9, 3.84311768214819*^9}, {3.8431177985349817`*^9, + 3.843117806110187*^9}, {3.843117917640306*^9, 3.843117966241499*^9}, { + 3.843118172822197*^9, 3.843118175349275*^9}}, + CellLabel-> + "In[420]:=",ExpressionUUID->"df04592e-ebb2-4859-b1e7-acbef5775165"], + +Cell[BoxData[ + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ + 1.], LineBox[CompressedData[" +1:eJwV1nk4lVsXAHBEyVRJ96IyRKKkQqawkGjgXhmKEkqkkiGRpPpMZUgRRVKU +ecpQSpKFyNB0jOdVGZPjTMiUIn37/nWe37Pf8661197v2lv+mLe1Gx8PD48h +Lw/Pf7/3Du26nlPkZHhwpWF59NovVTr1ijQdRX3gLvylYd+aVF1U0HluTsEC +bKRdozi059VpQe+MuNJ24Hrhp8CXlpZqD1m1xi8KjvBzLNo1j0avlhxsVHK/ +cxRqf9ZaTqYMVgc/nWX2SLtBKTTF3mhhVfdfVSmyS/MA1XyPe3E7Jqp3ORzy +ea/gCR+6TWOtaT+q8zfFaOzK9QbBoKcxUQoL1Uv+HLnodMcX0kXU3ySn8KGu +x4xjir0f+D1HgYzQxXi69YZhl7Q/aO/pFxhoFsIHehvkxHsCYLuekbCVzzKk +ZSDvP2mBkHFx4saMnjhqBozXNygEQ6TNYjXrj3+je19kNu/wJbhPO/T0c780 +Ju+WjzTIvQL1Q2HrpuVl8LJoXtahOyHgaH8x68Tddfi3if+dwPOhMBu6om5X +iCKWBBhfu2MfBlFKwfsZ/1PCwb5ujzbpCFDlF3/k3bgRL0pkO4zPRYCglVW+ +jdhmlNhzdq9Yz1UYt7z1ZNpzC5qVCanuTYuERz5a3c901LEgfMdYrcJ10MiW +xStvtdH0xZL+Pv5YmLBRE2q31MVebjvt97dYEHisd2nhsx4uP+hZppt7ExK1 +/wx0rzbEysQPlfZ34qH9h3JqXaIJLi7WfMJYfAtc32aZGkbsRJvmlIKA87fg +rJ9W7sOLpsj57Z6aaJ8Ai2xS1dYGmaHMiT+XaNK3YZFT3r+dr/biqRC3AOeY +23A1IpT3Us8+fH7vrdfo3G04vSNIdckiS7SiJTmL9NyB/oBwwRrnfzFUd6vx +7rRk2FJ7052+xRoZwkf5axRSIVnEz8K96iAubtmGb+6nwmOxUtwXY49KkXxB +7/++D3cPaJY+OOKAx/kzxz4JP4AgulNOtMhhHJwf/jQ9mQaHEpuvnUxwwrGA +Z9SqwYfQcVj8mP06V1zolNGSkn0E0b8Gbi/PckWx7dcS1jg+gnTp1ZpBKsdR +bfLgPwpdj0DE0lTDRdsNPb1/vt7akgFvE5qTPE+cQNYJ/eJ9ZVngShX9qybp +ib/eZIr8O5YFBb//HPxQ7olCSqKnrFWzwZe32FHK7gyqDPUoOmRngwLuvPc4 +2Qs9XK6kuKfkwJT/Xy+H7vrgsH1dREhoHrQs1uoudPTDgT27HZ9bF4Hkitl0 +gysXUPrE8tzimCLwiJGO3jdwAW3Dqcmc+iKwc5R5LmIahE3VHjHJWo/BZ9G+ +HznCF/GxRlTlBelikC6tqNiREYyvxNxEZHxL4Pul2ujMySsYNZt1ql+nDMI6 +JS4wYsJQaUl0nKNdGZgwBRxHGsOwbpXXM8q3DIIqxgWAPxzn1bX4WvPLwN60 +dCP3Ujj6nHlzt3bNE2D2Oq/a4x+BBwaHmx7xPIU2CZmFAb9ruO7dhg1uTeXg +sC5UOqc4BmV+LzWxHiqHe6Xn9KumYlBajeMIPM9A5d1MrqzedVwZV3JLSucZ +uCWf6aY1XEd+W92F9znPIETw+CeqPxaHP+/t1Lr2HFoG7t8tEY/DfPaZMEGz +FxDw6OruYr9bqCH8tK/gdRWI3irMTc1IQsVvW9kzA1WwTz5Ee217Ekpg0Ywx +zytgeB+Le8OXjDNnc0Qp/VcgeabEOOFYMlZ+StnB/+wV3FQ4IyyueBdN8kOS +HHOrgff56sC4xymYl5mTc9WsBsILcyVY/ffxxU5/Z+1NdWATpl7APvQIA46L +lDOeNMBhPlq4n28etmTMrj0Q2QxdQuc63RaV4rIteUpf974HXrb3Abu75Rj4 +IT/a2Z0GOsaMyNsKlfg0sSBG1bINKNnWg3LmiGCR/yd9ZQf03et83766DutU +Yl4rtnaCkNJb4cn4eoy/81f8tad0EL6hFbvKpBEfT6W1xp/vBhlpVo9UXTP+ +Pdi++qLLZ+jYPXPyh9c7DJxmlvsZ9cDVwJNuv/98wA2/ftet5OmD/YpdlT25 +NFxh3uvZfLYf8luzUmt6WvFZVFWpo90AeHlFnD421oa8Ej4X+/YOQpeZSeMx +gQ7cXSrBZe74CvpLbV4CbydqmetINq0ZApb99jkveie2KG5rur38G+zdquC8 +NKcLBeZyt2lPfYOaD31lUlZ0zH8w2vnkxzeg0Y6KXLGm4z8mmkFb577Bl7Vm +fYO2dEyOIlPmGwbbLRNjWQ50VJXsslmzfBjcY5Y8lXKlo/X2RQG8qsOQfF8s +5Lk/HdO9j1S+dR2G+Y9x/C136ag/tGKnS/swxN29+V63h448PaeylOnDUHPw +2i33Pjq+7ny95PunYYhctd4/foCOexv934UMDoNkQV/8wDc62ud322V8HwaX +di3Z06N09PN56DG8jAFbFTbrKvwh+c9vveFpwQBFu5PfFWUp9JqOGte0YsB1 +l+ZKCXkKt40OWv+2Ic6KvcOnQGFFX+LfNw4zwMNiOIxSovBN3Wx68Sky/t5B +9YwahYORNU8mIhnw5faIh64BhVKrrLoDGxjgOZZheNiBwpqlN6N5mhkgVy7Z +MnaIQveF9zsi3zGA/2Tog1BHCssY+x4ktTPASmqTfIYzhXsrzV2fDTAg2PDO +1Y9uFAY5A3fyNwNKXI8nd/pS+DlPjddbawSO60qvvB1JYeiDM2UzuiNg4Thv +OBFFoXJCoetlgxFIzG8osIyh8FzwxjexpiPAc0PC/E8shSL/KsUUWo8Ajeq+ +ZJlAof7U2lUsrxEw5cg8u32fwvuGoipuOSPQcYwnr72EwmORzsk6BSPwLvbp +9+VlFCq1lS4RKR4BCUU7RYsnFJa4HRguezYChXHqZlXlFNZdT8vgeTMCqS27 +z4VVUjjyaZtM6hAZf16nW/SawkLF8FjvETLu3LTQVE+hr1fXvAmHjPeq5A02 +UPiL9+Jn5iSx+GDhsiYKRVXqk7UXMUHwlKOH1TsK1c8fWNkuzwRNkUJfzw4K +Z2pyQ7PXM4FmrnvavZPCl0Jz3y+oMEFRp2qXUxeFpg/SaHLbmFDhcTPVnKLw +YMNIrJcRE1QvM5t5vlAYvPKioJAzE/7HYDBEvlJofOT9+Z5jTEgV6qANES/O +kWWUuDOhw/3CncohCm/q1Tcc9GICZ/fwM+dhCh8dFQ3LukTyW75sVxyTwsbi +tN9GqSTeJdsj0WMUUq/iY/jSmSBp5pCjP07q8TZMqj6D5LfpRw+HWGjkxHbz +ApLPbZHl5hMUWshtPWNZyQQ5AaGQwSkKHdXWzYlVM4FqMVEJn6bwjL5EFK2W +Cdd5tlcpzFB4w34206aZCWvS7R86/qCQFlfzxYFigseI8rHynxT2Pyg7tfoL +E8LdKxzMflH4vTBz9ksfmS9to14nsXhzpIQLg7yf/vEpZ45CO979Fu4zTLDI +fhPNt0DhJ9+Bl2clWJCZrH+tmbcbWVfa92hKsmBoP/+EDl83zsU20KdXs4A2 +tcYkm3hNft5koAILrGyOxgct6kbnQd9NV9RZUPNQbnipQDf6jLu+MNJiAdVv +7+9B/L8FO3M+PRbwWCmN1BM/ktZzjTBmgemFrPPnF3fjkDXfvRgrFhzPMNB5 +vaQbp1ymlC1tyfvXbOhdLtiNAt7Dz8TsWTBeNeHmSKwU09IW78yC/ssO0lxi +rbtVLjauLLAPijJTX9qN5jmPRyVOkHz3N9j4E3u8viWU7MWCEoxZPk0c2Bqe +7HCWxDMqxG1C3RjVF6C0OoAFyb78/3oS588dMnlwiQUdm6NEPxO/XGpJcw5h +gVGphf4y4W589zc4yUewoKrTf68xMVdDISjzOgueuirzpxH3G8wdWB/HAk/J +PbRG4g7zdo3sBBa4KI3WjhJXHg7j5qSQ/PPWrtIW6cYit8Mtyg9YIHLdNsCe +ON1bIyfvIQvS0z3mzxNfDfvqUpBL4luGBpQSX4h9aaBaSOJJbT/1ltgzKUG6 +qJgFciGyoV+JXR6e/rH5Can/Ycnqn8Q2BTs7Hj8jz6fMrBUT7Uaz8tWlWypZ +4Gge/1COWA8nY0tesWB5OO7eRry5+e2pbbWkHjH2K42I5dozzMvqSf6lGryW +xCt7LipqNLFg61FlcXvixQwb3qdvyXrKipkdJf41vqlX8yNZv8iqVA9i7q9F +L8vbyPrsF/7Li7if/0uSVhfZb+Ztpb7EHWJPzz3vZkGqFdPbj7hR8vp+nR4W +6QfKVv+5ct1xtRf9LGjKO2H93/NFqvrCekMsKDS4EnCGOF1LYqSSwYKK0+ZV +J4gTjTj1O9gsGIlPVnAhvra3/mHVKAsklPYVHiAOsk29bDBB9lO59oF9xF5O +5w5XT7NAlaElb0h81MNCB36S+Z2XF91CbHtWcVXNPNm/O2hSMsTmwfPfjXjY +ECi7xlz4v/pd7fhQu4gNPCq9iTOk3mpxhQUmS9iQ6jfG108snxIe+VqIDUPq +KvGNxBKZjm6mYmxoKj0ORcSCjzVNGlawocr6omg88Wjt0NwbSTYc/5kmaEM8 +8LaKMl/DhnSdo9u3EXd0JpY3ybJhROR4iChxJdPUu0WJxBu+HVBD9lfR5BqL +fRvZMNsbKJtEnP57SvndZjZQ+wUYp4mvrcgafK9J4kWFtf63n4NWX8J/dNig +81Nlqpfsd6/1dqkfd5D/a3/VLCS21RU40GrChv6zs8uMieWOujV3WLEhdz5F +2ZZ8TytPG2Tb2bLhi1u9tgTxYv9VYV0HyXyv0V3byPfJjWzQp5zYMC+hLL6H +uLJkfclnTzb4CPi9liXf+7tlK/bl+rDB4nv6RDPpB71e89/OnWODS4zNiC8x +7+b21WLBbJgSuqn0kvSP3Xn/u2YUQ+oT78xU5+/GQ4Ke60RvskH1XJn5W9KP +PE8cfNV9iw0d8WtPHyWOW682eTaFPH8hkuca6V/0h5+dsvLYYL/rrctznm4c +4Wn86VtE1jP456AB8S/nskTDUpJP7yfluj8UyshEtdAryPxqF/95Tfqle4qW +llATG4wKtWiF8xRO3YoX9R4m9T34vU98lpwnE8G5O1hskOsdiPQn/Vpyv8dO +wVE2SHyjTXSSfr5jGVx4OM2GYCnepXGk34fGcIba+TmwO15Mj0nOh+Xh5lU6 +ChyIfO8QvYVL4bqv6gcFNpDxhPgtXhwKNU1kJlo3csAxRS0hn03OO57pDafV +OSBXMZ24hkXhg+BHCfeNOTA1tPfyN3J+bfb/fYrPhQP8y37VC/WT99E+2N5w +5YDgh7kEzT4K/9qUbih9ggNG6v876dhL4UK/ibi6FweCH8ZvyCbnJ80i8sXR +YA50KLhw5LvJfUNxpWBtMgeGordcqmylsLJdOetKKwfWaNh/9aql8LHarxvC +nRyIYziYOdRQmBH1NjCJ4sC7eoFCY6TwOnhZFPdxINzh22WRVxQeKXgy2cvl +AEcsCqIrKOQJMzQxFOICTyaKKxVTaK5u2zdvwgVlvxmt3hQKI/c3MALMuBAp +nOJ/8y6FTT5a4+N7uGCav7/RMJnC3cWSvN+suBAOiRlJt8l9S7Vn3XsnLuS6 +X9dXjyPnr5K7+/0gLnhM3+8WiqDQWjqQa1DGBc8UJ9E9Zyi8pcucfl7OBR+5 +wuCq0xS22x9a2PaCC7NevXObT1Fom6S/TKmGCxWuN1SET5DzVIJ3m9gHLmyl +e8BjFwrtxaLP9TK5kFgbD0k2ZH58qXOX5Uch2O87Y1KbwqoAuTrT9aPQn7V0 +EZ8WhdLszEghlVGYHfVsE9Uk94n2olV3to5ChUhqrMxWEi8LtxTBKJRU7j+r +qEzy3/3V9fORUch0Gv5U+zfZTzc2vtNOGYXEkgV7k2k6Skm/SB0XHwMdi0VZ +Nnl0PBStUOb/1xhQbgaGP7PpmPortvGX1BjEvQptTc2ko9znYxP88mMgF932 +rSeNjkqpIrultoxBYfFCnfkdOqrLuEwa7xuD8ZF/dlSGkvv4uiV7E8LGwP74 +5lCpQ3QM3mj3Y/vUGHgYjxyq4qPjl7G2lsWzJL6Er8SjP12oX271gD43Bi66 +ge4R8124IJv9qIp3HHJ/RbWbznRhdf7DPU5Lx0H5kv+HbGYXQk1y0kPJcSgJ +2LD5x8cuNGJf1VDWJpbXq2xK6UITY9fT28+Ng71YqJ2yShemnPz8XHd4HCpO +jQl36nWiz2oLivfAd9DhS0hr3NKBUr4bXhp//A4u2XfvKY604aqddUGnjSZg +9uSxf5bdasWhisZ5kZcTUOLIs6GB+xG3VTRYn9WYBLlfOGp49h22aYRF1GZM +gpXFQcbMUBOa2RQERa6dAlpasJHkk3oUHbtloXuTeMuBWqezNWgt4TdRtDAF +crOC3MKh53iq59WhlPPTwHN33ZX3pfnYta4pzWeEWPNlmhU9Ag1GXwd4sIjP +tfn2WIZj5gu0dOEQz7gLsF+H4sfKkMSPXGLxoxHemSH4f0m4cHU= + "]], + LineBox[CompressedData[" +1:eJxTTMoPSmViYGAQB2IQzZnMer7841d7hjcb9l/uqd3PU7csXP8HkL/EYF3v +76L9Gf13+08wfrP/t3RpdY6e337Hmy3879i/2TM8UHwbdS/cfk2/Y322OpAf +kS+rEdBjLxxtG5asCeRvO3Tp6Mte+yo1S91obSD/wATT58399u57DW576QP5 +1U5nbsVMtH/0St5cyxzIb/1VcmjqFHsJt3/vXrgB+cEzg9hFZtvXC/46+sAD +yP+/IeXPk9n2z+58nXPDC8g3cKs22TbHfnPxW68TfkD+ow6mvMh59r12TjYv +/b/Zq7G0WYlfmmfvetlj772Ab/a/TX6unGMx395v4Z1ly8O+2TdMvunZcnGB +/ZacG7XzI4D8N/c+clsvtJe2uBIyPQrITzbO3Lpkof2Lc6eZ2uOA5gsteZNb +scjef9bxm3UJQHkPC57aR4vst6Ue3lCWBOTP4lZa57PYXsZwf3teCpB/5Yk5 +4/bF9s1/dsWlpQH5wv3hZYpL7AFSKbY6 + "]]}, + Annotation[#, "Charting`Private`Tag$51572#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ + 1.], LineBox[CompressedData[" +1:eJwV1nk4Vk0UAHCkqCwpRZSIlFIUyZYjFEmbKJWiTZI9JFREIpEkW0LZSWTN +kmPJmsr+3kRJeDdblr6y9U1/3ef3zH1mzpx75syVOu9gfImLg4NDiZOD49/z +6am9D1KzzmpNpPnt6nrbXab6TqZZVUYT6u7LK5m1RJZnZXa4zEgbAf2FV+BQ +c1F5vEeT9rCYKWTLKy/qbmwst163ra5b2hxcxAYvpDfTykX76mStIs6Bq6ni +oYmYvnKv/N/MHrFLQD3KCglpZJX3+stlmcZbQ3mlZmyoxnj53pOnHD9I24Kl +zasQ4+b/yjO2BCntTXMAq7X/BQdKz5fz/D3jeTbCCbhGWHVRMVyoZv3LPMbs +Guj9p8ebeGcRXm0J0eoUcwX1LKfF3xuWYJz6RsnlPW7Q2SG37IijIDYnIueh +eHeIHIKwX+rLUdlt7F2NtBdU6KYqGX8SQatvASmcgzfhqpJ/6ZdeMYwykArY +nXYbZG/f3TIlJYG3+NOTT0X4gPqJ4y8vR69HER3XCPfrd2C75aXGvT4ymOO2 +516EmS/w7b9zmu4ti33fPlu3it0FvkOQ41C3GT2FU06OzdyF76LzJccEtqLw +fmdDgR5/ELESqpuyVcB9uUvkDeMDIOPmwcFC1R2Y6acxWin9AOI3W3Tcfr8L +9Yp5er9xB8PRs0el2w6q4dfhtua5gWDwOO0fPf9FHZedsM1VS3sIqt2eC7vE +tbAk/GOJWcQjeO64oqgqXAcXZSvn0ReFwZqpo+e17urisYaYTLfrYZBlRdU/ +99TDoTmr2HCzx2CY/8l0rcc+lLj892az2BOIPdXt3/HWEG18LrlZBD0BO6b5 +7ps9B7Do6Xv7kZknIKOjZ8ez4CAeaY604OuJgEkZIZMKi8N4R01xj0F8FHTm +L4ymKRgjfek57grpWKgZVnluVXYCFzVux9pnsaDrKiNmFGSGsgFcHh9EnkHR +1Vih+DMn8SJ30mjX0jg4o3lPOIjvNPbNDnZNTcRDXDjXcpvHZ3HUrZBa2fcc +FJu3Npmtv4DzHRIqq9e9gKBddguFki+gwM57j9eYv4AUTf10D7mLuG3ixCHp +zhfAMWyQb7nrEto6/KlWbEyEizi2w+7yZWRd1sw+kJsMHYEBcgqitjhdm8R3 +eDQZbLPeqH8qsMUlsvw2xvIpcE3rjoGYqR3K9ffInExJgaCOBTHZUfZobXk7 +xiomFfoNPh8aiHbEQbOquz530mGgqfRplvk1/L7fwLzIOAs+aol91rp9A8Uu +L0vLDsoCnlz+RqPvN9DEj5pIfZcFtJcz8/x6Hlhfbh0UpfIKUtL3HU5f6omv +lAJLbohlw810vh27E73wrcAlPgmnHHB8V2KYMnEbA38n2/Sq5sJ72+EkRpAv +yvLcDzU3zQWrW3kPmHW+WLXSvpByygWD/c4a2tx+OLtDhaslIxf29rVfGbnp +h452tdGVa/Jg4cOYy4aud/F432D9C458kAlb6frj2j1c37Rx46X6AhApXvKR +BI4Sc4t1jPsLYNXX5vG3k0Eotm3IHDgKwcab+7yU+gNcEZoTtlq1EPJuOke2 +1jxAbhO1+Q+phfD03K2Ert5gHPxi2KFyrwjm+c54v14eihlsO1/efcUQ+bhe +IudaGCotzf+WWV0GghvXlMcmRqLMgCL71/cymNMU3LO2LRKFMevXHo63cOtg +fHAtVxT+ck7lpzTfwozMuMzj81FY0hWjwV34FsYrlhcIyUSjToZPpHlaOdwU +wCcPX8VgelJqqv++Cnj1uzeR3vsMi3VdLXZtqYKQrZ6x9FMv0O0iXwE9rwa0 +w1uPn3dKx8bE32uPBzRA1OKQqwcWvEZBhXTZH4YfoLpEZXRldAG6f8y4b2HV +DIzB82Ei0iWYH54ZJH+wFbQcu2sU9yGCUcbfhBXtsM2fq45XvAqr5IKqZVo6 +gB6cq5sT+g4fRax6dC+fBpszHTas1q7DV5PxLY+uf4bmroi5rdiAIn1t4p6W +X4Dj1tr2LJsmdJ9iFlzT7gErcQ+x4T8fceP0XNUKjm8w6645XpPUjEL6X20b +nHuhw+T7/Z1UCxYGlr02N/0OZb/WOcgxWpFT2NHzm2EfZOwXKh2daUOD18LD +TI0fYDbVXb9hqh1V9FVF69f0g+ig1MzF+g5slNle/2TZADTy65XvCe/EhTNp +23dNDoDqtKO/rhoNM+JGOvL+GwAr7rr2SA0aHtJR9lCcGYAIiZDHrN00jAok +W+YaBNlImXNBOjSUF+08tmbZICxvslMqOUBD450L3DjlB8E/4a1t0xkaJjic +KXl/YRBCH/fNVHjTULNfSNeybRBe9ocf+FxJQ44em+RNtEHYVL1F5Gc1Das7 +qnl+dg1CeNrlqUW1NDSsc23y6SP+YMKQb6ShWcZn08Sfg5AwV/LsXCsNrzk+ +tx4UpINi9eIpuz4S/6xiiK0RHUzalVoFOSm0nwocUz5Ch+Z7PMDkonD7SJ/x +3DEynimQV8FN4Ztv4SIhp+nwpsA0+wovhbVVvxOybejgHSw7mihIYV9ARd54 +AB027XCA4rUUrl555LN7DR0MHpk3XFSlsGLxw/scDXQQtRyQ/aFGodX8B42A +JjoEeAZUW2hQmEs/EBfZRgfuSqHiY1oUGpboXyj8TgfrJwPBsnoUeljA8MQc +HdqFcpOuH6bwS/o2TgcVBjCoA1hzkcI7cXa5v9QY8NJb0GepFYWbHr+8cGs3 +A9y7224cvkyhi9fm2mA9BrRPXBRsvUIh32HZoJfGDKg/011daU+h5uTalSx7 +BnCIKa854E7hMy1+uUupDPB64de34D6F5wMsolQzGaC8cNJZNohC2dbXPHzZ +DHjHk/d93wMKcy4dH8wtZEAA/beZTwiFVQ/iEzlqGWD96llKdxiFjK7tErH9 +JB7l4lvyTyl8KeMX7MBggGr0VIt8LIVO9p2zOkMMyK+amd/8jMJpTs8vzAkG +iD4zHJCMp5Bf7l3UrgVM6E3f82X8BYU7rh9f0SbFBIO0VwoKGRT+qki7k7KB +Cdaf6+f5MiksXTLz84YcE9LwRCKDWC8uvllyOxOaFtdcjc6i8EQNI9hemwnK +xT7jvTkUeq3w5F1iwST3pc4zniIK95z5cL3nPBMUr5gmVREvSl1Hz7Figm1/ +kZfnGwofqr+rOWHPBPkTNgmDxRS+OMfvm3yTCQ/cbr58UUZhXXb8nHYsE8wS +SuNfV1JIvX0UxJXAhO7ZyO59VSQf731Xv0tkwsuiLTOfiZcwLu/Uz2SCJe1h +959qCo0kFe0OlpB4DMblJGspNN+2fkagnAn1bAX7JGI7TeHA5koy353jYbJ1 +FIaY/U461kDWj/S4IVNPYXNoRfdJigk5OkNlixop7I3LtRHvZkK4itb0DeKf +L5N+d39jwlBKwUo28fKGAGFLOhm/pTJY+55CU86jRla/mBAg4kK/+IHUq6Bu +18ZpJkg29QvWEbut3WnNnGPC7JUq4Y0fKYxSW+1nu5AFokfYub3EXU7fS52F +WUCV82uoN1PIut22X1mUBbP35rWDiGeCa2hT4izY5PFKqot4TUb6hLs0CyTl +3Z2dWijc+uapj/pGFuR4b+orJtaqDRac3cyC/I01WzhaKbToc9pyewcLEuzH +D/gTO45dKNZWYYHRtNj6WmLveVN9LnUW8OVYtCxoI99HTP3C3T3kfX2HEnfi +3E3yP/ftJetfbZvIJq5WkbjNu58FMpr+CweI+425ngYdYYG7Ft9rg3YKJy0n +Nx00YYHZIg/D68QLHQYLBcxYYJt2tTSRWDaosfWRBQv8PIWkfxGrRJdZHrvA +ApeIc6vXdFCon/pqRPgyWd/CbhCIravDlkTZk3y9+LnAh9i9xS/qpDMLyk7w +mMQRB35zkxV3Y4Ge8gqPYuKYYev87hssUIV+11bijJlTOnE3STwZ+/WZxKWL +DzZb+LDAsWX5yBxxkwiclbpL3lcXtFnWSWH3hu1DfQEkvnCBUkniYSVpj6QH +JD8lvb3biHt3zxzfEMqCXhFXCXXidv02pZTHJB6DMndd4rqjmcs2RrJA/tnD +UUPiktO+w6kxLDC4W3/nCHHWpdONm+JY8CZUe4cJcYKDUmr6cxZU2LfMmRI/ +vrHUb3MyC5RHTAb+2d/3h2VmGgvM55IYx4hvBJfuln/JgmUZT3n+zWcb+Vgs +K5sFUVIrdP6tZ/n86n9b84hzBiJ1iI9l6ra/KiTzVQ/zqhHvKxB/rVDCAkb/ +widbidVxIjjnLclHBa/mv/1ubXhvs72S1N+6lrl/+ZBsS9TPfUfeH1Lr+kvy +taLHU0apnlhBomWIeBH9GGf+exY0LzvZRyOeHtvyVfkTyUdnDX8l8fD0gtKC +VhZ00+FYGnEvd3ekSieJr+FFbjBxu0C+S9FnUh+SjVuciEvWX9xW3Evq4VSH +zQ7iLHnNper95HxIOSkuI05QEWaU0FmQJLtHcIjUzz3Dd8/LRkh+Fo6KxBF7 +mMTe2j1O8lN6d48Lsf1Zl9PlU+Q8XKry/VefJs4yKytmWRCQlHCUTepX32v2 +pzYHG2SWznYXE6v7t3+sXMAGy6VO3v7EUjF+AdVL2OD3aY2gGPFIZf9MrSgb +Yj/n628m5+f7+zJKfw0b9NJXhjLJ+WvvCC+oX8eGfPGboynEJUw9h0ZZNpi8 +psbFie8JJfd9UGZDAK7iHf1E4hW/iYdU2bBm7C93PLH9BtPYTxrEV/pFDhKb +qC083qLDBu1a8duJpF9InrvU0H6EDWPluYfVSH8pydmQ88WWDS/zzHp4SX9q +EhQ6kObIhqifnKWhDRR+tZ8dcHFhwzvRRcdXEXNubRMX8GJDgpRPtRjpfwbp +3ve0g9gguujPdV7SL2nPv5xNTmeD+6T8huukHzM46v44ZbEh6a9JbVcF+b4W +ueFar9lQdsZ5vSaxhERgI+0NG0J3/Fnyu5z0vxgVlSX1bDDoVY42If19MuwR +v8MgG9IE2u8nFJJ6GfdK02CxwSjmcOO3AgpFj1rr8o6Q/XL5Vq0h1hCEG8+n +2DBrf6/7YR65r4OG+tu4h8AxcH/eKXL/LPPTL1OVHgLbNoOPd9JJvbrO2XBZ +DkG4dlOneAyF65s/moRcGAJhNM+WjqZw1ZYELbHLQ5CvuSlALorC+V6d5Tvs +h8DkhqC6QgTp/0YBxee8hkDx7+3Y9eT+dZFZwVsZNQQGcQf10wNJfts2Jd9u +GYJ3Pk5Pdl6n8NW26ZClHUNgZh1hMOdKYWLge/dIagj47Df8qXKh8AHYG2V/ +GwKj3m3GBs4UnsnMm/g6PAQBXjnf1e0o5PDV0tFaMgx6qR8v4nlSfztMvs3q +DAP35a5re40oDDhaQ3fbNwyicmES7YYU1juqjI3tH4aXR9wpy/3ke2WLcg4c +GYacH8E3XPaR/x/5nvUfzg6DdsFKBz9tch/KWlk98xiGpvsJq/WVKTQWcx/e +nUvGex9xnhCjMEyNOVVUQGxMXUsVpbDN7NT89uJh4JW/MjG1itRbpKagbMUw +/L6iJBa8gtxvwpzbBT4OQ7jQGHcSH4VmAvddvjKHwSXNW9dlnoZnuGJnbkmN +wIP0pfxxvTQsc5Os0tswAmaVaSN3v9JQjJ0UsERuBBz9d/te7aYh1Za1MkJx +BKJSV9YoUDQ0SUaFLBgBba2Z9NhPNDQy+HHhy5kREE7+MMRTTkONkM1Nu2JG +ICA/ZU46moarxYpjx5aPQpnqii4HAxqeui+d67pqFMKH/aZ/7qVh7HRw3fTq +UeAWahNx1qWh5Jfz49xSo9CrwTaw1aKhbCyfwWoF4nlzPwNlGu6QsJzYc2AU +VJX5TiesI//H63kMH/uOQkX4hnMfJjvRa7PpfzsnRyEq6fMn8ehO1Nlz4epO +lzGQTHe7F13YgTFXvhSpDY5BlO9eM1pFOzqKG1Gcx3+CtoRr54fsNlzttLF0 +z6ef4JjP21x+oRVX6lZ5XNUeB46agblNfC3Y/6Zulq90HBQtBH9pD37E7W9q +jJ2VJiC0Z6L6jft7bFXyvVuZOAEJCm/SPBbU475jmR4Bayehwndb9a3pauQf +DTNSezgJkhEzsuXfEY2Fr41nzU9CglB3Yv+1IrTpeXsq5voUeLcNGDtcy8TO +9fXxjowp4LBkpDpbhOLukWo3axZxrqP0hPlDTCrGg5ZDxJITTenTweh0pGjm +8BixSbGv0M4g5LuVckLhN3Hh0VcNyXdR+77P4d6/xHHp7Pnt3vg/n4JZiA== + + "]], LineBox[CompressedData[" +1:eJxTTMoPSmViYGAQAWIQXWXE2tXB/M2e4YJW3ReD6v1r+h3rs9WB/B6fphWB +FfbC0bZhyZpAPgfjqRLtavsqNUvdaG0gv6TM/yNrnb37XoPbXvpAvoXcytp7 +jfaPXsmba5kD+a9My1fNbLeXcPv37oUbkH+sX8h7wkT7esFfRx94APm7Ez83 +eE6yf3bn65wbXkB+70Glx0yT7TcXv/U64QfkfxKv1SmbYt9r52Tz0v+b/YFO +vpD3D6bYu1722Hsv4Jv9+8QvYUutp9r7LbyzbHkYUL3P5f7LcdPtt+TcqJ0f +AeRXHgjJkZxhL21xJWR6FJCvqN9heGWG/Ytzp5na44D8lDlejl6z7P1nHb9Z +lwDk/1v0sJt1tv221MMbypKAfEbr70wHZ9vLGO5vz0sB8idk9S+rmWPf/GdX +XFoakD/fYl2ZxVx7AHfplbw= + "]]}, + Annotation[#, "Charting`Private`Tag$51572#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ + 1.], LineBox[CompressedData[" +1:eJwV1nk4VVsUAHAkekgyVChkVkqGUGSJQqJESqVokJ4khJCiQgolhGTKUMZI +qIQlM0nKdG6ZkuG69xoyNKDe2/663+875+6z9tp7r73Wn7po6cDBxsZmxM7G +tvj76OjusKd5J/RETEXdsiu6y7RrZFu1ZXUhPuyVhs3H2Iq8nA6PeRkzkM5p +u8dqfVmR7NusPyZmDfO+Bqu6m5oqzkluru+WsYWk4N1Xs1q7KtYM1MufjTkJ +QcVKF6bjByr8in6N9og5wFWXkZa7TYyK/mClPOvkc0B/XtoVoTNVsfvIUdf3 +Ms7AfWzjjGXrz4rsjaHquzMvwgH34C13ZP5WcP93/MqJGDfYtLrZ8GE8B247 +98M23uYS1Jamlqfd4MLzH+/qdYp5Qky2Oc9AIw8mbVeQEuzxAmfDSbcDriuw +NQ3Z9yV7A1WkFPBzuyBqeE3W1Mr4walAv0arD6vxbF/IE/bhqzB4oaiyp18M +40zWh+zI9AfulqDin+sl8NryrIyjMdfh/PHUR/8+lMbVBp4x3pdvQM91B1mT +67JY4LXzVozNTYiNebCFESCPA320c5/EguCTyLIXl+o34BXhJ0cm54OgUe/j +JRv+TSi8x92UvycY9PglzOedVdCokEfZNDkEUg/IJJZqq2FOoM7EW5kw4FYf +3BD8Tgt3vebu7+MMhwRDfvPP5tuwd6yt9c9QODQ+SM/h6t6OAoedC7dl3gPN +tW1Kg+J6WBrdUmoTcx+EHdtcm6INkCtf48UIVyQ4pKwfNQoyRKvG+Byvy5FQ +UqWnnHNlF7L+nE2ItomCL/z/pCv6GqGE439XW8UeQOvRultD5abodN3Byy70 +ASTkDiTe7dmLLx+9cxmffwC2sYGGYkvM0aI11o6vJwYUN9Ye67Tbjze2bdlp +khwH7zqDHIdVLHGE9yRnpUwCOKx799m/7DByNaliXWIC3JaWEXIMtUH5EA7f +96sTwdKzpf/N8SN4hjN94jNvEigZXe/K4TuGAwvDn2enk2HXgZGJqKgTOOFV +QokMPAaffeNxPtKn8W+HhKaoZCpE9SbGqWecRv6tt6LW2qYCVzKnSLLSGdw8 +fXifTGcqeEgf+ue2lgM6X/xdvaUpDbKiGCZJjo7IcNTN31uYAcVV5QzPNc44 +V5fOt38iA3zE7SRFS5yRR365k6XyEziq8dnJ0foCKg32yB558gQ8Dr0KWfLQ +Bc/Z+8efjX8KMXihbFW8Kw7bVAVdv5EFmX8LeydtL+HXPSa2Ly3zoKb6fOwL +fx8UcxTIzA/Ng43PmgRrv/rgwUBq+mlNHuiXHxu+vcsXGyrOhcZpPoNTA6XP +lfmu4DP126U+YvkwtYb3TmuaH5bzO/BJuBXA6UdLWo7P+OPtXxlO/dqF4CX/ +SME97CbKc9+JsLUuBJrWhdNeDTexSsSlhHIrhDZRK89WzkBcUNPk+JhdCL/e +sccFXQtE1wt1D9+ufQE3i0V1Jj2D8NDAcEMqWxFwhNbmZnncQulmBQWHhmLQ +E1V0Ly8IRYk//xhYDhbDjZxV/1CzoSi2mWULbCXwH3j81dcJQ6GIgkhR7RI4 +/nLS709dGHIe3Pb3/dMSqPRfKrBuIByHv5h2aN56CWXL9IychSIwm3nh5jKj +12B3wrbkiUckqvMW9eVUl8H3yAUF9YxYlB3awvzxtQzKT0jJlLbHojDm/djJ +Vg61HQNz/3LG4Q/3p8sp3XKQPSY3YHgmDks/x+twlpSDZM3CfI/cQzTIvh5r +m1kBFSs4i2MK4jEr/enTYKNKaGyf8PL4loivDT3ttDZWQcqJB5FdtqnodYav +eORFLbQKY4uSZxY2pf1adyikESS4lIcquJ7jCpUs+W+m7+F5hK5JU1Ixerdk +37E72wriLX23nm0sxaLonFBl80/wLLzuD9MSEcyy/0sRagcVsyN3BmSqsEop +tFr2YweMfO6Yi0iowfsxq+7fKuoCK5c+Xg6Lenw2k/zx/mUaFBqaNqa2NeLq +gTbxK/ZfoL3k6+MlQc3oPTtafEm/B46uv8ZaLf4BFeb+VAmx9YH98p/hK163 +4krjXudG934Izkmpkfr+EUtulz23tf4Kg04Sx7i42pBd2PVKn+kAlP6e2T4g +2Y4mz4XHRnW+gcs32v7Pkh2oaay9pmHtIKx6tPuG3FwHNsmqNjwQGILsI2+t +Alo6cel8pqrWzBD8WLZhZrdfF2YnjXe8+DkEYbd+GVdc68J9Bhq+W+aHwFlk +nejW610Yd5tMmWMYznDKqkkEd6Hymk6rtQLDMCNqqdl7rwstty7xYlcmNhrS +F0nrwpSLx0vfnR6GCLEg9eMNXag7uNLQvm0YdIX1Ug35KWTrccpQ7BoGTvc2 +/mABCqs7qrm/fx6G/pnsxDpBCk3rPZuvDwwDdSZPymA1hTbZNOu078PANz34 +XFqKwkuuj88NrxgBfbW+gtuqFGYvbLnrbDYCtgKBmSxLCl1mb09qWIxAs79Q +3tRBClXHByz/WI2AMGQ0/DhE4au+6NV3j41AZtMlw99HKayr+pWS7zQCCzOG +eiOnKBwIqXwxFULGv3Htz1l3CkVFLGjetSOwa3ir5fQ9Civ/uXeHrXEEzuh1 +yifep/Ds3/c6Ic0jwFbtbbI7isLCkb1JsW0jkCtX5hYRQ+ZTany65OsIKOfy +WAsmUuhrB2PTf0agvShbriaLwi9Zm9kvatKB87XMpt63FN5IulD4YxsdEj4k +yR6oplAxKvf0tR100DVYe7KqhkIPvw114bvoUKOpkJlcTyHffvnQXEs6HMyr +dtz9nkLdmXUiDBc6FH14dXkHRWGi3nIlh6d0ePXngWYvi8JTIXZx2jl0aLf1 +fKY+TqH8p+fcfPl0WKu/SeTWBIUFDoeGC0voUJnP/kxhisKqsOQ0tjo6SAnu +Xmrxk0L6Z1WJhEE6BA7w8u5gp2GubGD4RTod9DUC9rlx0NDNpXPBgEUHZ+t9 +fmlLaDjHfuXL6DQdlBN7H7Bz0XC5Uk2c1pJRKLNTeJ3OQ0O1y4eE2taPgslk +/s1gIRr+qMy88URuFIq05MweCtPwDc/8dx+lUVhrkjaXJULDXUnJrVKqo8B6 +kbOsdjUND9fSw130R6FZ+sHXr+I09BO6sozHbhTatzw0K5GhYX1+8h/9BDJ+ +Bzc0qNKQKr8fypEyCv1mJ4Oj1GhIf3dTtCZtFCpHndBWnYY8dMetxjmjMCmQ +vnRUg4ZmUlsumJeOQpyTeTddi4atEZXdR6hRSF+54B+0g4b9SYVO4t3k/8lb +6lX0aPg9N/1Xd98o/Hp6daGLWLAxRNh+ZBRsMGCLtD4NrdkPmJ39MQq2vwVe +JRrQ8LPb1zfuwgzoXs4nompMQ4Z/2x6NNQwSb4tMKfF8eG3XrDgDvMO2r9tp +QsO12VnT3jIMKLDS/GCyh4Z2A24b/dUYkJLOUIK9NHSdPP1aX5MB/RqSIS+J +A/5aG3NsZ4BAndWHTWY0TBXbfjpoJwPKbJmrhc1pOGjJ8SjUggFsC+vD6vfR +cMZ+RtH8IAN0ZdKUVfbTcOnF4RJ+G/K+dFBRNLF8aNOn+3YMmPmZ5HPEgobn +qiN54lzI+2EP2xoP0ND7Y2DcEXcG2P+8lyVlScPbfV7y4l4MCKs84OBJnD1/ +1CDpKgNa71wMX2NFwzF1Gd/0MAYs25gRv/sgyeeO+UNyEQxQ5KDPBBO3G7ep +P4liwBmrBJ864tJjN8eexjOghv0Nu741DfMcjjUpJjHgnGcnpy9xykX1p1mP +GcAZUataSBx885t9TiYDWOtvLFt3iIY+4W92KOcywDmavXA/sXNslFhePgM0 +0l8FBhBb5Ri2PythgM07tYxuYqNi8ecqpQzweJs5xX2YhttxOrygnMxPsOyc +KrFUW5pxYQ0DXr1e33KNWKjniqx6A4nno0J5KjHXiBV70TsG7KpTa68hnpvc +2KvxgQEWuFZoiHhsbsmb4k8MaPgPfZbYkPlzdsdqdpJ4h39wSxG38xd5vKQx +YO3ko/LtxKXSZza/7mdA+9f4JCfiPGVd3u2DDKCbZTf6E6doCtNLR8h69kWK +RxFH67NqdJgMkEpWiU4nvmVa87hsnAGyBcfUi4h9DyZc2zHFgDiLqV9viV1O +eByrmCXxfG4ZfE988pyZNvwm+7Om+UcX8UF3WZHKBQYEpNRv7ic29lv4rs/G +BGHxR2HDxNuD21veLmFCwB9pASbx5ojcHANuJtgq67weI14fHxhSzcOEsKd4 +a5xYON3WYRc/E1LuhF1ZfL7smYZB7UomRPBdi2YQz7/kkzQSYUK0v+unIeLx +t4PzdWuY4HdAf2sf8dd3ZZTxWibI8jaVdS7mqyO6uEGSCTMWjHPNxPV9zpF7 +ZJhwZuDqtsrF/I3uutgkzwTd+JObCxfzN73WbO8GJuxycTdOXczfnxnF5k0k +novhgRGL+eN+v9RclQkmTfeG/BbztzJj4L0GEzxqDzk5LuZP/Cru02aCdjUK +WizmT8464YMOE3L1M3s1F/OnssnHAphA7xh7L76Yv21LD300YELBVpf+v2T9 +jQ171CyNmNC+iXtV/+L+Mi9e0baHCa1PYlxwcX+ddGhst2CChuHKEO/F/XV+ +xxPrgySe8lcmlov7y1PkZudhEo/Dh40bFvdTSK0udYIJZoI1Nu1k/5YWyBV8 +cSbz+1fOVJC4ecXKvZmuJH/fuoIpcj56XRaGPDzIevHmRiQQs29qE+f3I/kO +F+SVIDbJCrilH0ritbOI4SLn7+gyZ+nl95hQuf+W1htyfp0dD5fTIpngOvog +/QJxhNzmafd4ku+q2K/vyHnvevzlREYWE/ov1Q5cIPWBzlb/2y2PvC9p0StA +PGdXGK33nAmKp93Sn5N6IiFxu6nrFRMammj3WKTenI3X1ORpIOO5/+o1XqxP +kfeXXxxmAnU+UjfalMx/yi9Th0Hy32z+QYh4zYFzhsvGyfp919pwn9RHnRXg +83iWCc77lmgHkfp5I5Q12MbJgi2r/s23NKKhQKBxmbYMC2bmPcdu7KSh9De1 +w0sVWJCQOPy9l9RrDQOJqY8bWCBsq1+mTXyYbVbhvBoLKGvp1CFS35P8UqMS +d7JA2+f9M1ldGm7y/OPEYc8CjeAHeVqaZLzWloN3T7OgoVny6NWtNFy1MUVP +zJF8n8Yt/JbcL3/7DQTVXFiQsj0o34DcP61mIa9P+rFAV87oxuYtNPSQFVr2 +No4FFqov/TOVSL31H/xulsCC3CNPZlsUaXj8c/EXKpkFtjPml6cVSL2KsMmf +fMKC1jP2iZryNFy9kHhIqpgFNteb3TKkyX5oU8zw/8iCgvQ+OTlyXz7bPHeX +t4MFfo539yqL0TDt9jvvWIrMN8bxiqooDcPAxSy/j3y/Rfv3VnLfHs95Md07 +xoK456+cFMh9zXZTz0CPZ4z0Z8ECueQ+N1Y72LdgMAbNnrF1Or8oDDlQO+Jl +NAayErKbeEj/0OCqOTm5ZwzM7hU865yl0CR/DfuQxRjU8HpzOE2Tfkm5R/r9 +iTFgM4lg+JD+xEz+7NlE3zFQrniYozdIoaWY99iOwjHIPXDR6VgLhZHbRmdf +Fo9B4DYN3rFmCttsjv5VfT0GrMoHNX7vKDwYq7tCvnIMZujDATENFFoLs6vy +t4yBB6tl3Ysq0l/y3/HoHR2Ddo3DtIgSCo9zJMxfWz8OzpUFfT0JFJZ5SVXt +khuHwcsrF5Y/olCMmR7CozQOHoKClM5DCqm2PJGYLeNgH/q4JeIB+V4GquTB +OLmval5I3iXxm3w7/eX4ODRHF5p9vEahzt0NzVrx42BSuELt5gnSX4q9TpgU +nAATg5mWdaTfPXpHptBz1QTktv8WHhamMGEuvH5OdAJY01Ync0l/LPXl1BTn ++glYK/OJT5300/IJfCaiKhPQmn03T3EphWoS9tM7905A9+NxnuKpLjSV5jaN +ujkBATeiew80d6HfBuufW2cm4FXXNsuHPl3YPfGpievXBBRcCrLO9CL9erFF +Utf8BNg4iC4pvtSFfyWfpJaxT0Lc0W+29Re6sCL78Z4T/0xCwMeAf1pPdiFU +xsU+XjMJAh1Lddz2dKE+M1hdUWsSJvc3P55a1YUGO0+f3+pBnvcbZIxnd2L8 +v19ebhuehEyDSim+hg50FTej2A99B1ffy8OZje0o6qbwZueH71BpwPmx8n4b +ihhW+Z7XnwL9lLraVLNPOPiqfoHvzRSkNKibfatrRdVXtZbu6tMgcHtsvtGw +BT+p3wx6mzYNBYfnaipYTWhkleMbsm4GArJiuBXZ6nH5RKTZtnszUGnyiaua +txothS9N5f2dAXun9dLtdeXo1FN+NP7yLNjHGF/lEi/CTumGZFf6LLBR9LGS +oXTcMV7tdY4xCwGmb3qTw9Ix/TWa27PI81NRbhfV09HN4uX8/kni/rtOVQFp +yHftyWGVX8Sl9XJaYqm4kxa4Ypz7B7C1H9bIj0jC3Hs7/c8rEF/2vpshHYVC +x3YcOq1EzO3273KnSPSV37bp2EbiSypWO5/fR+PyLV9MVYiNJTcuhwgcYEhq +bdAiPnU+y7HgDq4x+jtONyLeVbfQynEN/VfO1fabEHuo7DopdAWHu2cTKFPi +hB4lSRlvfHFpzLRhHzGb2AsZfXdU/I8rhWc/8Qz790/dbvg/js2+KQ== + "]], + LineBox[{{1.3951900994092843`, -0.0030227120123997864`}, { + 1.3966364780269886`, -0.0008490214707128629}, { + 1.3971169770843577`, -0.00012532368718076725`}, {1.3980779751990955`, + 0.0013244389038655768`}, {1.3985584742564645`, + 0.0020505049231616113`}, {1.3990389733138335`, + 0.0027773615678219343`}, {1.3995194723712023`, 0.00350500944622123}, { + 1.3999999714285714`, 0.0042334491677360475`}}]}, + Annotation[#, "Charting`Private`Tag$51572#3"]& ], {}}, {}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + Frame->{{False, False}, {False, False}}, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->All, + Method->{ + "DefaultBoundaryStyle" -> Automatic, + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> + AbsolutePointSize[6], "ScalingFunctions" -> None, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& )}}, + PlotRange->{{0, 1.4}, {-0.3960529637976087, 0.03931779688676951}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.05], + Scaled[0.05]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843117407966116*^9, 3.843117451050243*^9}, { + 3.8431176786646423`*^9, 3.843117682458384*^9}, {3.8431179221287947`*^9, + 3.843117966720529*^9}, 3.8431181756067553`*^9}, + CellLabel-> + "Out[420]=",ExpressionUUID->"2dbc7eea-d17a-487f-b0b3-92766bfa949a"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"ParametricPlot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"-", + RowBox[{"t", "[", "\[Theta]", "]"}]}], + SuperscriptBox[ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", " ", "]"}], + RowBox[{ + RowBox[{"-", "1"}], "/", + RowBox[{"\[CapitalDelta]", "[", "]"}]}]]}], ",", + RowBox[{ + RowBox[{ + SuperscriptBox[ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", "]"}], + RowBox[{ + RowBox[{"-", "2"}], "/", + RowBox[{"\[CapitalDelta]", "[", "]"}]}]], + RowBox[{"(", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "#", "]"}], "[", "\[Theta]", + " ", "]"}], "-", + RowBox[{ + SuperscriptBox[ + RowBox[{"t", "[", "\[Theta]", "]"}], "2"], + FractionBox[ + RowBox[{"Log", "[", + SuperscriptBox[ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", "]"}], "2"], + "]"}], + RowBox[{"8", " ", "\[Pi]", " ", + RowBox[{"\[CapitalDelta]", "[", "]"}]}]]}]}], ")"}]}], "-", + RowBox[{ + SuperscriptBox[ + RowBox[{"(", + RowBox[{ + RowBox[{"t", "[", "\[Theta]", "]"}], + SuperscriptBox[ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", " ", "]"}], + RowBox[{ + RowBox[{"-", "1"}], "/", + RowBox[{"\[CapitalDelta]", "[", "]"}]}]]}], ")"}], "2"], + RowBox[{"Log", "[", + SuperscriptBox[ + RowBox[{"(", + RowBox[{ + RowBox[{"t", "[", "\[Theta]", "]"}], + SuperscriptBox[ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", " ", "]"}], + RowBox[{ + RowBox[{"-", "1"}], "/", + RowBox[{"\[CapitalDelta]", "[", "]"}]}]]}], ")"}], "2"], + "]"}], + FractionBox["1", + RowBox[{"8", "\[Pi]"}]]}]}]}], "}"}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"8", ",", "10"}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", "0.00001", ",", "1.4"}], "}"}], ",", + RowBox[{"AspectRatio", "\[Rule]", + RowBox[{"1", "/", "GoldenRatio"}]}], ",", + RowBox[{"PlotRange", "->", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"-", "50"}], ",", "50"}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"-", "2.5"}], ",", "0.5"}], "}"}]}], "}"}]}], ",", + RowBox[{"PlotPoints", "->", "400"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843118040540215*^9, 3.843118067499398*^9}, { + 3.843118184385252*^9, 3.8431182063496733`*^9}, {3.843118356128786*^9, + 3.843118442547071*^9}, {3.843118491923772*^9, 3.8431184940349827`*^9}, { + 3.843118575469301*^9, 3.843118599702072*^9}, {3.8431186609987707`*^9, + 3.8431186966078568`*^9}, {3.8431187901689463`*^9, 3.843118905018832*^9}, { + 3.843118951492248*^9, 3.843119048301594*^9}, {3.843119108271299*^9, + 3.843119114566826*^9}, {3.843126846579843*^9, 3.843126903323943*^9}, { + 3.843196901223112*^9, 3.843197008512505*^9}, {3.843290877971253*^9, + 3.843290878418971*^9}}, + CellLabel->"In[87]:=",ExpressionUUID->"c7679221-477e-44ed-ad24-f20a36e0f29d"], + +Cell[BoxData[ + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJwV13c8Vl8YAPDXzCyjKCUrQiUyss9NRhlJkvGTaBiljJBIkYhIyJYiCWU1 +iIbn2iRlk733eF9ee/1u/9x7v5/n3Oecc88953OO0GWnc9doSSTSaeLy7355 +Dqt5QuuDEY+kojZ6YJUcUVX+ee2fVV9OHoai1MFLtXcv/XMa+akS3Fg2OMNW +Yk7YN/OXmAbgWAc1QfPCv3hgloMOzBouKcV3GxPGprhi9eFS5eCg465zhPOO +F/09CzuVpI4xfzxLuO9Dj7UxvL2nM/1QzJBw8gjDgAn8sPgupaNn8O/90cWL +ZnCxhsJ+tkiPMP5av8UCxhPfzL29pEtYOuThqYvw8ErRXYtjpwnXq258uQQv +60x3fBc/RdhSkInLBjptn9qdVdUhHE7np30ZtpSsww/YaxO+avX47hUQER2x +tMrQIrwsJf/+KhzZPXqSsqJJuFkopfMaBGlSr1+3+OcCiV4WO7Bj0e6trTpJ +mGeBpGQPS9L76Fyxf+bS47F1gOr5ByRSmQZhbZMjkdfhaJC0pNGZf67uPPfj +BrA91uD19z5B+OPHRY5bEOPlFdO59585lIOVnODOnd3dHSUY4XS3TGlnkBWP +Wyre988vhkxMXUCL388mOAURdi05MOECbqz3ZSw5/9mwNumeK3wqjV7rZlD/ +l88gIfk2LP8Sf+4VrEZ4Q1XkmBvE7+aTz+ci7CttbFPmBkbZw5M35VQJewky +DrsDbSiFXumXClF+bY+LuwdM/SfVpWRP2FehPJ7hDsjxP43/+V6Z8Ae3Q6Ke +MCDxSKnvHGGS/WDsZ09gTzpYJr+hRPhGW/XJu1BuwqzRZ0HY96rKfRsvSOR9 +nlAor0j4VLplwj0YzdJSk507Trjfn1vcB5QX6Z3xvH/WF4zP94HTn2nq3sn+ +c1Lhzvr7IBJHfq11RgEjCbYyuvn5Ar28XcGv3YSxY5TYKl/oOUVa+DEkj5GS +7/a+ZfcDDxVqUoovYcFevcfxfmC3Ub4+WymHkXCka5n3EL68i+NPjSWcLBPx +de0h6O+o/hHo8M9TdnQ6/sCayn8th4OwtYzahW5/MDIZF9K/IUvkOynBwhwA +C0XCsbqahEldGZXGAaBeY814cD9h6y4r55cBMJC3wW3RfIxwQESobCCY6q4V +954m7KsUPWv5GOLtZH7QSPyzmCV/+mMoHVQ0qWUi3NfpqEx5DDYMQjXopwxR +X4bxyYAgMK8yHxA5T7jvhkpMVjCs+nQoH/aVxkjS3ZjjcgjcLi09nW1HOPk9 +Kf1EKDRrlZRGGxLu0+dufhIKZWO/RyIECZMz6xj4n4KEUYtZz9OjGCmcPq8W +CwNm726FMG0pjOSMfd3SCIdw22t0WoKEOb5vV7QLB771qvyA1SMYqfBMwvWQ +cNjOKikTl0e4ej6suCkcRhRtC3KECS9rOohcjYBlPOiR8b7DGMkzhjLpHwlK +0yK5dluHMNJYuNLNtEjw3Xrxo36AcDiL8ERlJJzMFJFKfEfYbGKxlfk5cLv/ +lh9VIxzEnODz7Dm85vjJJeEqSdQXlMWQEAWC3y+N9ZkTTvYo0/wWBde6fK61 +nyDsGb/4oCsKWht37nrI9S/+9tfE/mi4PlTemVogQbxfRo5MjYbMk7V8Z5kJ +O/fFHMuKgVc8V76FUcWJ+pqdFepiIKn1k/9mL+Hw+BqFmRgQWsuIvPWFcJQ/ ++xHpWODbnvzlnj3hjAdu5E+xYMu3afWj8SBGYpJXaPsaB3Vas9SmMjGM5MZ2 +XKsyAV5SIkxMPhMO2vtafSQBGDGHHuobwvTDKvKMieAjbzgWGkh4vZuZTzsR +/E+O5PPoEX7U7FxakQiplAparb+iRP+KzCLKX0Ab/wk+yjbC1fcM1fCXEKB3 +yTFu7QBGUo1sGOh9CX6UQ97nZwlHaQ0FbL2EKFrbCeY2wqShinL1V2C//G7r +SjphR40S0R+v4EFHhHC6HuGexkvfLJMhYsL4qkCKCDH+VT7HzFLADXq+CMcQ +Vuwtk3NIAXvDktTjIYQrOSrlvVKAQT47JNqdsHMJndyLFGiY8Py4T4+wdUWg +UF8KcLJIcMuuCP+b7/kldq+BvVmmwdWaML1u8H6PVKDksWRYmBKe3he2GpgK +O1R0rQ3PEK7Vdm2JTYUrskc2rFQJK7t2PS5KBTbzmgLuPYQ5fc/1rqfCzSjz +yq/NQhjp2f5Ic/83EPNaQdbgPGFNZQe/Z2nwUmwkVtCAcIufJf+rNMh8fM1n +XYvwzA7Hwpw0MKENC6o7TpjBeWCyLg1Efpn/bdxLuI9H7RTbW4hPswPLYUGM +1H/5SFvQW9BqUfVjuk/YO37AyC8djq3VTv6+Q9jV1qsxPB0YdW5wvHAhzC6K +nUtOh99BDGa61wh/cDE1hHQ4ZakRt6BP+P1iKdpIhwNlyov3+AnbJCyveGbA +bItlKkugADH/L+5oc8qEdO7cBhkvwnzvbIN8M8EKNy2+douwlka7YkQmND52 +95y/QDjUli32YyZYKDrTvxEnfL2+8tRCJvRsS6/T/r0fIy2wUP283sEbxea6 +bcKEw58Gnnn0Hr7qxP/IGN2HkbgK5+yjsyFzrs8spodw9HJgbFo2fFlg5Att +IXzLyqwiPxv0AvK7n5URViV/2t+aDXX6HvuXXu3DfL87mlbz5ICOQIqrsgVh +E924pdgcCCNF2Z1q3ov5GvhIVcfnQltQVs3lLj6MpFvZm1yXByNZR4oDWwiv +7zLnbcmDl7uT8nN/82G+i48CnnblAUlWIJqjhIiXiCx7TubB27Q/Tuxv+TC8 +m0tHn/kDdJmz+sw4E+WP1yQPaX4Aue8vJQ6y8GHYBauRrm8foOb4Gy6z03uI +9U/Mi5zxEcg2vRZV7bwYqYrxl276Z2D9zHFj7QMPRjotH37yQQFkKb3BdPN3 +YdhKGJl6uhCYPhbN1ZbtxJJf6dSYHP8KQ/o3D4etcWOknc18VVvf4I9ulCOP +EjeGmelOXZr4AVfDckcNn3Jh2NnaD11VAHr1+q+i1zgxnFlKvBzHYZS0b4v1 +BifW5yxEMs4pgfX86fvCsxwY3qQpq/KkFAo6wwRO3+bA7MUZ9EM8ymCsu8J+ +mpYD2/05USDSshxyar85lWvswEgfhR/ZylRAyPYBwQs+2zHpqZWvqjyV4Nl4 +U7+5nh2Lq0jayzdRCdlOrQXvZdixU2MspUKFVXCsr/Uk9zM2THqx36QyuhpY +RopSxrZYsXam37Fdl2sgtU1mS+EmKzZWthCnin5C/2fqVZphFqxatvXX+121 +IKj01va8IwsmbbJ3ZLmzFmKzho+2zDJjGQ8M7kt++AVVMZWDAn7MWN/ZlAkX +jzpwzPSYttzDjNGzd9kqKvwGY4Hg5IJsJszeP57Gn/4PKPqyaEQZMGGkE9ad ++mV/QFr2lUTh+DZMsTpdX+xUPSiG0QmfCt+Gcag93UubXg8htcXV0yrbMOdj +kSEKdA1gYEO/MDHIiIn+/i5lZtsAFlL1sW1RjFisZEw8S2kDtLaUPtTRYMTs +3V4LBRxoBG2uZ3+kqQyY9YlNP8NHjRC27PO+NZsBM6+eMO3raoQLugco+64y +YOwfD+eyqzaBvGehd6gQA9aYWejJH9UEBelXI/UH6LGDBSMc+eNN0BY1KF33 +ih7j3hByw7WawYK1ryX1Cj02ETHs2p/QDK7lF01tDtBj1VevHDBZbIa8hYCH +omN0WIZpHIOeYQtswwWqnn6gww4a3/sTnNICtQ+e1q/focPaj3nNU1daYLJN ++kfhSTpsYis4r0yvFcQQc+YLNjrM+lv67uTUVjCqtg1YbqPFItltefettIJD +gKrv3gxaTEy9U+jIqTbglb5W6+9Oiz248ilaPKkNtBuMWF10aLGmKyerGifb +oOeHh6rRXlpMUU1ryVCxHYZO+WpETtFgKSu2vTFP2kHQtGV9voQG25mfjX1t +bQfd+2isPooGC+6xjg8V/wvXNc1uBjjQYNVSRTVHPf/CURlFF1oNGmzVlS60 +q+QvDHt+fcC9mwYTNvFo3MPZAecYvlJxCgkzpyazeVp0gJ2Pvvv0LxJ22+D+ +WEtKB3wOejtQnkbCGGvk9mXMdMCR7hRNqh8Jy7GudyqX7wQ7fkWHwf9IWPXN +lRW1h51w+PLcDKMSCTv3gjeLt6YTJsNbS+7wEvme2Yoxb++Cfou0Ps/RLaQ0 +9VJS3awLcp2yLNbStlCMbOP4yosueFWsGHbaegtt8NbtHBrpgq2/dOZ8/Fuo +79HV3GGpbhAq27J40LuJCj0o7567dMOD7rCtpqRN9Ceu1MysqBv4DpZ3B9ts +Ituz0YNda90gcniJ3vLgJuLb0Ol5pdoDbYYzCerjGyhqJi/7ysMe8Ehy3iWT +t4G+3v+jxlzWA9uFnhWdvb2BhHkHk5KYeqEPrST6KG6gFykR7531e2H6Z/uq +E+0GYs8Mua4d0gtTQfyNWdXrSFUzrGfxdy+c9zlsE/x8HY3pVQZ2M/bBsSe3 +nQ5ar6PqknpaZ9E+KHc3ypWUWEcJ54pe2Gr3QUqUSHL44hq6lfy5fuFqH3TY +Xmp2xdfQ1u0C//6APngTW5kUG7aGGH1kP9x80wffJh80GVutISNgNpQt7QMm +cK5OlVxD6zS2QgYDfQA+2eK0a6toLG+AtIvUD/oXRN2ZqlfRkZ06qpKC/RCm +7KT+MmYVZX47euUu6oclS4adXA6r6LP6xXx/y3544lHUqqG4igL8ObubvPuh +Yur3oiLrKuLf3L06HNcPqireu5/2riC+AMcElN8P2jNpWh/yVhCpKUWuvakf +ethuXHQNWEHT3Kp26uR+qHS1+sltuoKGMoLHu7cPwDet5o/skiuo/UfVs4rD +A7Av8ETVfdIKKhDzow88NQBws0S7v2kZLc6ov75rOwBcdx+npr9bRlc7hbr1 +Hg4A7WfSu5v3l1GvZftJ/eQBKOPMM3UxXkYoMfjqix8DcN57jaPw0DJ6dybe +pKV9AAZL7l5jp1lGt09+3H18cQBu8AI16u8SYsl3XwzkHIR9zbyeip+W0K1j +ZFGZw4MgfI9NZDl4CeXzPLHYOjUI3CG/T/y+soTMVH6+Kr8yCMqs82u1ykuo +rypzLtN3EC4vvd83wLWEqFp1PhMvBiE21zKJOr2IqLoKWZJfBkHnotd35cpF +dLyAggc2DUJqWXWbU8oiyrthOD0yPQhLDTsDXvssoouMXmrvtw1BEENxOrfp +IprJO/DzscgQOBpdSrgou4gwU5VRJ7UhSMwsEp5lW0RWilrKN82HAP1364PB ++AIyfcMm4nV7CFI0njclVC4gvR8upuTQIbA/9WhIMWUBKZCYyj0zhmA5INIr +/P4C2qlQ4c5bOgSKTL+6MPMFlMvNOlrRPQQKjd77u+UW0Duzo809y0OgrzYS +Ksi9gBwqws13cg1D0ardUPkMFQ1a26mbHhmGrctx84x1VEQqimP+qj0M3pSA +WaEsKlLT0Jh9emkYGHjzmdOCqIicoKJ+02sY1gNb1QrsqKjx2eRfl+fD8Gsy +44W8JhWdd4vEfHOGgRrT6BkiREUtQyX8NDXDQMcpX2pNQ0V3DDRMsvqHYa97 +oeG7nnk06H1+/dL6MCiNeX++UTyPzrlYpmG7RuB4Vszlt4nzyKrZIk1cegTe +dh2Pzbo7j25mczg+1h2BxKMVv/gt5hGjleUz3isj8B9r5nF2xXl0yDDaKMdn +BOg3dNatd8+jOqmTPzxjRsDqyLZ515U5JCToGFKcMwKsWcPntrXPIZU/Coks +NSOwK7hfbqFwDtEz5ww/7R+BwapcBofYOTT/1UmUd30EVm2dXsZ5zCF1poD7 +/DyjoGnHksRrNoeqK5j0raRGoZ0ihwscn0P7SasGaadG4TrXLIPrbiJ+pM5H +3GYUprv5gtxXKWhi/KPK4buj8HxHbCl9BwVdp6sAheejMBcRkdPyjYJMZ2uo +D96PQtLuMm+5RApqN3dxqK8YhfLeIAYNbwriwf0tUntHQTc50D34IgWxqWwd +/LI0CiPvHthaq1GQzSPva02cYxCS5yJ+U4CCXjPuyJWRHIOicO8Sli0yEpK7 +YROvOQbfY44qnO0lI/s5r6BAqzEo2V05Xl5CRmdbX+t98RiDhjBJ3toUMpK0 +eag9Hz4GxiLBbBv+ZFSu+7r4duYYNHmyTv2xJeLs1528S8ZgJ+/oJTkdMore +EnAK7xyDw9KdZiBBRickr3ZOzI/B6iGerU5mMtLqSjU6v30cvkhc/O9IyyxK +fXDioo74OLjsOXNtJGEWcR7lcPDFxkFPqIO35L9ZNLNNTa3WYhxK65zdOgRn +0Zarnqvl7XHoU19tER2dQY+D3mzdCRmHw3El8uEZM+jC0RWTjLRxOI/GDx27 +NYP6Z76yChSPw+ORk9NkqRnie55mzG4fBxu2WZ3B+WnkVDrt4UcZh2fZbw23 +iqbRJ9ZFSj/zBFwK9noh6z2Nfn7cMrh4YAJWx2eNY7Bp1BCwL3y/2gQwClMi +2WmmUVIQU+6G6QTkJ/XEppdOoUyuiewbrhPA+dV06UbQFBLVN0reHzIBTU8n +z6ufnkKl1Iof82kTcGvB8ovi9in0qPamAztMwBq92FGj5knEP9B5KL9tAvjm +J5WdoyeRe6pliv/cBJSdc5fMt5hEWXG7JKNZJ0GsNCdolW8SVd2hP9AgNglZ +DPWaF7omUOf2NKOXJybhzTbOodaUCUTy25uXZTEJP8+E25+5PIH6isxhwn0S +huxPMEyITaDKZ6UCDs8mQa64G9xGxlFTuObtve8nobXftmJ7+jiSYtC8YF05 +CdQTgnl1N8ZRPX0M12DvJNxWbN3tfGgcPer9kf5qbRICY9T8js+OITLH14m4 +XVNQusx9bfvnMdTUmG4nfHQKWBXiDL/fHkPEkaVpUncKRLNViiOOjyEOK7GF +matTYCHO0yW7OIrO52mwHPebAsEpw9nO/FGU6Je/wJU0BU0772K5d0eR8lDL +EdEvU6CgL2WJKY4iBbVLUv5NUxBq/UN7c3UEbXH8ado1MwUG+QaaFcUjKFP5 +Ho0S0zRQQ0lGyj4jiFnMmJpzYBpkLicH9qMR9LFkKt0ETUO1jdt3iY1hJEHT +0X/echqY3C89eVs8jAbp+0Ye3pmGqxixz/MbRi0FPfEnIqehcK/iMyX1YdRl +9fK6de40xLxvUQ7cGkIKac9CMn9Og5ReX/O5b0PoxJl0JvOxafDc2V+/23MI +JS6S1JIYZuBSpxVVWGkIDeC21YxCM0CZoueKow4i0lNu2l71GbjD7K1u8nkQ +vZA/sbfDYgZ+BiXHcrsPoooVyc2rHjPQI1O946D0IHr+4yzVNmoGyryXkkNn +B5BZ6qX/AvNmYIrLXVI+cwBFiE0WivyZgfMhe8wbrgwgWWMeFpPpGah6Zyta +KzyAki0M25OYZ2Fzu2nDTGc/Ok1yfHdTfBbkCm/5u8f3I5Ja1ZqR1iyQ2RvT +V0370S3vJu1ym1n4payS+IyjH0VccHxV6TsL5vsE8qLq+tAJXmmutqRZCKo6 +Pfn8UR/Ce6MW7v+YhQIL8VTi9IJ62vlfyHTOAu+zVZql5V4k4+C56bw8C3sc +38ZHXetF4puv4sTZyHBibtlOrbMHJRUWPePbS4ay3M0HTpo9aHr/D7A+RAZm +vz/P7+R2oxzHJgMnFTI4U78lZYl0I5UshmlvXTKIfXrzn3hIF7JJ0UjutyDD +/AvpHf1rnejuX/tbJ6+TQWpjPP/ZrU40x6Kd63CXDOsWEXux5g6k311SNB1M +htjI8ZfnTnagx9uh0yGeDP61BQFH0v4isodxpM47Mvxu3MpoZfqLrvvN2k5+ +JUPiYBItq0c7Mqv8ROf/kwyZ3/uMilraEOUi9a9vJxm0WW9ZMaI29D1F56jz +JBnWxH4rPE1oRXOneKxq1skgGukx9nepBZVkRzaNsFNA9doFv3mrFtR4/Po+ +Cj8F3IekJGe/NKNvPxu9HI5SwGjb0qs6vmYUUdgl9AtR4G3+649JD5rQyueo +tWlDCphMZVCoLY0oiuz32s+GAsl+Hiw2Co3oq2505qoLBcTqluOZghpQgH2g +3pg/Ba6c5u682VmPSklrwtHRFDAWlx3Zr1SPuD8y7xF+S4GnlvZFOjv+oPkx +DkOxQgocTDyrxV9Sh9Kaa2K21VCgh/OAcqvHL8Qmz7Ce8pcCUy58qtbstUh0 +4qBM/iSRX/au+eGiGnRYYa7r1ToF6m+fvsSjVY2eUAu46HfMQcgM/fZ7I5Xo +Boe4qKrgHCgvJax9DalAgUEh0Qdl5uBbm0udGEc5evXwmFnIyTmoH7vyNuJd +KRqyWA/+fn4OhlGgnLVICTpm8JPuqt0cDErvy19RB3ShS8O68O4cfDG2fn32 +6neU8UHid+OTOYj/I35DW7MIecZyFFsmzYEX7ce6PqMCpNcWz5CeMwecaQdl +RO0+oStPWnIjYQ5ypKrwark8lHSzy7C/YQ64P+uOhVx+h96+crcaHpgDEebI +v37VqWhX1639agtz0BfaQjXxSEDMDbdy9m6bhyoexi85iqEoMb+hLYF3HlR9 +b/IIqGsgbMi6SFFiHty++H9Kvh4EclOdikhpHpgsB/j95RMg+cqV8dO688Ah +KXK4Y/sbCKrcfbjaYh6EmG50u758Bzve7Li/6TAPAqqPnyiM5QErfcbzc17z +IJxTU6d95jOMnB3f5hQ8D3MLXxk2sr6AOv6iVjhuHjylvGc2Ln2D/xYaK5bT +58EDfUcPeophqZ0lI71gHuInnP/OmZeABien1t3KeQje/PN7/EQZWOyeWZpo +mYfclUenyrdXgJdHAfOJoXn41p2U1s9UBY5BJ2W/zc9Da3ap5P3RaqjoC9wm +QEsFjhjz4ujSnyD5oeDdEQ4qTFbKOXf8+gXJ5HYfGwEqMEzrNOd9/Q2NHGKM +gUeo0MO9PybDsR5shQNk61WpINa359gfrwb4T6X6bo0eFTS0tvRUvRpBxDVt +X5E5FdRZG52lnzeBRdTc1B97Kih9tKSXSWqGOxGpi70eVDhOGnDJLGkBN1YH +td2BVOCN/DQtP9wKj2uMOxiiqeD92n9ebaUNaMZPJZJfU8HM8NU3Lom/wJY5 +PcTwkQrs+72WRU53EPucpmt8ONF+pSe8tXadUHaB12Gsjkq0i5uUldoFQ/xj +2YNdVChoS+c68qcbpBpKi/smqLBjiyWaf08vhN7yP8O+SoXhi7fD9GSIc6W1 +6fElpgX4Loh0H9b1Qddu1ZEhngWo4EK5v+/2w6lrHtMMYgswKontd+EfgDzN +sIlDcgvAXR0iFY4PgIjN+VROjQVI+xCllu82CA1ry0JHjRZA3I3LpUlgCHY/ +6k09d2kBXuWH/y7vGoI1t+DsD7cWwIMtVDc4fBjoucSf8PosgP+121MMmiPw +nY2/BwtZANHQyB3eLKNwNH9n/PuEBbDR9L5nWTUK9QfPuPFnLoDqriMCx+LG +4JuG1feWwgUoMHBni3YYB4eqp5ym1QsweDejdU56AvanfjHtbV2A30NTRoXs +k6Aqv20of2QBhvsfSZ3tm4SL987nPl9YAHvT/zQPfJqCGtKvAyr0i8DIYmSw +8WIaJj01q5R2LoLsnf3rR+/NQGhu+h91kUXg4Rij1t2eBV/H+wWvZRcBg/NS +bgpkOEW+IdR+chH6LkYzOGaT4Xu8RxKX8SJUX/5unyFDgZjRcwNfrixCKpLu +Us2jwJNndHKXby+CSvAK35bqHBjwnvL3e7QITW+6WBlr5yBjOufb3ehF8IpU +lhUwnodb60k73dMW4VlEttzviXkIFDtutFCwCGKHhQX971Fh8PxClmfVIryg +61y7smMBJtzOzDS3LYK9hJymzydiXJ6T3arHF+GBkGqBudEiBDum1H1fXYQ9 +sUHTgzRLcPGbcboZ+xIIe+aU7shfAjYXtqFugSXYazR44rvNMrwSTDOwkVmC +YJstu1siK1BQI8/yVnMJwvbrBef1rIDo4uKD8QtLcHCA4f3HF6swe+2oE6/D +EqgspUZHuK7BL2NHW1GfJeiLoj3498Q6sB7IKT8avgRBXqeK4g9twJPIHRPy +aUtAXf2xzLBjEw4P7LulXLQEK5x9H5SXNuHRU2qmWt0SGLSmG2hubsGU2Wip +w+ASiJfx5j17QMJHcl4lPl9eAnWjYp87nDT4wWd5Gzs4lmGTN4NePIMG1zr6 +43XRwWVwrbt0hFaVFjc3Trp7WX0ZblQkbmMbpsXLY76OpJkugzRTXOpgCB3+ +PdEgl+K0DNp6XDF1ivT4xdiGPT5By5B1LrSegcSAvwy+v0jzZhl6dV6381Qy +4MsvdYcSipdhLWLNLO89I/4kz9XzSdcyRAem3jvychtu25GzdnhtGWZ4tknl +RzDh6qy3ozZ2rwDJhbYpJJcZv/4xhumv8grsnf9Q1dfAgnMNnvmPw3IFaJq3 +vtpLsuGuO99kbH+4AgMHdHZ4J7PjH0SpHB8yV2BZ+0BznsgOPHhvgh5Pwwp8 +2s8itnKPA697vdU7uLkChbI7DaPcOXETv+G0PUdWge1QsaVeCBde9nBlQcdq +FX5yDD3aNc6Ndydx5ZHjVuFjnPG8+I1d+MekfVljLasQSXfWwNaWF+e6z69c +KrgG56K3nyO378GXv/11FvdYg+AMQ5eDf/bhGF3HSnnzGpiNDfuxvRLE86IX +aaaN18ExIS2MM1MQT26S92O+sA6cAwshYp8EccW9bCfFzdahqmSUzq9KEK8/ +cuW1o+U6VJ42Kl4nC+JBcy+sOK+tQ3F94UlFHSG8r+mOzx+PdUDRE9hRWmEc +K7ds1nmxDmzyC6/HcBFccZZ2roJM/FfkL/Z360Xw+gaRO/Hz63AMv3TxUJ8I +Hl49zeWyuA4t/G4fqFsieDIpsvjw+jrIns1NkjxxACf/vKLRtm0DQtLsuuJ+ +HsDPbg9MpBfcgL1jcy9rN0Rxa8qW3qHzG1CXfUwgmUsMdz5j9TzJdAMaz5zL +fXdQDO8jDvG7/tuADpu3n66dE8PzyBFn91zegDsuVL+wd0Q8i4XhpvMGbL/n +Q59gexAPh8qui2Eb8HFSejiXRwIPT7xfKFO/ATxHxZUPHZfAz3q0uq43b8Dv +y49u7jWTwJM/Tiw2/t0A8/XBiGuJErhzh6P2m8ENiPldKkw9KInj5+/U9ixt +wM0kzwnKf4dw34O0x8jCm2Cs+OYwk6EUnlw1nDYXsAnychEnWAKlcF9vEGkO +JeaZuPZlsWLCm2utdc83gUZjUatJ5iiOC0o8ZXu9CYkW/uw7d0rj2IpsWi1s +QteBQzWrR2RwzKpNi5NmC16Wlh4olpfDfZW29gekbkHehSde75zkcNIn0omq +nC1YvWTw0P09ER8Lv3b66xbsj6C15pKQx3G2Oq09jVtwGP+1twEp4KQkB6bX +tCT8ys/bGlyhCjhuH7/ozEzCmwPPOuh2EnHFS49ucZDwrx8tRG/6H8dJynKf +tvaT8Ici5ZdP0SjhpO+cSb/VSfiLT/LJSzeUcNz1T/k1HRJemW29t7uTsJjR +b+2zJDzhwi9lnjplnITOsK1dJuESKqM1OgxqOFb94kF3KAmHA/UG9zPVcPzd +UIdrHAlv23Hum4+ZOo57vKM8fUPCZ/USkyidCCcVfbv8uJiE3/08fd2y5QTu +q6r1026NhH9P6a7xvKGBkzpcM2jZafDIjtubiRwncV91912NAjT4NreUzHPP +NXHSVpdK9WkavNU1vzvRUwsncV+fsrOhwSfjxfs27mnjJNfrtAreNPiZ/1p5 +ouhP4yRTk6rjX2hwu/N5ho4pujhJd1igtIMGd1K238MWrU/0vynUhI4Wj8GY +7Z1oDXGS56uCkGO0uKOq0eAWpxFOCrMSHbxOi38xWV5SOWZM5NexeZZHi5tU +9eUwG13ASVfNsrxY6fDWsq77OSH/4aRTEhSPp3S4zJewEMW4Kzgp+abKyi16 +fEguxaVJKwAnkZ4zToYy4UOfx2fmn0cTbu+iEuvW/4/960s= + "]]}, + Annotation[#, "Charting`Private`Tag$25780#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJwV13c8VX8YB/BrrxQZiRRSZlmJMr7HDMmIJKRFUSlpyU4okZUQMjMq+iG7 +8hwRSjbZe+977e13+uee+359nud7nvO693XO+QpevXvWlppEImkSH/+O++ew +Xy+p3THiK6m4lRakhUaUT/62/WfNuElJ0HIfvFT95NI/p5BfnYC0vDMGO0ov +EMY+/DmsDqLd7QvRmmaEcb8M+1MQU7l04m23yb/6qd2R+vCXa3DwNtdZwlkK +Re1GIHXxiCxTjhHh+uyeyyagb6c17X3YkHDCCN3AObAdKjp66vQZwgKjSxfN +YXxyhtWo6DRhcpJ+iwU8O5s4l3pJ79/5A7x1LkLwh7wnFrK6hNuUNwsuwdc4 +k13fRHUIXxZg3H0FGkte3DBSPkU4luap9lX4wWkZImynTfiB9fMn1+C3R5+V +dbrWv3ml5D/ZAHmqT4Oyqkm4TzCx0xYgZPrmTYt/LhfrZb4Bz3+r9lZXahAW +XySdsINXVzhpnLB/Fj7Nfd0eXEMfk0hl6oStzx0JuwmFwSLixgb/3NN59vst ++GmuuOeZqxrh2pwltjtQm3E3opPvn4+d9D9xF2QZWLs7SjHCXx98kHYE7GbA +csm+f/4ydO78PUCnr1/xT0SEA0uFJ+7Br+4rMlbs/3y7+p2bE8TVPFrvplPF +SF7HzkQn3AeD24yvXfxVCAurHJR9AAqnVo7l7SZMsjK5UvYA7CbxSYdjyoQz +BeiHH8JdvWraE3+UCMvx3nv4CHre0HedsCPsda/8Ld1jmEdX3/7+dJLIFx5I +HHIG1uLzJ/rO/vOXwchcZ+CIWP0hv3mCqC9urdJ4ArQcbWp9Fv9couRxxQUm +RC2jC+UVCX9Ls4p2gzjGHSpycwqErXw4RN1BJurXXTxL4d//Q+Btnju4/v3x +56Pcv1y6iLPeA8ZefkzSMjiOkXBphgdPveDo2QP5f3gIk8IokZVe8C4wf+H7 +kDxGEujpTWV9Ckylqe8SvQhjBvrP3z4Fs467G7MVx4j+n3pWWd6gd1ONPzmS +sEBJaPG6N5hn3vnuZ084wcuO5tQzSKeAzWe2f/VNKmbdzyCy2VtQ/5YckdOJ +MzP5gsd6UYSeJmFS2ocKE184/GuRTmT/P2ddcozzhTXBIA6LZlmi/1ZYoJwf +3HP0LunVJUzSiZi1eg6fZPK/UYkRvowu8qc9h0MGX02rGQn3bTucpDyH5ylx +Vei3DHH9A6Yavi9AP72m/6ApYVKrckSGP+xROnlS0kuaWL9Q/fZKANBNHdDN +vEHYK4I6TS0Qwtv4frwxJOx4iqv5ZSAIaAqPhAoQJv2so+N/Ba7dN8/3vJLC +SFk2OdVYEOQ8PyUfpH0UI618/7qtHgJzo7+otAQI82iyKd4IAbWpw7m+a0cw +kl1/zM2AEKCpdJeKyvrn2JCSphBw0E7O/SxEuI/v1kGbULh9OdLbZJ8kRgpp +mp98FgbizEcyb2xLEPN2KDmkhIHkm4Sv9QOE7a4IT1SEgZjQPsmYj4SrLFf/ +Mr0GUbcsuVEVwlhOrHvwa2g/78Em5iSOkV58+I8uOhz4FXaO9F0gbJ5Wofk1 +HPL9Dl9rUyN8uWPVsysc4mqzd3vv/pcz1k/sfwNgoNeenC+GkQrtF8KS30Dv +dwEeIybCVntjZDMiYNYzpzBoQZSYT+Dh8ZoI6BPf9NrqJey8WnN8JgKWeUaC +7xQQ1mHZfUQ6EsSDynPd7Ah3XXhC/hIJM3Fky++NIhjJDZRai6Pg1awLpans +MHG+w6paFdFAObrn7Llcwo5GH1RHouEu61jHwnvCXcc05OljIIandCjQj7Cm +DTuvdgwkiarmcJ8mzFjt/ONnDMwrjG9pth/CSEOxV0LLY+Fh9goXhYGw6R0L +FTwOVhnZ7KLWhTHSjrSugd44yGhpeWQ6S3jKfdZ3Ow7GxalHmFoJW9k0lKvG +g7aPxPq1NMLKZjWHvsfDj9gO/rTThI0eX/9qlQAyE+KXDiQexEgz331lzRPB +aSYzRyiCsPNM9TH7RPD7+CJOIYBwgmKtvEsifO6x8XvzkDA9345jsYmwh4U9 +c99pwvk+wYJ9ifDC6ymr3KoQRop7CKU3kuB3FmO102XCw5nh+x8lw2HL9kSL +84T94t+u+SXDwH9sFoYGhI3G3Fsik2Hk2OCStTJh+bNjz4uSgf+sdRbHXsIv +e617N4j65U9Q3CyIkfY/j7/w7D3s6aKSPGNK+FeP89PgFHhh/yFE4AxhJ93b +/PEpUNMg8GhDi3D3mmvh5xS4ZXriaY0CYYmkucmaFDDjHG5o5CPc1H5GZ0cq +tD7IKbAaFiB+D2PU+iIVDh6he8LoQViQbtn4aRos8gYO1j4m/GU9qDEkDcol +DzLG3iPMN2R6NiENWhcyDPVsCVP53jaENDAvqQpe1CdMk9eGNtNgtdBj2o2f +MHPRrjXndLhwqy2E2e8ARpq2FWu9+wEarXhAxoXwk1GvF14fwK7o8yfbO/+c +O6cY+gE4W9Js580IH9UQicz5AA9JFyjJooQ5fQd0Fj9AJ8dGkXbtfoxk6cbu +7fIRIkW3CxmECA9/SjPw+QSHX1xPTR/dh5EGafbZv8mEpbsxahE9hI/6Z0Wm +ZIJ15mfqwBbCPMe8f+ZlgsBHhYrgMsLtRj37/2aC3dFM+uV4whEj3lXcn4Gd +Uc3ipMU+zGuJpmI58jMwG9Aa6DTzYV4Xpy5Xvf0PWhYKMq928WJ4q8BWQk0W +FAuJJPm18GJe3G8f72nJAtZIn7f/1fJiGEi/f9WVBfQw4MxWStRrC+55MpkF +oHrahDWVFyPZ5l3XZ8qG7talSzOORN7ztXRIMxu2TtmziDATrmdi7v6aDSr5 +4nPndfdi+P60ZHJ6Dvy4+0S+sm0PRgpfpDmdlgtPZ/jU1rO5Ma+Y2lYNz3z4 +T95wt14eF+Zl6am7qFsIUX/qoLqME8MM9yiYKRSDvfyDxVfrHJiXfsO7yu2v +0IJ5y3Cf4MBI69+eX574DiGDy+mGr3ZjCVpG17srAR5oh198s86OYeQLQeU4 +DnVmScUst9gxAQah+yafS8H54i1FoVk2zGu1Nlvp5Q8IZ3zaqnOfDXP+eOBv +wKMyeNBJOTBNzYYxFkR8CbMqB5lcnp4y9V0YNud64IbMT7D7RhN6zn0n5vjg +g6UKdwVE5W9BUz0rVl99qIR3ogJyol9LfZJhxYz0qq4KFVbCiPnF8t3BOzDn +prbZijdVYLgvV2JsmwVjfMJ7uPvqLyifXHpz3IEFC6Fp4FBBv6FHipGFapgZ +kx7JkMzgqgbD2Bh+09vM2OXlj8KrndVwuEpyo3mWCculaggSz/4D/FbkigNP +mbAdxtk/7z2qAUoJ1YTVXiZMOTqOWvF4LTyc4/qWn8mI+Vw8ctqbtg6eMc+6 +h59hxAKTrX6fLquDACeXwMJxBmxru+jlIZ16eHSTO1MnhAHLc3Hno0qrB+rb +JM8ZJQYsX3NWS56mAZwGPXomB+kxb1rR12bXG6Cu9EpeWzg9ph0kl8z4owH0 +ud9366jTY/fF73zzFm6EjN34LdkFOky93SdJ36cRcCNL/7ZMOuxps99qV1cj +dKxG8B2wocNYsBOFTMpNkNs5NBckSIfFFDgO8YQ3gdGXjguGA7RYWUBk03/j +TSC80/RcfTwttjQy61Cs1QxaZ8rN0q7RYrqmM/Ed0c1gxtivbitMi315E3bp +zFIzHBi/0S02RoNpu0mqqhu2gDbGEhKWTYNR+zHQeCW2QGE87KF2psEMkpUW +x1dbQEd80atEgwY72xTjUXD6LzBk668n7aDB7k9veYYl/wVT3eu3tlupMfeG +KhrW1b8QHKm2LJROje2crnm9T6cVkry+jAY8pMYohYLG3O9a4Uxc0a8np6ix +B+NFNSWTrXCnRz3Rgo8ai3sVdfykYhsElV+2iZ2iwlwebbN7vmyDcMNbrVul +VFhTmOuthL9tsKCkHN4VToXpuczccRRtB48DT8ih9lSY7pPHMuzO7bBLpfzn +LnUqzNyGK/hraTvsnhIoFeShwhiCbvEssXWAV1XMvnoKCXvBH55gbNEB73bx +vdj8Q8I+kWryMhI7gOp90lZLCgnz1twNrjMdoHBp6Aq9NwlLbQpeCZfvBNvO +c+lLliTMg8Vpndm7E7hWsFN8J0jYhLXUif6qTigfGVR4tYeEzayJi9WxdsFk +XYRh6ug2+jZkLkYy7wI3lhgFidRtpDvzZ7Mgtgu+1Ucdcru8jSraNovejXQB +X+W5AkP+bVQsGFUVfbQbmPSe3ijo3UKfz/w017zXDdstoay74rbQSuRxJtqi +bui5E9tYeWUL4TI9xc/Wu8HX5+x4rMgWqmO2rlBT7gFrEb5f3uObqGzyGj3J +uwfqot3uOGdtIuoDcXIJP3pgn1bAu5j7m0hUb9HnKGMviPed2PNHcRNFr525 +vn66F7Lki8dLqTeRrObno9Uve8Gr/mIs9a8NhCsVkB/U9oLUx799A683kJEx +g+lb+j7Qjz6Z4XN5AwUE0b8UPdQHU7qFPIFiG+jFF2tnPu0+iNwryrK0tI4e +XqM6mGbTB5fuTOR34etIcldBX5BvHxhXqv2mC15HG1Cosud9HwjMcBpXWK+j +y8I3qNpL+0Bj1oyLT2Id6Vae853p7wMFemD3WF9DbMNNWM52Hxha3/X0r1pD +3lQC3T8O9IN/kshD4cg11PZa8zwr6gcxf5LqO/s11E6jcITDqh/msqm9mxTX +0J/iFFNr1344rHNitJZlDb36QUq5FdUPE5pKJqJ9q4gjmfcentsP0Sy1V85m +r6La7/s+mjT1g7D/PZ69fqtoN1VoXu5sP7CdLv2Bn19FF47dcjPYOQDjkhsU +XHwV6VJiLaUlB2Bv6x8aRapV1M2irTd5agA23neLJjavoCxl4fI22wGwe3et +x+HTCtKnTT6Q8nQA3knFTJ/0XEG3fd2p4uMH4OKkfYaW6QqyTNoMG/s2QNxv +EoReS66g1cA/GF/bAKyuSM3MUq2g2rt67I8WB8CZKzrWo2MZpbWK6gPbILgm +MmFiucuok2m+5arEIIhFnqsjv1xGBUcKPonpDAKaanSqtVlGrzyCx0evDsLN +V8oezUrLiNuq6/5Pz0Go5Hu+OMexjKT/hkttxQxCzMCGDevsEgrbKTNzPH8Q +4o9w6VlVLqHq7ezKl42D8KjjFrxNWkIeY7H7BqcGoezjj7JmjyVk3VkTEU8/ +BJKvTx4wNF9CXmmLj+8LDQFPqtbfxGNL6Kxv7jkT5SEo3OvEqrJzCV1ojwo4 +ZT4E7fQije8nFpGya23laachsDk5J7xeuYjGBT+ZFAUMAafbT8vkpEU0Opsg +pZg2BHGn5t/Qei0i5ybB3zX4EKjPX4kGi0W05vpJzqlrCB4wH3K/fnwRNZfq +7X26TPRfvEuVy7mI6sxr92ayDUPjf3zO18gLKOhcrsewxDBwKt7lzqpdQINL +lsaqWsOQ/rQgoiVzAdFxyugwWg/D2kNPXZuXC4hGbjWpy3kYUl9HuHnZL6Cw +yyc7/4YOg232y2UW7QX0TOlLfXvGMHC8XRpzOLiAIotm1Q0rh4HReCDZgGYB +rUXSf5ztHYaWSPXq933zKMjB3y5ybRhO8q4IOOHzyCU4suo2xwjkUNQpRe/m +0c8VkUs6R0dgbiVYpcZ1HjlYPKqI1hkBzZJLrXpW8+hAKvOxA1dGALFXDZw6 +OY/YD45zfXAdgV/9u4PTeedRpqqE0Y3wEXCi7DOvXJ9D4nCTKjFjBIaXuRvs +O+aQ4sY89FaMgAJ/PaPt1zn037Cok1nvCIjdK/IYfTuHBHY+6m5YHYF2WbGP +h57MoUZO/ge/OUZBjk3MIcdiDtXtilbfkhgF9nD7z79OzCHzz7Pbx7VHwcFU +UVWcbw4FJ9DkZliPwlmd5CmlTQqKz+HhSH40CjGr5fkdXRTkE0Q7ERcyCmQs +2KS4hIJ4IkhaHemjcP1shbJYHAWVPdZ4yVc2Ck8MCiq0PSiI4mJ7o7drFDbb +BvXfXaYgY7mwraGFUahbt6jywSgo0ep8OmXnGFhOjgknClGQncwtNTWRMRiQ +pGo2pKagJamI3ig1IndIUE4dICORajnOR5ZjUJRGGhf/SUYUw4zGyPtjMFbw +Pkw9hYxOXNGzLns1BiSVAzS+z8mI9vEbi2OpY5CXGilld5OM9le8/SZZMgaf +KBMuPXpktOsx96Z02xgEEu/1LkfIiOlGdporeQyc1enUPrCSEXOO2mgT8zhE +a7QrTnXNIrG9FyfyhMfhK8X6TmXSLGoyuLXYozwOsiUpjyuvzaKK0VeGPOfH +oZ19kUwjNos0/Kf2vL07Dvu/za/em51BXHTz994/H4e8PfPHqLNnEG1XBk9Z +4jhcq3paUPloBs34grxY8ThQHbNDmQozyBip7E1pHocVtjG68o1pFKurFWw3 +PQ7mKh7zNGXTaPI/C+NCugng7Lppc8lnGgWsPnooKDABlKZTDSO602ivnGxK +g+IE3Ol0ovdjnkafQ3Nk40wm4Ofb206n/kyh8grx0VWHCWiZ/pYvHTaFtKy8 +vsf4TQCLtLGihMkUOh1wSt06cQKU/l6QOc0zhdjkS15cL56A6fbj0S96JtGt +G1oKm40TQPuLXF8XP4kESFECRdMT0HE9Q/+k7SSatNczL6KfhCdrobfSD02i +Jh2GVwOCk2BcXyArOTqB+sLB8J3yJEz+XTrSmDGB7n/09o0+NwnineXyTg4T +6GfiFVKF4yS8PlwXKyc7gYprOTjkXk7CtbsSKqOUcRQ8VJzbmDIJec9XzD7k +jCNb8eMGdKWTQAG94FePx9FrfXPWxx2TwHjurJ65wjhSFUszEFyaBNGgG/Ja +62NoyYA5iIltCqoVvQoxfAzNSQc+9habgoozY+LcXmNI96YR00mtKQi9nH2K +TXMMKVTbp0hemoJtlo+D7dRjqMfUZeOqK9EfvuyY/mMUbWeEfzoSOQXF3J0W +8X6jKJ/n96ZU9hSY8ajmm2mNItvbB5fdaqZgaixvRYFxFBleNZvZHp0CTT4D +FcE/IyiLwXeBg3oaTHcpMX59OYImBlcKn+yfhmdP3Nh9zowghujtCPYT08T9 +aMyOzDyCTrvsZ6Eym4a0w8g+6M8wSmVKei9wbxpKbQcfSAcPo1YTs2cNAdNw +9KKI+zX9YRS0Yy67P30aMJE1vTrWYfTw+cxz/vJpkNYR6v32awjVJ79hgv5p +2Il32yf5DSF8w2d5cWsa4hTqjv86NYR21TEEmfDOwL7HVN5WNEOoq6/b4IDi +DFBB5NKxskHkap+HdpnOQJiflCSrzyDi5tXIDL47A8fdLNKU0CAyLdHs9Hs1 +AxHjX22KtgZQyzBraeyHGTh/TIHiUjiA3LSxB9JVM3BRttz+6P0BdNRUddF8 +ZAZARqpEWXYA6QVsSUZTzwJ5+oLxw8l+lJOk5X5VcBYeSpce3fmhH2X6rj3F +VGfBr5uvIflGP+I19TXOtJgFq+RRfl2BfuSSY+T38cksUPHnzVh296HRMqGV +/IhZ2P3nnLFdeB9y72i9aZk/C/Yrlu/GDPvQosDNbyzNszBjs3302o4+ZKFk +nK1LmYUm2X1kJ99eNGP3/VM4IxkeCcWNHFrvQYkXFrr99pDB421xqrVND7J1 +Nu+sESHD4iWrT3Z13Sh8Z1hltwIZvCJJKjEa3Yh5hlZhSpsMESk2d3d96kJe +Ry66m54nw8rht+e+8XWhgD+qekXXyVAqJ19hEdSJuEKTWQcfkuE99YLkwnwH +Mm8QDL3lRwbfsJSFyasdqLbsuc/UGzLITHQOJZe3I9/3XEfrU8lw+raDnbBo +O3prrRPrUkAG+x2c9EfetKFJM3rOvZVkuEo1oZmy0Iqs2u4dPNBGhq8S32yK +LraiMv2mMe4xwvlSKuuFfxHX0IU9LqtkUGn47LnB9RfdFtRRT2KmgDMvbWSV +Wwu6wOBqUcBLAcf8SWG7lmZ0o8TVSlmSAsYXVGBMoRldT9P68kmZAutSLeLn +YpsQOX7zb4c+BcC24Z7CXCMaMP804G5NAQeh+fIjZxuRHu9bBfq7RG4zN3c6 +uQHV1re70j2lgEl7D7/AUj1K4PYtLA+jQEaS2FXMpB5RU2qariVTIIh9zFRJ +vQ59veBW75ZHAa0WFfayuRr0WqnJ36mCArx1xz8IpP1BP3T9VwVbKTBWOXqH +V7kaSejqHz4zToEp8nt3i5FfaJ5eQsNwjQL5X9cT3B2rEIu/IEftjjlYdG7/ +LshWiUjGTvwC++fA0Px+d/CXnyjtdRzHYSkiX6vJGpUvRyfIGX/S1OZAL/QM +/anaH4iZlZNz6ewcHPOyawHNUmR29qpuie0cMOIewYNugER6jpCOOc+BPOvx +i+7vviE5jbzYO/5zUFWge5/VuQj5RzG92Rc7BzFFP19d9MpH09szL/wz5wDJ +fKQ9FvIFcf++yR9VMgfVRjLvPp3PQkwHwyNYG+Zg/8Kop1rsR/TjYFvh8YE5 +0Fnz4Hm+kIwO9vTfLViYg4209xYKOdGopeFFcwn9PKTffPwk8Ekgery+ZWOz +Zx6s+J80ldmpIzyPrUlCbB686Q90Rr9/AXGmvrLmJ+bhuarAx9oH0cDsbese +oDcPYn56CXux92AVaOUvYzkPvNW0Qg19H6FERpD11c154Kp5a7abIxs0vl9+ +z+Q6D+xKBX8CvXOh0cxFRP8l0a//8ErXRAH4mCZ4Hno7D2Osloy56V9hyyH6 +jfCHedAeOnrlmwyA391AqbWCeSDT9u9/6l8KevIguVQ5D3mm1KSXj8sgJIVv +KrR1Hq5v8axr6/2E3ft+J+4amYfCNRcR+tOV4MAgpZa3SPQXK39nl/4Fb1Ta ++dVpF8DR4gf5C3s1ZGoE3H6yewHok0rbzA7WgOBfnsF2wQVwps/gNOKpA947 +O4NFpRdAIOkcxTW+HtImBBcT0AIw1/36rzi7AVDXU/EqgwWIYKE+x5/XCDWB +VIcGrRbgv2WG876NTfDz4As/sdsLIFEdR2rpawbbjhG36y4LsKfYuUSYmdjX +n96gq/dfAGNF9bZ42VZY7VaJmIlagN85bnO3TrfB5ymfFwxpC0B989B6tFc7 +8EftcjXMX4CgR9QW7Skd0Pg5r/F1+QLkqzoC/OoE2c5IldBGwuxRDT4s3XA8 +7JoX3r8AbpxGl/OJfSrTo2uxM7ML0By/M4zxVS9EKV4aNtpegAs3WlI87/RB +Qnotv+PORfhYf8q+lbUfrsZR04fsW4TnX2eYUr/3w2dz5Z1jEotwOXvb4ZPN +AKRvcwyonVwEM7ZzvxVYBkHG81Cfhc4ieF62sSSeF8A1MOKZdX4R7qdfe0FP +7CO2c+WaGK8vwu/FkHB+uWHoRnxRiQ8X4TUeKzEwMQxyfvm/VHwW4VkZo9HN +pBFgctv8ERu2CPkqP+qvO4wCdtSGSz1pETKfC229PzoGiqdOr05kLcKJz0Px +lrTj8HvXSdSLE/N9N57L6iDeu368/RNTvwhR776X1KdOgHKcUqhl7yI0Pq/r +GH0xCce9jfzuzC7CZq09X9zlKWCeOD7ss7UI5TyxOrGK07Bvq4pyjXUJHGxv +uZ0UnYEd5gHrcfxLkFq/4+zKjlnQSP9s1yW5BMtinP1X6cjQ0ZFzw11lCYYu +iL5k8yWDo2Jl9syZJdCgqexn2CbDiH9v++WLSxDIzv87xo8CKuz1Sup3loCz +eDrJhnEOKo7rmQh7LMGPb+vfC6LmYGswOtIzeAkas7tEzovPg+WXg5w5CYRX +O2P68uZhfDZEcDBrCZxa1k2uGC9AoGPc99gfS6DGFpbzbWQBTn/ZW2TatAQ+ +v+0dtdwXIXlW339wcAkkNJ453jq0BH95GkN3LC3BoIFOqlDlEhT8vHtDhmEZ +tlV5OGZcl8G6fc/W9t5lCKxuTrwgtwIGB0wpuZLLQCkfMmEZWoGh+0mFV1WX +YY1ujUvk4ypYjz+DRuNlGGUJTQu1X4Ot3A9/lGyWAbcudsyUWAeG2t9RAY+W +wVVeb5aKfgOqP9X4Fb9cBmmlfWqO7RvgFUWf2vtuGe5FkdLTKjehrs62YzNn +GbIv/KWW+m8LGEyvRHBULsPJMKzhbMw2KL9XFRbqXIZfR0NjVExIeOLy1hMT +yjIwC48ZebaQ8LQMxmIX+hVIlO65heypcBkOZupJ/hXodH94NpSKGpddMGf1 +kF8Bly+hb75GUOPzwSd66PVXoP8SuXMUo8GrjBdH9tqugFaVENXjMRpc8vOr +32LuK2Ai79uW+YYWd9Lfn3TzzQqsnNm7lW5Fh1sn7Nn7MmsFbP04dFsF6XHc +zunA598r8DJByTWUjgH/wy0aQj22Al288rtYFxnwho9+kxN0q0Dy+ir2YIgR +f7w7ln9CaBU+O+QIrq4z4Vvp76e/aKwCp0SxhQw3C17f9f1hwbVVaC8Zyqi+ +ugM/6fOyWejFKtwvONu8VsWKX9baKTWYSawXqPrnhuIuHAIPPz/ctApCR7ce +zDuz4deNApsPbK2Cv5e35N1L7Liksseh3WJr0HtM0VHl4m48NvjXrJ7ZGhQ+ +gt0qkRw4Fqyc6huwBkwPlOdVJzhxS1pJpaXSNZjpwaRQDDe+YRkp4kSzDuQ5 +kUm5OB78L9uGgKj+Okg1RCgGzvLiVdErF+berkO/2YdLoeL78Xod7/xLm+vw +3IhZ+YuAIP4iS4Rx6s4GcO/Z9zeTThj3uvpU/NjmBrS0RR45xiWMC2ibOm1u +b4Ds5S+5HcLCOKa4FP+behN+Vd/keqkpjPd9Mmp3ZNwEGvvRRQ9fYTzkcRTD +DMcmdD3KyZPaeQjPqlnjzpfYhKyCaq081cO49DNXr17rTfA3NaY1MDmMO45i +xujqJoQJvHHltTuMs5n87Htvuwka+edozoYdxrNCcgKe3t4E2WzjFNPxw3iI +5/tzz1w2YVvY0N8nSQTH6YfPfYvchEJcJnNQVwx3tGlj+N66CUcHxIsFbMVw +NtIA69fOTQj5Quv52UsMF5hWPljauwmJYuzPfhSK4UZeydvDo5vwOJ3/+Lqk +OJ7QqKcdsbIJMXyHLA1FJPAEgQSpHL4tYHuom995/wh+ubozmvfmFhxPKWo4 +/+4ILqDY4OpwdwtmnFj3PK48guO/3v1X+2ALQnRsBbP4j+IJb9q0v3tuwf3q +DO3AxqM4rjihYRqxBarSTiVaMtI46Zt7yNeKLVDy0y2RF5HFvZANfZ3CNoia +jmYkGcrieKIFtxO2DUwl7pm7nsjiWMiZnJO622Cx5aHPVUfkB2sK1Sy3wVhh +SuGQvxyOtwftMXy6DVn0tYWL6vI4XqapPvd3G1iHszeVveVxr2zgzB/YBh0H +tbikMiJXDZOGGSL/JBuhfeY4LsDLJNRKQ8IraU2cNzwVcFJ4slHufhI+8WGq +5HPISRwPHt+6YE3CC/cKhLswKuF45KCN5w0SPh1ye/ORnxJOmvUYWXYk4Scm +fo1rRirjJInLX9d8SHi/msxEsQDCvag39u7PIeHsP/cUmfYgnFQZsPFfCQk/ +FJBg/58phpOCOiN6qkk4r2B8gpmCGk7CczOMx0i46nAgmclDAydxjr1cl6DC +b4u6cDnIa+Jechqn2xEVLuHZmeRGpYWTyOnWqueo8JOoPzBwXBsnbYz4ffKl +wlXOhqkp7dbBSQVRF+wTqfCm+SfJFjd1cZIBHiSGU+HJTrtrn43q46S/Bup/ +mKjxgdbSyELMECeJfkB7FajxvhSHW3EHjHFSSXlp4W1q/O1wz+EbB0xwEu/D +IY3P1HhuqzwmvX4OJzWP7dCgpsG1Rrj2PHplgZMULVoCHtHg3PRNtpPNV4j5 +4pOyZWjx7/F2yyGKTjgJq4iv56THDeSIx+6rVJxEEpiot2PF/weq6bOX + "]]}, + Annotation[#, "Charting`Private`Tag$25780#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJwV1nc8F38cB/AvmWVnlCQzpLK3+lxKEaKUsqJESMso1S+jklkyygiRPUMa +RO8rImVmZ+/N98vX1+Z3/XN3z8frfZ+7z33G40Qv3zxjT08ikYyIw78zQy9W +HUT/ECMufYrbGCB6elBb85f9Px9LmNwPVoXtNr/v2fxzKvmZBsQuaZ5i+2ZO +2CezZq8OMIyXUWOPmf3L/XOcToDWkwaNmG7Tf/kUT5QhZAYWDLrwnSHMpV7c +YQKKqbMKrIUmhG0Le2xN4cv6u6lHe40Jm4wyDpyD/5ZYDp4wMCIsMkazvgD6 +nKLsJsUG/5xi2GIBgTIfKGk2J/+19+yRnjWEj2d6Wijq/zNa/2QD7dukOEql +9Qg3i7LwXIID+zQdTLRPEHZh9D1+GQQsx59LOB4nnGHrf88ODvuftLyYoUs4 +UUEl+wqwZ0jqUJaPEWaRTOq0h9eL25ycLf7Z60Dv1qvA0qPS/bvqKOGnyyQN +R/glM0hyxf5Z04TfwQlkdu/e2PyuQ/ig5YFwZyiafyt1+tQ/Px84U3YNbn63 +4n384Ahh5y80rhsgc+pqROeufx46GqhxE1pY2zr+fsMIS9/PlL8FkWWL81+F +/tl18tz52zDU12MemIQI01dLTNwGxlZPGSvuf85qiv/PFT7SVc51Mx7GSD4S +52MT3eDbU0rg/cBDhBn0xBXdoa/lnuwHHsIkDZtL5e5AEr/Zf11Zm3DhQaZh +D8j4xr6iXqNF+LLMbY87cIHc2aDh+M+dzTGMd+GIjfmzX9maRHuMAbKSniBV +0b2/7wxhktxSVJEn8B1h/6iyrkH4w/TPo/egTnGLcp8FYZ/ps16X7sNL57Sg +zyrqhJV/WsX+B1GmXfuV5tSI+o607dIP4RK+2wbP/2d73ZgPDyHwyK4vWUqE +fdQGeBu84Dxl/bnuKVWMZJsl4u7rA7UfZpJqdhAWcWCLrvIBr3ZSX9mQClG/ +Yy2N3RdqMwb9k3wIk+5d84/xhbfOfkOzlcoYCXO+ZZX/CMSKvzIkRxEm6RWX +rD6Ca8FnUp46EbbdDNty4jHUO18/mcdFuM/lmln3Ywgv/cBgeE2JyONst7L6 +gf4Ng3snjxH2yRioNPWDhayh0b3CSv/G//WtBD+QjlKmmjcr/nufphClp6As +FBzXq08YUxqYtfKHzxW8sXQyhPF9ObvT/WGl9IbCbxbCthugSfGHmvylZPRL +gag/lnvULwACCxxLxM8STlx4+yonENorpdj3+8gT99v9dFkKhnpX0125Vwm/ +iAlJPxICuGNkxEtjwlwlyc1BIRAqIPExTISwyMWrTLufwUXb25TuZ3JE/25a +12DPIViRsfzZ8YMYSU9QinT0BWQH0J4dEyFMfuWlfvUFMFThh/1WDmCkW+rs +14JfwMbE1MeofMK2kizQ9AJMQjJU8sQINxTMil8Jg/ZRT9oZof0YaezIy6nH +4WAh6b3n6qYsRlIf6bueGg53uy7tbxgg7CNQO1EZDldffIqJzSLcF5rZxhoB +VrGzsSOHCJsEWnmFRoBCULmztOs+or8eYUyxkTAnmXe4z5ywDzVH90skePXu +KWk78s+fhny6IuEui4WDLw/hMcWKSeGXYJmI7U3+KIOR2kdXI5Jfws0Ij/PG +rP+sUqOU8wpU3Yxoz6jSGEmoJFut9hVornaVrvcS3iGyVX3mFUQPG/y8/olw +opf5Qfko0PKUGnngSJhNoZ3yPgpKFLZ7l/6RIr5vfE17STQUk9UX/5TvxUgp +BdiJylgQfLBx7mwRYa5b77GRWBAIWeyaTyFMXT2rxvQaaj7sHgx+SrivUFHo ++GswXZfK5DMgzMBeUvHjNVRsKRk52iFJ9Md5JKIiDkS063pmmQnnP/LA8AQI +kpg+GLUqgZGmGulGehOg06tYy3SWcGmzcOBmAvwanU1jaSPcYMr38/AbeGch +WnQ5nbD0f1oyZW+gfDq/MtXgX96sX2aVCNcFmEd3J4kT42X4S/lCEpj1H1MX +fUVY1VdAzSkJ9h7vEFUNJszRI6J+Pwm87koyRnoQzuO6rhqXBAcvpojtMiD8 +p4pdoi8JWmr8biksixHzZfuDiqtv4eeqCMttW8LqNq2id5Lh/kxg14XzhFNV +h9afJkOiz4Vwo1OEZTwa26OSofSS2T5rbcKeK0bBxclg8GvXX+6dhFu9xwfW +kmH6W03L52ZRIpe+a/04BQ4ESAkanCVsK77oF5oKvBqu/wkbEa6to4q+SYUr +48E2K7qEDRo4yvJSQfvaiP1vNcLturHk2lQYmN2W27CLcFTTHiO2NNBFKd4W +wyIYqW7EsSsgDVSLp3YyeRG+0t153jcdJLas+dbcJey/3af9RToIJgqmxNwm +vM/wzIXEdPjNVjBywp5wAjw6B+mALnUJzRsSvnZR9Ph6OkyVBDnc3024+SZO +upcB2zQSFRif7iH6h33quZkJ+RnGFvvvE6baCr/wyQSePNMjtjcIJ/e/wcIy +YTJejDxtRhgToCYUZkLtcyf7BGnCkWmzJguZUKjSTzpSJ0ysH53koPtZwM2p +mkInRngVM73wJBt2qDG2JI0KEetdBvN4mQu4xjnn0B7C7DHjyam5cH/OV9Kv +hbCBbkPjh1zQY9XoDignfC3bTLY1F2SsFxnJb4QwHz6ySQt/HhwvqJdRsiDy +spGjjNF5MJMzUYo178J85CpM2mLegTznWzOLLkEMe9vGnlWbD94myrpeLYIY +/mQlQqQlH974v1dNryN8Qa/2VVc+5Bw7vZXlG1E/WGX+aDIfQlVkahnSiFyT +sfscawH08HpkDd8icunQpJljBVDVJEfbvZWwSfWP0S8FEFtVsPOU/k4MD+jS +Ws8ohLKk3JQv7QKYT9CymVV6ERiLGdDNFPAT64vP18L7Izy0FhPU+sCHJcar +7OY5+Rm2FJe1lpTzYiIRpn/vq5WAQLSv7IPV7cT8P5VJ3fwC6VqqhnQa27E+ +C77VqIkyCLDySlR/xoORBqxui/wEKJTyOfHfKjeWvxkjMoXjcDxV14LszI31 +8X4weZT3DX5aMKQxzHJhnsXcfK5B32FbrXmztBsXNrZx6ODvO+WgLNKT/oue +CwvwFs7rtKoAT4Ozh+7rcGKfvb93FSv8gMgtT8uYHnJg7bI2wa/5K2E48+yi +dwM7toPFyfXJRCUE3OR0PaPAjuU3z++P/lwFKmQUXPScDfspKvX15Kuf4ORd ++uXJ5jbM51PP8A27avBMcbxS67IN8zz9bagH/YKAfLod4UNbMZLapa8X+X9D +sE56Ue+1rRivEItGaddvSNRvemYxy4o1M+s+IRXWAHOHxNs3PqzYsVW6ExZ3 +a8GZ8zNf2w5WjNpgEqakVgfa2pop+3JZMB+mFN8Ixnr41u18l96IBduRYCrm +WlEPuSrmm3vGmbE+jqScCr0G4NK3omSGMmP6Dd0D2ekNMCaQnaCvxYzVa52e +nNzSCD98NrWxQSbsRPDvaMGrjdCyppu/N5IJK/02HN/xvRF8vJ40hx5hwmi3 +OnQvS/6BkF1ZV13nGbE2/htrmn5/YPKF8TR3LiMWLRgY19H9B3glvXRO2zFi +WFSSBf+hJri93VenRIQR+7guR1Z+2QSwXtbn1s+AIca91zsnmkAqrdlpOoEB +25CnOCweb4bK4Dy7sssMWOJ/h49LxzXD6hGIdRNnwHiytr/OXWyG7Uwpz+RH +t2Dh+CfNDyYtUDl9jyU8fwu2ozSdwpDcAn/cDqct39mCUZt62x+ttgCz33BU +ns4WTOmd+z07o1aYOPB1KGjbFmI/KsoyTW0Ftgyyam8rPWbStu/h4EoraBjI +Cq2m0WMLN85eYj7ZBizHzmdbutNjy3hvqsCbNuCX7kg9cpwem7dwSmyZboNy +4etZgoL0WFFOcICDZjsoCZp1mk7SYZd+fJIrD2kH4V8vNfNwOoyHXV1+tb0d +WIqfizyOoMNGewS0h/Z1QHyseLGcIx12Jfc3R8L9DthQGzdKxegwtUQebduK +DnhasoTl8dNhhcPrnB+2/wVRqbydZ8kk7PyBbZEy1n+B/Oey4L3fJEw+1/9F +fMpf4In4ZK6XQsLOuGfzBlD+QhBzB+ctHxKmNUOx+aLeCbWGlHYzCxJ22fu5 +0Cm/Tjg0R3vqqkbCymS2xaOaTnhofD+xk4+ENWR4vtPj7oKitOKfckObaMfz +kPAoyy4QN8i5//btJgocoC++ltgFJqGCvr1Wm2jpYO/rWxNdoKrTaflm5ybK +bXD9FKLYDZjmCR9q5waqKQ3KNPXohqgOr1612A1Ec7+WqVRG5KHJz7qtNxDd +4z1zjZvd4NbtVvVGfAPJN52yzcN6gHqnnfH28DoaulPE8vxpD6St1OqY5qwj +z4zZGqOqHsh6rn7o0s11xNAzWLfB1gsrDoeL/1NeR+1LxkozJr0gWWHUcHFj +Dalz8Ub2hPZCx6NUSmDFGqJeKRLJaeqFe2745LnQNeQr6d+iy9oHNLGDuYOW +a+jze6vGYak+OK7rJt8isYasul/vm9Trg8K/SYH75lbRORFl9YCrfdD6RurD +ypdV1POymvlxQB8Y6q7GcQStIk+jc4670/vgQIyqdon5KpLTa2KiVPRBg6SH +9JLkKvqSe1tEcLgP0qgFWbq0FUSvrXKeTN8PrlrsaRrlK+gy70MlPvF+WP9y +xbksbAVpt9j9dNPpB7FMXieRKyvI0d4mPMSmH3YuS/cfVlpBNoJFXlNe/TBx +zmNEnGkF7S2Yc2CP6we6lh2Hrv5dRi/cxGM8PveDfouK7L3sZRR2dohXuK0f +WOciVWV8llGtruy+iPl+YKcfFft8ehkljRzo1+EZAFndvs43EstI0lLqkab8 +ANBiOGibK0voNe47zWo4ALy7LJlN6pbQmbenW9mdByCrLmI/Y+oSymy/eW7W +bwBy82v9P3suIe7Rb2aklAGI0zrRk2m0hGKTlZttvw3Aid/i93oll9DTGx78 +mV0DsJ3FQVtsdRHpKJEe71oZgNN89u7RTYvokor02n2+QXDM7aXty11EZAHF +J8rygxCUaivX/ngRfa8Xu7bdaBC28mIC8daLKNkk1GTs6iB8wmkyAcqLqE0g +PqHnySDMSBWuBrMtoo47W/vlkgYhyuGk87NRGhqXyMn3/jIIpY45LN+AhsZe +xnyfahsEDepU31AsDV2Mpm+2mhsEBbaDqUx3aShoTeqbPNsQyF2yd7U3oaG4 +3bYnhKWH4MZF7lMJ+2nor81THX6dITCXmDu7m4mGeBhTGyQuDkHzD5Uk54EF +lGkq0XbEcwhiq7d2p8ECOn9NuacqbAgYGYQSFWMX0Hyr8/1LuUOwfXey1L27 +C2is+7EWV9UQCNRh/AJnFtCx9Y0dDQNDMFeodzTpwAKyuuktubI+BMXGVl1N +2xbQsolxOhIYht+BaN1+lIrWaa+HIhSHoVkB44v6QUV/2doHmQyHIeP8wXtJ +KVQkrPgpd+LKMDCIH07g8aWi3TJ5Wp3ew+D5cLfQdlsqCiz9MzsbPQz+HizX +fLSp6NOH1z85i4YhjqUrpmInFZ1C734k1Q1D7L0Gt8DleSSb9IFqNToMtGsX +D31rnUeWFxsmROlHgE+rbJfrx3kUZuJczLNrBHr3ic7HRswjQ4cUZTbVEajQ +W/oQensehTSOivxnMgJaAqUfyKfn0cvstcNCTiNgpRqXWCc3j6Y87p6qeDwC +lqRXIjxc8+hFz8/OhLgREFs1V+WlzCHKa0V3atEIiMb73o6qn0M3VDfjTteP +QJMgnaNH/hyyeyDZPDQ6AgfxLZztz+fQnkxZQ2/6UQidOjBCuz6HmidMH70S +GgUWulpzB+M59PmMHmlUeRSu3bT7Yn1wDhnxo+XDxqNwQXbNPZFzDkXWb59v +vDoK2ElyQySFgthMGfcMe48Cdbb84/ZGCjphJXyGFDsKEVUGPi2FFORT6c1q +XjgK5/Y4bpEIp6D3VNAuqRmF3cEOeaKuFGQfGhEcPzIK3UlMNyzOUpBkzVoQ +vjEKUvlhL0SVKYgn6J7c9I4xeNBdlb+Hn4IuI7siC4UxYDbsC8tdIKMwTuXG +PwZj8Mn/aOlgCxm9vzu+Xm8/BlMCKUYnP5ORisu7OE6vMfj6KM7oSDQZ1Wp6 +xFhGj8HK43At+/tkZPxsZXwin2jPY8/DfdZklHvYnpOuegyGb1qY+hwiI9Wb +LomSg2Owa5dT/foeMmoOpd55vjoG4W0f6NlIZJRVz+nCzD8ORU3CF29/m0Wv +7gYEs8qPA9l/WwyX/yyaSDDwN9Ebh0iD89n1J2YRW+Xhs1mXx8HU/m1UPdss ++rTH2Ub/wTg466nb0DfNIPnfFyxcI8aBzWbi5pnIGZSx20WwIHccBMRua3SZ +z6C0SItdKpXjEBvvK+8rOINk82PONPaNgwwnpcuydxpp1PMOFi6Pg0GyANel +1GnEUd3FLcIzAXP8hVsfOkwjz117GTL3T4Ab693/qmWn0ceG4Yo7uhOw/2QX +j8zUFOp/fF7dznYC5LLdrHJyp5COoBxfz/0JMHD7sWrpNoUmL8s0x0VMwL0U +e8sdylOonYP9kVfeBDRkcr7fWJpEVoHX3iVXTUAq47n1LTCJzCzPPjLrm4Cq +1ewKDp9J9PDw8mf51QnQiyw3PqI7ic61PVs15J2E8B0Spm6MkyiLOmQcKTcJ +6jtsMksrJ9DCJG7ucnISBBkv5e4PmUARs/Uvn9hNQryjbW244QSqeHOerdZr +EspLL1cKc08gq1nFjrPRk3DnpZpGTMM4cnq5xsldNAmyn9PnxcPG0ZfsrtM2 +9ZOQ6Tyl1XJuHO01ev3fxNgk1E1+D3PiG0ecU+e63jFMwSHjjicSHWPoxTum +jXzhKUi+MtQxGz+Gst9f6zmiPgVlBQ9Xw6zH0NKI5TTP2Sk4fVlXwlp0DLHN +FP0RujEFEefTZ6m9oyjpwM2ma0FT0L7/wueIN6PognO90Km0KTCJz5KwsRtF +H+WT+uzxKXA3t3s+JkrUWyW4VXdNQbXoiT2JQyNoX4pNr+3iFPR7W+VfyhhB +Ky09pwO4pyHd06Cy0WEEibYtutAfnIZ7y9aHPGRGEAODVkiO3jR0BT+6XDIy +jE6HJC/m2U/D/AFWfZWMYZQrWODZ6zMN5z2LL390HkbRR1xEEl9PQ8KeLPsq +qWHUnNb5CP88DaqN3Xv4xofQQxbR8K3N07ChcYdhKGUIFchre5ZQpgFz8Lqd +e2kISVoLuG9wzMAMEhv8IjaEqrP51K/um4EDccIjqj2DKN1qknpIbwZ4t8uW +r8QPItuLwdvUrszA2dOp9fjFQfQopcoKvGfgv/e75rt3DiIBe4aOsvgZOFXG +Wm/UMYBmbW6f7yieAV2+pin6iAF0NjKw+Hb7DNRylJFzjAbQwc52m3jaDJR1 +C9J9YBtAcXqXDvbxzEKxIy13/Ec/krQ4WZihMAtrpvYlvo/7kbXJGRe/U7MQ +kWFlvFOnH2W4sQbMOs8CwxuJyfKVPtQ80yk+FjgLV90f1vR86kP6u4pzZ9Jm +QcSn2m3xRh/KkGEdCaqchQ8X96U83deHquU6vysMzUL1RPCpvXW9SJ1Fx7qQ +RIYm3CqcTqsXLTJvaL3mIoPE9YWc0fwepHa5edlvDxnipfwcbQV7kASJUlMu +RwZv3iGtQr9uxO/991U1IsPy0QFh+ZUulGPE+bT2FBlM3oW7bF7qQla7jLRk +bMig4hX3YmtTJ5q9rCbte4MMv46rn+E43onubP+sEP+QDE5q01tEcv6i81/N +gviek6Fo/tae8F1/0TMfw/1P48nwTrGL6+/DDjSWsK/cLI8Mh2z3T8/3t6MW +Jwem6a9kGCRdSX1l3I6q6iwt79aRQZS/MfL7uzaUIOvF79BLJv7/2t8G7WxD +iUdOph6fJQN24cuReI9WNBiwyBlKooBN6rW1e00tSN/y9fHX3BSwu85xRESr +BYnH1+ORohSY4EwJ+RLZjPzS3+5YUaQAh7vImspSE8Jzz6uePEqBxdar71Ot +m5CkM/9ec1MKHB4dpRQU/UEHGqtvtF2hQPdMU0gd3x/kHWBSpOVB5Mc9txx2 +bEROrwtnuP0pYH3YLvRWaQOK4DDrTIumQD/b6N1xwQZENmRLF8qkgPDHrAtJ +zXWotfFbNdMXCuiU4XVyAbVIWfZxQ91vCuTESDFnoxokt1PnpXEX8T7nXkUp +tv9CEhab67ozFGAZc42a8atGEaX+HbybFKCdrbNj5f2Jyqbdus255+DT1R92 +YZ8qkccJ9kY3sTlYTRPZtnHxB2ofDarGlOZAYe7py77hcsR1Z9vtR7pzMKQh +f7Tg0Xc0c3isLtxsDkj0F2JUNnC0ZWfjGz6nOfCjXN6ttPIVNVYmjxx7MAc7 +Wn6ZUiRKEZ/j+R9aIXPwuVR3jn5bMdo2rSqckDAH7EAjhwp/RKYC+l7f383B +m/Q7KiMa71HRZNPeAHwOuLWNhK5y5KMcOu20nD9zEJh194SEeBay53dKChic +g6/2+HHl6GS0be9r9aKFOXjPKs7wXjkWfR4q6XZgnoea59fMkynB6G/qvepS +gXl49PZItCunDprB5ncclJmHhRsSDYqyAfBss3Bjm8Y8SLo2meiRY+DS/S9S +k/rz8Pf7DOt6ezKo0xsVH7aYh/0lb0fbz2WBUTQbj5HTPBQEVdsYF+fDwtCa +n8e9eTgcl3aCla8Iap5+73IMmIc3+lz0ck6fQM1AZXD+1Tw0NXGwaHB9gY7m +N0LxafMQPxA9zfX4K3TXn00W/TAPog9qTyZJfgNf/9ikiop5CLzqc7eeqRzy +H/ypYG6eh88ZnffYayvgwFeTFIWBeRBbpPnlQCU8yRxYuU+Zh6lZNsulVz+h +WU7lbP7mPHT0y3tFOP+C1TBXnxfsVOgUFZszd6mB0Me5bS+EqDCYXLN95HQd +fNeNPv5kHxUOcqSiUKUGmCPZ0UVrUOHT/qc5PdqN8Hdj5omFHhXuqJudCFf7 +A6sTT/7ymVFhu9e1FGmDJrC698Jv7goVvKip38aNm4F/rGzwlysVhOQFFq7d +aIFf5e7aP3yJ5+t6lf0JbgVHHTsz9xdUqHGfyWqLaYPWh6dkxROo8POya2/L +93YojHFJXcihwvWWX4FKXR2Q1LBIrS6hwkpT9PWl+b8wwGGPnf9JhdXGh670 +0l3QN1igsrWNCja/Kq80G3RD1av0km9DVDBhDOjb8qwHUtQOBCfOUwGzs71x +q7YX2j4yv9aiX4D5I//t8Pbqg6jCqkvdnAsgbTeX9kWyH064VdpmCi9AwZpl +yZWKfhAtJJ1+uH8BxCPO7LtyeQCGpE4tcWouQLpRfWoe1yBcFbyv0aC3AKS3 +77K3fRyE4Y8OeTFmC9BGNp11dBkCq84fLyPsF6DE/eZkwc5hGHEtDYpyWwCG +ftfW/yqHofJPkYe17wKwOFhknPAbAZ/EydMXXizA1L7Oo7Gao0DbHK+0TliA +ms22r5X0Y1AbqbPxOncBdpoe/LT39xhoyv6MSCxdgLhkT+nEwHE4rSn9992v +BdhzMkNc3moCKt56d653LACvT86RPIlJcKC73G82RjxPoVeUdXoSLi4LzNyh +LYDQ8JbEll9TkG357UYXIw1eaPcH7EyYhochmw6GvDTIzZw6v+w/A+8qH75i +lqCB+wM/7yLXWRjmf/8+VIkGPQ7vmRRlyJDzQktPVIcGT0Q4kpRTyXB1xHmK +6QyNWDfR0bG7KDBne3RhwZYGd/f0OYwmUICvK/A5dpsG+9yVHm8Q+9AFxl+X +rH1p8Md15IxC0hykV0Wt+r6gwUnO+/QOB+ahd+94JnsSDSq1/Y4mvZ+HrH0i +44n5NKBN8rv/p0mFcAcajRGngcto9pXYBiqwZB3e39tAg1MfVpPcbRdgTaKp +sayPBnR/uAWzSTQoqOmhF5qjgQiv0tegtzRoC6AonN+yCKpKGt2thxahN85T +6+X2RQh2LGdRnlyEmNGNB1ckF6HH5b3UXNgShDUEWaqqLsKoFk1eR3MZqrh3 +fBs6vgiJalCRRFsGjFfqt7r5IiRL/vwjkLMCE+dPvwxxXgTXVXTH4e4q3Iqz +Foh+uAgPBaU3mrTWIFNFxT85dBEKpctdF+nXwWVCt+ZV0iIoXHq3p3pgHbwE +9zFc/LAIeZrBjo+/bEBysbOt2M9FODrZPuj7bhNKFQ2Ym7sWIUrigeXDsyR8 +ueXSij9lERo4d7rE15Jw+uFxF1XGJZDL8a0JNqPD6YsCk1YFlyC4beMrRxcd +zl3Sn10mtwSc5Nbs/Cv0uEzgp5ynx5YgVMHYcHiTHheUfRoSY7kEu46FlrRE +bcEvBhZvZNxeAl27uPm1Ywy4tt3MX4WgJdiutzpls8SAm3nIqC2+XYI/dDx0 +ExmM+MyccsmXkiVYm+U38nJjwks4f+CGLUvgkxy2W1KbGRdaE/ccm1mCCYoS +ihFnwQUanra82bYMEjEjTj/5WfGxqrzsEell6Jmb+/2JdSsePfHBWV93GQpN +ct+QJbbhN9IczK3slyE/5GNjog4bLn9BQCfnyTKcSVffHnybHT8UUmZTl7IM +WbebbfLbOHBm3cS4+9XLcE5X4LukOBf+FCv7mzK9DAl31AXfb3Dh16XLJI4L +rEAEz1a/N7PcuCPLxMyWoyugHctnMzHJg2t2/fZqubECoaULDnlivHjD5vew +429X4AvTYbacW3y4rdH0d7x1Bd52CKeOTfHjT7etdZ3lXIWTyymV2W078DTu +9b9U01UA7iFHZqZdOHbLurUqbhVu8ITy7qEXxkmNhedKllZhf6Jc6UeqCD6m +SsHa7NfgtbxFmf5jcfzWV8WCR8NrcPNTEkvhC3F8LEAsaWl0DZ5puLYoJojj +F4ozo29PrMHxlDIx72JxXH5UsMxldg2iZ6yFDSjE/czKnwOWCUublC/bS+Dy +ZtnfL3Ksw66kwxXGDpK4iTNzRYXmOmi4OFqw90rht+i8y4WT1iFB2somjCqF +J+ZaFdxMWYfvhXbmJ7dK4w0Bn2Ur09eB4/nL+Iuq0vitGSXuJ3nrEBOe/Vom +TBrnarxZrlu6Dl2uE68kz8jgJvKOR+bb12FU6NieTVZZPJHfzDpFYAPeO59j +PiZN2LBloWnXBiyFbBaMHJfFcX16RzaRDfglTL9m6CeL2171uxUtvQFnYhZO +tDLtx/t2LzqJam5ATcir8HbhA7iIiLvObpsNmHQeft7yUQ5PbDW2VincALYt +xmuT43K4j9evNxafN0B0aaSOyiGP24Zcnw3/ugHx2snilsfk8b67G+GHf2+A +dDy3k/IHeTzxQR0ldHgDYo40BNG9V8BJ3wry4oQ3Qf9PSXNFiwKOjYnoq+zd +hFX3yAz9ZQUcfxs8NnFgE+g3czKLdBRx7Pf5j/mHNkFo45DObK8iTspbtP52 +cRMuhBz4GmqojJNMdvctpm9C1814jh/eyjiWHB87WLAJKipRji5Fyjg+/yGK +VLoJxTuaWMzFVHCSrq1ga8MmjHZZbp3gUcVxze9n9q9vwtH833/gojqOK5On +7imQ8FaS+/pmEWFnfbdX6iRc5uTO1o8cGjipql17GBH7At/NXp9aDRxzr1uR +MCbh6zZChb99tHDsakZC8C0SLoBX//7DjeE+T8c01MtJuLxKsf/ETQwn0THF +WNaT8FLsp41TM+EdLbxcXST8QceDgO/vj+A+VTI59oskfFo9aS1IXgcnsbgu +SDPR4W//I2VmfCIsdjbnOx8dzpjw9aXi5FGcdPQV54AaHX5Ld7gk+/UxnHTs +zteMk3S4bXi5Uu1FXZz0WiDr10U6/H5tVT/b/hM4qUXj+EYwHR459iAnBNPD +SSnnNt6m0uEq/7W1ejzUx0mG4oNN3+jwU2axtzQTDXFS1hi9GgM9zrh01Jyc +fQon7Xt2YP9+epx1arvdrxgTnHQwmfmBBT0u19tyXU/9DE4qcXF2DaPHKxrV +2YevnMVJIcZKw81EvjVI+aP/eZyUMZb9V3YL7nvBPsvojRVO8hRLeJS6BQ9I +nK3xfGGHk7gghHadAZeMXNrDMHAHJ/nsNBP8zITv1FZ1vzKWhpNItm/C7rLj +/wNzEhJw + "]]}, + Annotation[#, "Charting`Private`Tag$25780#3"]& ]}, {}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->All, + Method->{ + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, "ScalingFunctions" -> + None}, + PlotRange->{{-50, 50}, {-2.5, 0.5}}, + PlotRangeClipping->True, + PlotRangePadding->{{0, 0}, {0, 0}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843118418467208*^9, 3.843118442861844*^9}, + 3.843118494326119*^9, {3.8431185764071083`*^9, 3.8431186000968637`*^9}, { + 3.843118678293343*^9, 3.8431186973785057`*^9}, {3.8431187909323378`*^9, + 3.8431189062596397`*^9}, 3.843118970516222*^9, {3.84311900749964*^9, + 3.843119049520691*^9}, {3.843119111532508*^9, 3.8431191160183973`*^9}, { + 3.843126850223662*^9, 3.8431269035835657`*^9}, {3.843196950210411*^9, + 3.84319700895439*^9}, 3.8432908790983887`*^9}, + CellLabel->"Out[87]=",ExpressionUUID->"4874c76c-d606-4e7c-8adc-f59fab5f2007"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"ParametricPlot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", "]"}], + SuperscriptBox[ + RowBox[{"Abs", "[", + RowBox[{"t", "[", "\[Theta]", "]"}], "]"}], + RowBox[{"-", + RowBox[{"\[CapitalDelta]", "[", "]"}]}]]}], ",", + RowBox[{ + RowBox[{ + SuperscriptBox[ + RowBox[{"t", "[", "\[Theta]", "]"}], + RowBox[{"-", "2"}]], + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "#", "]"}], "[", "\[Theta]", + " ", "]"}]}], "-", + FractionBox[ + RowBox[{"Log", "[", + SuperscriptBox[ + RowBox[{"t", "[", "\[Theta]", "]"}], "2"], "]"}], + RowBox[{"8", " ", "\[Pi]", " "}]]}]}], "}"}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"7", ",", "10"}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", "1", ",", "2"}], "}"}], ",", + RowBox[{"AspectRatio", "\[Rule]", + RowBox[{"1", "/", "GoldenRatio"}]}]}], "]"}]], "Input", + CellChangeTimes->{{3.843118040540215*^9, 3.843118067499398*^9}, { + 3.843118184385252*^9, 3.8431182063496733`*^9}, {3.843118356128786*^9, + 3.843118442547071*^9}, {3.843118491923772*^9, 3.8431184940349827`*^9}, { + 3.843118575469301*^9, 3.843118599702072*^9}, {3.8431186609987707`*^9, + 3.8431186966078568`*^9}, {3.8431187901689463`*^9, 3.843118905018832*^9}, { + 3.843118951492248*^9, 3.843119048301594*^9}, {3.843119108271299*^9, + 3.843119114566826*^9}, {3.8431193720355883`*^9, 3.84311947729418*^9}, { + 3.8431200232557573`*^9, 3.843120023462968*^9}, {3.8431238022053833`*^9, + 3.843123804836687*^9}, {3.843126912116753*^9, 3.843126912380032*^9}, { + 3.843126984229598*^9, 3.84312700686185*^9}, {3.843290907355577*^9, + 3.843290984660825*^9}}, + CellLabel->"In[94]:=",ExpressionUUID->"3774b0e8-ed8f-4304-be73-f4ed265155e9"], + +Cell[BoxData[ + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJwBgQN+/CFib1JlAgAAADcAAAACAAAAokLIekk5nUHbXor3lkm+wYTFlWJ8 +LhtBPCkKTThgM8FaFvQXT5/9QK8UqA0HXhPBGd+XkoOu60DBFyKkLjUBwUrf +jzZnIeBAWxgbn6BZ88C1OKlukjfVQPcVSVjRwejABbiOn6wgzkAmKlDRSy/h +wEqppotOi8FAzNpWV8VQ08C65rtJ5B28QIBjmElxgs7ApaNW8q8Pt0Axq0TQ +mrPIwPeg1gHAXLBArt335WojwcBbPBd+9AejQG6+R3XaPrPAXM5+f5X5oEAN +oIqGRQqxwKiJ5g+1ep5Av6mR1oBirsDKYqDUdvuYQNQ1eZDIlqjABVX/J5Gz +kUB+RBm1RguhwCqr2bdniIRAdUbW+Egak8AwhghBX16DQOzzzZY39JHA+1Go +W0xNgkBp6KjgxeeQwC3h03d2a4BAPNH34y8hjsCPuJ3HP+F6QFlF66W6W4jA +sUFVNkv+ckCcUsBuoNmAwMofIeJp6GVA0XcN5I7OcsC7+2F+dDZlQKhZAzv3 +LHLAWvaXS+CMZEByvBTnSZNxwH9KN8nPUGNAvj8k2rB1cMDd8hPpyShhQGvw +lSxEC23AWD94RpScW0BJcM699xNnwHcvyQiz+1JAtD8wMsUSX8D303nKVwxF +QGsykrotsVDA504dYtQUM0D3exHMsh09wMi7i3gliSVAtZDQtvQGMMCPZN7R +PzQaQLGZOT7EISPA+yXDcuG1EUA1my1MxoQZwDN52EelZghA6n+1wTliEcDg +9oEb1YwBQGsf58A+ygjAQu6jSBlo+j/YdgfsGIcCwFpyfbHwu/M/JNaSKZyH ++78394Z52mXuP8aPSgktG/W/aHASmZKq5z+DviyLrV7wv5DkApIREeI/B9sd +bdjn6L8HNpHxUP/bPz6RB6tmPuO/HOctgMoG1T9cCjCWWNTcv1RSis1iKs8/ +FvxVE4dR1b+zLTAmyOnGPwfVPal7Ss+/vwinp/4hvz97W4ywyjjFv6HTlUzL +dL0/Iqvj+oMTxL+VJ6hLVOm8P1WK/9Q3tMO/TrJYygZguj9goQZZi/jBv0yg +YQgJB7o/N0j2Y7y7wb+rUfNgWsmzP+/FQ74N8Lq/RnCQ9PYisD+2tRztZPa1 +v/ewotD+DqM/J/jn0ofpqb8CqoWk8KRxP5Dsqj459ne/90AyGXNDG79gqMEW +d4IiP/M0w84= + "]], LineBox[CompressedData[" +1:eJwBIQPe/CFib1JlAgAAADEAAAACAAAAMZIzLrdOUL+4ovYHZSRWP0LbXKL8 +jae/fhtPA6Dtrz+k4rlOlcOwv2gpJqGztLY/xINRyP4Itb8EgUpPm3i8P4U/ +VUYSGbm/uAGoZy/5wD+QWqt/LHK8v0ea5SzrOcM/+IvSbz2tv78Ws/p4qWXF +PxWmv/gnQsG/fp96N4tNxz/tn+6pFHbCv2jcvAlH6sg/km4gdyyow7+AOBkb +EoTKP2dmqQaOsMS/dntieZ7lyz9IGmuziKPFv+oILmU1Ks0/iMJG6bOcxr8o +PV19t3bOP6xDrSOJese/N95J626ezz/Y6BcnSWLIv2RX13uEadA/cmAs/8w0 +yb/38m88hfXQP29ERkGS/8m/HrSInjd80T9hlBRcV9nKv5fDgk+xDNI/sf3o +Exyky7+Tz2kMB5PSP5CjH17lgMy/JFnCIRMl0z/zBDZTJ1zNv2PuUg3ctdM/ +5vIJCxIszr+YNYYd6D7UP0oZHKtbEs+/CqXvomXW1D8gBTMM4e7PvzY5S5Ml +Z9U/ufFYIIxm0L/ar6oBrvjVP93R+iXq4tC/1LN2hCub1j8kjiEu8lrRv90J +zjaRN9c/JeGBcRNd0b9k3OKtVjrXPzeW6Ag1X9G/r3VKiRw91z/LtkQ0eWPR +v1XQnG2pQtc/0pKXgAVs0b9Krxfwx03XP4MhlAoufdG/QguR+hdk1z/K9ji6 +v5/Rv0xj3BQFkdc/Ckbbueuh0b9M9MlY15PXP1N6VhEYpNG/Qc5NBaqW1z/4 +kVDIcajRv14/nphQnNc/unGhWSmx0b/NMgmsoqfXP4ghFiSpwtG/7WYho1q+ +1z+G92qv2sTRv4iHEIAzwdc/gJF6lAzH0b+IOKnHDMTXP2LoQWxxy9G/Hdla +l8DJ1z/XCbdVP9TRv3LzVTwt1dc/BwcosnPW0b+GtihyCdjXP/8CQ2mo2NG/ +PzirE+ba1z97Qe7nEt3Rv1rjQZqg4Nc/SB66r0jf0b8QLJd/fuPXP4Aup9J+ +4dG/PHIe0Vzm1z+TNNNQtePRvzUv+I476dc/vO5bKuzl0b+K00S5GuzXP9bp +nUg= + "]]}, + Annotation[#, "Charting`Private`Tag$26046#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJwBAQP+/CFib1JlAgAAAC8AAAACAAAAu4mraZJXlkFqKKBwtr62we1YKmWq +xhRBPQUTdWoYLcF6G9o72aL2QKvuRTaBEw3Bt4Styukl5UDdv15BE9T5wPZ4 +yPL9o9hAM1Uh8acJ7cBt6wfNkzPQQKamXl9hkuLA1/Fd+gMAx0Bg7Ygx6cbZ +wBA+wJgxxrpABADU89z1zMAnhWwwB3O1QP72YVnx3cbAz0OWX6GWsUAZG76n +goLCwEE9v1tB8qhA7/h6T2esucCCQtMYpfycQDX3Ae/vzazAmYEWKUvZmUBk +cjvJe3+pwO/FGB5iM5dAmPHx7mG6psBiPU7xzwGTQKBgVqxxYqLAreQXpKno +ikB928EvwnaZwE7bS6C+Jn9AU6IvbOV8jMDtwc2pt2B9QIF6iIzKxIrAGltE +7sLAe0DSwVFhFDOJwBtzhvrv4nhA1sLqJxpyhsCqdM7Ri1l0QP1V14ctIYLA +aw1GNV2zbEDcnu4sSwl5wLbJ5aY3fGBAbmqCZcLWa8BN4yMRWOpfQEd/iQTK +5WrADQitFwHpXkDa6e+apgBqwKU74hR2CV1A7NzsWeRWaMA4x7jgO8RZQIif +Qouoc2XA6olUZSyvVEAOHFtjewJhwOwvWlzKT0xAHy6PpDLOVsDniaIgLhk/ +QDJXnM19SUjA2az9Le6sK0D1IE1voNA0wN4Eh41uoR5AOwwITSd+JsDM3MhL +4TUSQMrATVI/QxrAxPbGIbUKCEArrhQq6x4RwLHNGaKFGQBAXeCikqexBsAT +JBdaRHL2P0O/eDVFZP+/8qjWRFlW8D8C8TCi2bb2vy0r85Irbec/5qh74pwz +8L8GZAXRYzzhP3RFGwxMv+e/tI9FumZt2T/77/ze/nXhvzr2e3MSCdI/ESJN +Ne2y2L9SzbCNsInJP6ZSRD6hctG/nPa3wRi0wD/Z6g2xOcfGvx9VNhM48LM/ +/8WEcBklu78abGhD9vijP0BH6lYOKKu/Y0UYphDxdT+KYlp2iMx9v3KczrE9 +XFw/uLmMiWxBY780/oG3 + "]], + LineBox[{{0.0008570398288426026, -0.0011637570169334612`}, { + 0.0004332881930650603, -0.0005883443899244757}}], + LineBox[CompressedData[" +1:eJwV1nk4VdsbB3BXjqnMlXm8l0rCoaSkFX6GunQUx9BEkymlosxjGW+iImQ6 +priKJJQ4Z2119q4MTaauMjShiEia0O/df+xnP59nP3uttd/nXd+1NQ8E7Dws +KCAgkAsXfZd/lMHOst+ECfaiVD8TK1Tu4UjYkidxQWevom9AKIqPrN0pUvsP +Vtzqzha8fxGVv886+ywsG+f3zJuty+Qg5vAR8ceLruDqqg5B38IilL6bCDdw +qMZ1R+aqryXUoe7s8/2MxFp8uVOFwV7eiMYNA5vGztTjEJulBofUuKhOBCV8 +ab2NX3BWzWkrEEij9JWjV3EjPjbyFJWxWxA1JHJqwboJmydH3hI5fg/JsIvj +MhOasVvoGZdrtvfRycHbhWHFXMyf1PpwXpiPWmReLZM/y8OjSR/KzQv4SNL7 +9Q0jA4yj2iSiWTIkatht7fOdj3HPgkmf2mkSNbLuxpsrEfhcjL71zhYSha0X +0y61JnBDV3O7zByJZstYWWO+BH7X2GmTsIZC9XvEa6bjCHw1adOfao4UMpI+ +65qfSeAy7tONNX4UQnFOlzvB03N37/4GHxBneotdJnCt8tEb249QaMqpDgeC +TfU1v38EV9toOlplEXjHiTMSqkcp5HNYTPpxNoE1+lZHegdQyNfIa8mlXALf +tPcXzwqk0AB5sLsJHLK58nI3WHHg69p34Ng9MwVyQRT6ZbrrjnEegVUHz/51 +HiyFKjs7wDbpAWKRpyikyZoMnsgncBzzsI9lMIUCZ9Ps3hQS2P+b4oB/GIX8 +EsmMeTBL97v3FbCKoXG0AofASqpLQh+As48pGjiAKf2zTI1wCjVNN87fBO+6 +d0KgHfxQ76ufbxGBVdTCzCQiKSTs+rIsCizaQNobg/V0AmIugV9VfRxxAxc9 +ml3aDJ4LPtBcDG6m+rmixQReZ+73xjCKQgupRprpYFcLU+v10RRaHvnIsxAs +oVsi7AL+5wXboho8rurqHATenX/lfSuY0jTIvAE2Xdrf/Bs8mFZeoxFDoUGX +VcJLSqC+fx16YQYOkVr4LA+eqjyf6gJerJx4TR/cxm7mpICZET/qXcGiHS7H +PoHT4/0l9oNjfnoyGLFQv5+Twn7gpOtTuipgkQGfoTDwnJ2B1lZw+8YoIg5s +JK0xsw8cO/jWMgXstEydHQTeOtevnw3Wie3g54O9GReyC8AFVrjzJjgs5Flp +KVhqzuokCbYdWld2Ayz4I2nfGDhDPDinDjyunHJ9HjwvFmPYCA6bKkyRiqNQ +jryWbQv4uE/yNya4oeGYJAmW3Js8agXWdnb0eQh2twt0YYODdF44t9H1EQiw +8AK3rJTv6gBPKpT+expcrqQ2/AScNbIhLQFcEjOf8gxcEe06nQluLSHvPQc/ +rvuzsxSsdjEhtRMsrEQyb4Fj1RzHaH8VPCDZAh4YYr6iXRan4fsYrGBi5kn7 +o7+O5UuwxZbwYHq8ZHZOzgg937CAFj3fWHpBwBcwr7vPg15P/Nrd/AV6/Gh5 +Jr3eoWUzWWJnoN+UycxWsEp76kc5cGbZVOoD8O9q+2ZV8OLXlYp8cG+vs8QK +cAx7wZQAm2q29huAOY4/xprAq1Y8XWMKnnhfYXSbro9tyjwCF04oStSC0zjK +Drbgx2Lu4dfBhsvKVbaDa/JORl4Fq9mx/J3BPs9PynHo7ysw2bQLbJ532DwH +XGoRfc4DnHvT5dcFcInS33sPgbek73Cg+yW/p6naBywbsd+Y7qfS99Mx/uDw +6AtVoWChMJmuALDxkfGm42DvZNOKk+DEnoh93mDf9qT5IDBL3SFzL3iEp9V1 +Gjzv6+3pBLbj6OiFgO8UdWM7sH9gHSMU7BdSdMscXL0w5km7yavLzIheX/u4 +Oe1t14PddMBWq3vy6PcLWBliSnR99R5EBoMt1YxZEmCDioGhU2B8fc8qAXr8 +9WZUILjTRTF7Gvan+MZfa06AndCJ3Hfgvs5NCsfAXo7+xj1gl0+q8X7gEDNZ +zwf0/jZuDvIC24f6ad4BMxXXjewHp9ZvP5ANHji4mu0K7k0ZfZ0IPpT4xnEH +uNXTcfY0WPbByJNtYHXHkn4n8OlLDm6bwDmNP6/S+TMbkp6pCGbkVXbMQD6d +W8OvlqL7x4GdPATuWaxlz6DrtacKN9DPhSi5Seg/qurlyn3g5TkJ/zWDd1Wr +J9rR+XehglEDvltnnWQE3rWQTxWD9ZdZBDLoPIxyEkkEF93XG78KeSpbKZOw +DTy5efGRF5DHCpIZyVzY3zbW0/lfIb+dRt9qO0Me2fZZuivkEJgj9Wu5bQSF +BGIn9kUlE9hSZqD4G5wnnKGcMpNA6H+B2bwCNwqladj1PnMlsLXRo3GNzRQi +1Id3/G1E4KUPIwoZShS6IpyQu0aEwFuXP8uVmSKRiMjMy9kKjGPtBTZw4Xxk +LUnOb9PGWKg8WuJxPInaKoMHBTN5uC9zs+wGaxJZ6lpL217kYdbSnEah/5FI +R3une3IaDwtli1Y9sSRRvR/DUDSFh20imMEHt5CoX6Hy+UQUD7t2TV6IMyPR +72tFf3j48HDOtuHKAiaJpEezU+5v4OHqFR9q7VVJNM46WP/QhIcnXn4++IcK +iSgRyZ42Yx7OblztXq9Eop6dqTNta3iYvXi9lLICiWzlOhJuafLwx4C44n5Z +EqnunhVUF+PhiJAYPSRKotLhIKFFwjw8OC8mOCpMoqMi1z68E+Rh6YV/5dMZ +JHLj5O0qnuPitU5aggOCJPrUy2hY9JmLb9py2o/P85GhR0NdzzgXpxxKyZWb +g/+Pja2p5R+4uObliYr6n3z0SvBwq+VbLiZW6YZ/+8ZHCQ/Pr5YY4mLu/sAt +WbN8pJqx/0D3Ky5e2VBpafKVj6bUq47m/sfFbtLnYru+8JFuyBZ7jx4u1jLv +nDsxzUf/B5ogG58= + "]]}, + Annotation[#, "Charting`Private`Tag$26046#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJwBAQP+/CFib1JlAgAAAC8AAAACAAAAwvgeHtj2lUF4cyNur1W2wW6m8SuZ +bBRBRVSvzOeRLMHjjhCLlED2QKmNYUnqjAzBBhx+9fnJ5EDPE1yrXlz5wOBt +0fe4ONhAAZ4pleiC7MAoNrxS5dnPQHcBtG8XPOLACzsWpJubxkCv0NrU/k7Z +wDSIlcf7ULpAWENKIs1uzMD19rpo/xS1QOZDyFsqc8bAZ0zYCmtJsUAbmTKE ++CvCwH+PLM1vhKhAcf9ZOBI0ucAZFFWqU3ycQIkOldtARqzAwgrsO7VmmUBN +0VCBOQepwKJrpbVkzJZAwYc8wQ1PpsBiTeUjNK2SQEhHxs1oC6LAJixnsTZw +ikBu0TQEm/2YwNjhB9/AmX5A6ZUWsv7zi8Cs9ZrLkdt8QNtKdqn9Q4rAc2WV +zM1Ce0A0eIybrbmIwGNdCm2tcXhADEpAhbIFhsC3aQXIa/xzQPpytiwuyYHA +vIgnNJIubEAuw6UEjo54wIvxIEhBLmBAtuSWnYlLa8AD5Kx+L1NfQJh0Fu4X +X2rAso7i7WNWXkAHfpgTQ35pwG99GTxTf1xA2IbNkoPcZ8Bohc9R7khZQNSD +FrE3B2XAy8nxFQVLVEAnfZlRkatgwFiPvqZ1w0tA4TjQVCVXVsBJdnCpvHc+ +QHA1s64xxUfA/x9buJgQK0DJw8RABVY0wIxmKXv45R1AIe5PBmLvJcDrP0Ci +trwRQFNBphzyjhnARuX1JmBeB0D/zsYj1aAQwAApxG39Of8/Qac+yAz+BcCg +inWzP7j1P0KhkqsIW/6/PuvxBcyN7z9FHixOHOz1vzdxVV1ClOY/WU1Pgxw3 +779MT+Cz1ZbgP3pQ9m2k2Oa/SKKAQtx02D8sakXJxMngv9GxWWijW9E/C38j +HLvD17/SeP3sIqfIP6qFG10B19C/MXeH3AVEwD+gomPXzS3Gv5NfHBm62LM/ +y0H0SgkFu7+R08SZvh+lP0roFT1uuay/21pij6TyhD/Tt60IRHSMv2vKf/xa +m1A/uI+I/NyMVr/jrn9I + "]], + LineBox[{{0.0002582573218459299, -0.0003506749409034667}, { + 0.0002582573218459299, -0.0003506749409034667}}], + LineBox[CompressedData[" +1:eJwV0Xs01GkYwHEtYSPWLauy26QcyeiUctDqmVYXG9FO1lTWNbdoTZFLbNit +7WKiWipGpJpptGbGYMzPbTwotygUZ2skSxSDdFFC2Lc/3vOez3nOe95zvg8t +gM0M+kpFRcWTnC/3Yg+a7GOqHfoVhmZyCxgwenrs3D3HS0ht5A4W+FyFyqhe +K93wdFRanypUZ3JhRj2QabQ9HwvGzHqEkRIw9ytxsf4swltZOclj7lJYZF7i +0PdbEXrr3vKhuBSE+doxpjeUoLPkZsTRuXLQ5mgYz3GkuNEsNGq2rRKesdoP +Nd4uxXbuD38E75aD5sSqt7EhMgwep9M2/VwN2cHsvHeTMhQeqFRMGSAc1D7S +fsidwrzOWcOBcwiFKW5ua+MotPSWlvXWkHlgTXAasUWnQ1ZQLULdKgetceIn +v2y3GiLe7Fs7l3+cwr0G/0S+qENI3LRBPh9PYTmVKy++h+BxhTNjf4LCjfY7 +6LJGhO5JnYwY4irZtJ1mE4Kd82CHhJijCDBhEaudFjp9l0hh/5urfiPE2unb +LXuIFxjb/Pi6GcGge73HaBKFnm2mJr4tCDahWpOqyRQa3lQGJhG7Hl3JMiGu +3oz8a8SmtC4PR+L+1Z46D4njs/d+cCE+v23evp/42OauffuIx5pOBLwn/n1/ +VkQYsVp0aZF2K0IvS2p2hHg2eeczQ+LQxJM3ooivVftpLSW+080ePkYczxxm +LCd+bNf3+cvct6AxcRnx8NItPV/eR5z8r8GYeOTuCCec2KpvyTI94hyLpv0H +iM8+8h2fJv+X0ioT3IiH59dFjRC7+5w5yiDOU2YuekqsHm7+3JSYVpWTUEAc +Sr/cV016DGW/z6IT/5s8KOeSXjqDh33ySS/XV3q9bOKUuisr2cTsWAtrJ+IS +I2GUDfEb4a4DA6R/x6hLnZT0NrleqKFH7PFJxZ5L9tVwPybXMIFC5bRP/Vuy +T28K7rdEUyj1yhl8Jke44KX1YF0whXbunDcj+Qh7ZFfnz2yicNFzhT83BGGc +PmXBHpLhAD8je6kKQiqn4q7NeRnGHE47wzpVDXuo/lwnQxne9sjjnH4hh+Bw +r52a6aVYP/XUkm0rB+lY+EP6wlJMXBGypjiuCpR0TaaelxRbYsOHLl2uhL/D +R6lgUQmG+IpT7MQVkNHzU4JaXzHuYPerl+WWA6PVnE5pFOOLCdtdBkllYHrR +bYpPL0KVAN2kK74UzIYN9txYJ0FXhVUFU18GnAdtSpUAMS7vy3u5skEK2SVv +o6UuQvRbEznaGF4CyYlqMnnPHZzLbNZP6S6CoCZJUmCEAD8siE6ndktAsGw2 +jHZQgEsMWy9e2CGB2u8HOxQsAbZOOmcHgQQYWxiLnbYKcMb+fJfWegl4f1Q1 +GjYQYM2oQTvDUAKePcmOKWW3MVD/ZEOmtBD+bONEGc/z8cj91t2tzWKYpDna ++r/n4+v+C5GzFWKoyZ9wFrziY+tqRpqlUAznDnbus2jno6vqc35cmhgSrGqn +Z/P4WDFsbTvAFIPRQjfNj1v5qJZXoJhUiCDmyesVarZ8/IZpNviqRQRV/pWR +OpZ8fMRf2/m4SgQT/RpVxvp8PBW90+t6rghYsZlVn/t4KBSojqkFiKDjUX30 +aBcP3x33mnnMFEHrvcO/PmnmoblSOZDrJIJbNxlCXhEPAypntlmsFoGnV5z+ +X3weZnBo5S+NRKDmBHn+WTwsVjSq3lAXQer4tx4OqTyMr0cz1qQQTBzPWun+ +wUPFp6fGXw8J4X+cC+XU + "]]}, + Annotation[#, "Charting`Private`Tag$26046#3"]& ], + TagBox[ + {RGBColor[0.922526, 0.385626, 0.209179], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJwBEQPu/CFib1JlAgAAADAAAAACAAAAId9hwWTElkGLhWSc5TS3wVUehUv+ +KxVB60S0rcavLcH2cL3pZhH3QMic2zH0qg3BctQ53VeN5UB93Zmaxlr6wJM1 +68SrHNlAfV0thEih7cBtwagzCYPQQK8ZbZd68+LAmw+6iflwx0BRVjls2U3a +wAocTIoOSrtAcJV7/NiNzcBKuAxn0Ny1QH71cpwZVsfA62lvBn/tsUD04CUn +5ePCwF4yy3HObalAgIpm29EzusBWSzVXBI2dQFTAaQGkZq3AqTIaqzdamkDL +24WH1AaqwMKd1TxDp5dA2+ZICS4zp8BvI06dA2GTQLYO5NpnxKLAEJN09jRw +i0AUAeOiI/+ZwJfEEstzxX9Apu4TKw4XjcDpceRWmvZ9QFyVPtTVVYvAE/yT +JY9OfEDTyUptzLuJwJqmOv5zYnlAAOeVkTLshsARn+RGasJ0QA4pTr1PhILA +AwrAufpIbUAsnT/fo5N5wISJxp4s1GBAqmDB3udzbMDop4oLc0pgQBp4qfLX +fWvA27pSC3OOX0AM4FAn3JNqwJg5SOtfpV1Afy/30xbhaMDohcmeeE9aQGnJ +xz8t7mXAB+FvYmMgVUDqCzcLyWRhwAWN3b7R7kxATQMt9zFVV8A3wgsWXNE/ +QHkg5HCH4EjAIofM56tiLEAdVK7QSF81wEj/v5tigR9AzZBhBeMoJ8AV7jvt +o8wSQEvRUEjUIxvA0ph5jQ7sCEDttbN3+8MRwPfddrrsxwBA39RCNX2tB8Ab +3HIr2433P9x7LcuNfADADdad5oRH8T+v7EfKGQz4v7Uo2oAGDek/85ud2HhX +8b+2OT6NT67iP1me1JtEw+m/eyv7T2EM3D/tkn2TeEfjvw/U7bGWbtQ/5MsP +Jv0B3L+OC0ArtwHOPzd2oYZOhdS/OmJ1WTzmxD+wd4sAHYfMv2+PqAT16rs/ +NrrBauEFw78ftcA0R6uxPwCN0ZdpDLi/pAM+pMieoT/t72GBUfSnv2FUD724 +j4E/dO/adFbah7/paHbeepc1P5ALmFGDUT2/iKWMtA== + "]], + LineBox[CompressedData[" +1:eJwV0Xk01XkUAHDEmWqQJFsN2bO+ohqlXIe8ykt2Sk2Tx8MoaZxsk8hSj4my +JCmdjMkSldfPi+/Lcn8VQ1I5EUWmUlFqkKRkm68/7rnnc77fc++59+rww9wF +MlJSUl405nKhxudHZ8p+xpF7N9psFtuBm8jTEEwK8JSmt1zCxyIoPJ7sw5ku +RleNVcMi4woIvvU+ru/bVWznyGhcK6iEfhPpOpk6EVbEiNnH5VUg+PHF6XEh +gzvfJo/lqUkg3SXmTKOaGGWGnGC1VQ0UsNmcLLubSH534GbcrYWR9PKkXzZV +YYjW0d5D6vWwovOkIHayCvftUI+/pY7g7Kt03z+hGjPSEpZZPUDIJ33bSwer +8bd5Sskp5iyMVl8ZmDQjeITXUWvjy4LefKN1bp4E65N5WncOsxD1enKqmXpS +vPKLcgQLRWU6BuBFMGDgi5hPzVXXvWjoTTBdefDTDPUPVqrcPh+CG2Z6w02i +WFigushJYTfBoglYviOGBWb4mJ/1PoK+w65OrbEsOLa/U4mjVnrED5uk/n5R +j3uHWrCsKMP4KAt35UMNuH4Ez+nP3kuktg4vHLLhEyw1u2dkHMfC7qBK/5f+ +BBPd58tz41lIVeSEKwcSfNS0FxqOsWAQ0TpqRJ1S1PL5MfWflz3Xb6RWqP3S +8ZJ6WiNb0486NNBc+zu1R0eZbT51TVjqv7oJLNysTJ4toY703ilrSj1PVe0k +Q+38RN/Xktpnyx3Zhrl+Ci65ttQhNvPHWqi5K6LjHai3Te8TtVFnxK7N20Id +kXQp6yn11IdZvjN1j9N1STc1L/3JEhfq8X4dUQ+1Yk7b1znvz0yPnHPLAc9F +rtTu0skKc//XGDb4zr3n7c+N6KKO/iOxe66ea9KuinbqHfc/neJRR82an2+m +blyzP3cztUTgEBNK3Tn63m7BXD+esHsP9ULOiNsMnX+piZEKj3p9tnH+KDXP +qkRZn/rv49d6n1LXv7vLaxYQvB1hrp1P7X1ObNAdQFBlIOfjNN2/X0SWhhG9 +T5UoQH81vc/r2NJpMyc6X2KLj95BFoJKrp/X1yO4h7+5aNyDhV0frmT+NVSN +afYBb2pWsaDz1W3sWXE1BkebGmdKsSCKifQTeVejqfWxC8IchJ6ymZMzn6qQ +m3/7tYciwoSBVmdwQhV+ZbwD1aLqIdrRkVkpX4Vpg6aDMc/q4JRnba4w/iZa +arZu3bC8DppibwRzh8VYWvy2o2VXLUgLFPLcvcSopbO9+GlqDTT2LC2ouVSJ +Wk2a37qybwHn+OpSpXcMNskeHJE/L4GJxvaY2jcMsg1OXkk5Emi5rWkR9IpB +C3i27FuGBILK72+SdDM4kGTf+lwoAYm6yZjLAwb7/GquZUVIoNJ7d8YWhsFV +JRxFkasEXi7O1d17hMGzbieUrstJoHXApf9tFIOhyvNahqUkEKbtceLAYQbL +ho90cqYIFA6F+kaGMnjZNra/bJSARUGIc+yvDErH8Z+kvSCQKmdr6ebA4Fi9 +IGmWEFi3/k3hP8DgxnX2VoZiAsW6Vz9u2Migl2qIBa+CQPjDroUr1jI4ZVYq +m1FEwMlV+8BzQwZb9Qz15LIIODZ4FGzVY7DrRYm2VjqBHCv1q5XaDDoLhf5r +UwgsfZiy7YQ6g72MAPfGEUgcb+v+T4VBGevAzkMxBBpVXtl7LmbQr7zZMuEw +AX87YYJEgcEliQodp8MI8C9knv1pIYNJE2JJfgiB/wFZr7/3 + "]]}, + Annotation[#, "Charting`Private`Tag$26046#4"]& ], {}}, {}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->All, + ImageSize->{518.9999999999986, Automatic}, + Method->{ + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, "ScalingFunctions" -> + None}, + PlotRange->{{-0.2796583570159148, 3.3779842994437144`}, {-5.053291631642413, + 0.37378566830312165`}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.05], + Scaled[0.05]}, { + Scaled[0.05], + Scaled[0.05]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843118418467208*^9, 3.843118442861844*^9}, + 3.843118494326119*^9, {3.8431185764071083`*^9, 3.8431186000968637`*^9}, { + 3.843118678293343*^9, 3.8431186973785057`*^9}, {3.8431187909323378`*^9, + 3.8431189062596397`*^9}, 3.843118970516222*^9, {3.84311900749964*^9, + 3.843119049520691*^9}, {3.843119111532508*^9, 3.8431191160183973`*^9}, { + 3.8431194407616568`*^9, 3.843119477944376*^9}, 3.843120023894218*^9, { + 3.843123802541154*^9, 3.843123805205811*^9}, 3.843126913959206*^9, { + 3.8431269864722557`*^9, 3.843127007285858*^9}, {3.843290913075088*^9, + 3.843290918797572*^9}, {3.843290955112083*^9, 3.84329096493569*^9}, + 3.843291127609296*^9}, + CellLabel->"Out[94]=",ExpressionUUID->"3404f4d5-4b75-4fab-84c3-a9152e98efc8"] +}, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"ListPlot", "[", + RowBox[{"ParametricPlot", "[", RowBox[{ - RowBox[{"Abs", "[", - RowBox[{"{", + RowBox[{"Evaluate", "[", + RowBox[{ RowBox[{ RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "0", "]"}], "]"}], "/.", "m01"}], ",", - RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "1", "]"}], "]"}], "/.", "m11"}], ",", - RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "2", "]"}], "]"}], "/.", "m21"}], ",", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "#", "]"}], "[", "\[Theta]", "]"}], + SuperscriptBox[ + RowBox[{"Abs", "[", + RowBox[{"t", "[", "\[Theta]", "]"}], "]"}], + RowBox[{"-", + RowBox[{"\[CapitalDelta]", "[", "]"}]}]]}], ",", + RowBox[{ + RowBox[{ + SuperscriptBox[ + RowBox[{"t", "[", "\[Theta]", "]"}], + RowBox[{"-", "2"}]], + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "#", "]"}], "[", "\[Theta]", + " ", "]"}]}], "-", + FractionBox[ + RowBox[{"Log", "[", + SuperscriptBox[ + RowBox[{"t", "[", "\[Theta]", "]"}], "2"], "]"}], + RowBox[{"8", " ", "\[Pi]", " "}]]}]}], "}"}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"7", ",", "10"}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", + RowBox[{"-", "0.8"}], ",", "0.8"}], "}"}], ",", + RowBox[{"AspectRatio", "\[Rule]", + RowBox[{"1", "/", "GoldenRatio"}]}]}], "]"}]], "Input", + CellChangeTimes->{{3.843118040540215*^9, 3.843118067499398*^9}, { + 3.843118184385252*^9, 3.8431182063496733`*^9}, {3.843118356128786*^9, + 3.843118442547071*^9}, {3.843118491923772*^9, 3.8431184940349827`*^9}, { + 3.843118575469301*^9, 3.843118599702072*^9}, {3.8431186609987707`*^9, + 3.8431186966078568`*^9}, {3.8431187901689463`*^9, 3.843118905018832*^9}, { + 3.843118951492248*^9, 3.843119048301594*^9}, {3.843119108271299*^9, + 3.843119114566826*^9}, {3.8431193720355883`*^9, 3.84311947729418*^9}, { + 3.8431200232557573`*^9, 3.843120023462968*^9}, {3.8431238022053833`*^9, + 3.843123804836687*^9}, {3.843126912116753*^9, 3.843126912380032*^9}, { + 3.843126984229598*^9, 3.84312700686185*^9}}, + CellLabel-> + "In[492]:=",ExpressionUUID->"997c9c3d-3291-4f27-afcd-2a3c02bfd3fd"], + +Cell[BoxData[ + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJw11/c/1X//wHFO5BwjIytklIqMj60SrxMaRn1SITPryCajJFtWUfbO6Njz +WCGc5zuSkhVZUXZWRkoUcn2/t9t1/fC4Pf6Gu4iV6zUSjoaGZvP/+v97C0gd +D5RjxCwTm7W8V5mwRlXpjBYeRuy1c3h6YBcTplpb/k12l4CB4TrLmxImTJh1 +6X7iWwKmXH+Ddr89E6bGs8ZOsiRgwrhiVe2vjJiKT5xNbxoe+1Ni6eKwRMD0 +r78PtTjJgA0tF/VFC+AxZ+OSxJwSOsx66UrVexw9FvqKc+PKExpsRSrQjBNH +g6U75m/GxW9DS4BQ5Dmf34DP6ROP9tiEksB7dKmNP0Eq0fpyyuYPuPl6W3Tu +4xrgq+t7RG59h6u0i6Q3tN+g5pkR68SxFXDmTRRpPTIHs9dWjhbZLYKU0aF1 +Ha1p+HnZoNfpzhx0WXjgOa9NgDRX42JZ8QyYxl16Un5uFPaFVDla2k6BceCx +2k6aIaixdRyvcJyAqjJS21f7fmDY7RQU2xmD2YNy5mHMvaDwwVCsun4EFgd0 +37bkdoD4GQ1XugeDIJNfvFbj2wa6F4/4yz3uB/HoCPoZzldg8M0sB9vuhY/b +Na95/7yEkTlTFlxjJ7D/1sPLyteA/xeDIyNcb2HgvoWoY0QZ+IYrBovh3sIQ +Bw0zjVkZjCm/t3yw0g4Dhw8cqJEtg6zXrnES7e1Q5xFteH+0FMYPGRjXereD +Sd1LqrdMKWSkJMc4jr0BLUXeA1oTxVBk89FQJ78NHk2ojYgaFkKxLRebqEYr +sCbxrBXnkMGglvQyVqYV/J10tsn+ZBhgi/1LK9gKV+bYl16akOE4q6DW4lYL +KCx3+6tzk2Fn4UvySHkL0O4YFdRHPQd5cmm+DH8LzCriS9UDcuACL5dI2QYG +9UHsTo0bmUDb+DXTbhqDdx8vzknXZcLrF0fNj3/AwKuwvO2LdybkdYskFZZi +UBM+2vZj5xmoT6rktFtjUChvE/2D4Rl0YJNZo7kAs2wcelfF08EiweTfGKtm +0DZTH6xOTYYfRnMDm8RmCKX1qHa1TYYe3Ns6K6FmUJIZ3bCQT4YFz2Vf9bEm +OGGf1D7dlQTO7OMp4gZNQNvl5uJInwTLYsW+cdqN0FJY7RbpnwAHLjwhVSk2 +QKhy2bhyaixML30j+XE2ACbj6lnhFAsOFGuk86MeLp4pdjEjxoLEUIHzKqUe +elhOvDCdj4F2ddlLRpL10LIlGbpwJgZOdOn7Vxytg239iprwj9EQuRvlrs1Z +C2yOv3M8ZyJglyfkbO5aDTAs1LR1xkXA2OHm9zRdNSCOW/coOBcBPQVmx1tC +a0B40rp7JjscyuXPaZA2qyFjVIA9mBQG9tukIbHRKvgcoR1iwvAQ3lwtKymt +q4IXR6RIc80hwH72+qZcQhXw8mzqbHqGAKuH8+SFy1UQpx0bRp4Jhr+GND6P +oRJsqsnqMh1BoKKbGBmSSwHNGzrZ5qYBcLJTMF4yiAJFHQp/mD/5A6OIYfuQ +GQXk84iX3tz0h/rV7gwFHgqMqX69Z2zkB7pZ48sHOCvg/iit8IHbD6DdcjCc +f6IUwnO9F9/V3AOT4qbcTZ9CyGKPTnnNdweOaR657HC6EDRoMm6EY25QmkBb +N75ZAJVnDngp33aDvofrlD7PAuCfEm/DXFwhze+cw7BLPjwM2wNKmxP8PKRr +YmqdC4IbfGqbnbfhPLkqblMkF/hJJOkLSreBYfrYwcQJMlz3KCr1yLEFekaV +D4NmZDjqSJVz9CPBBl2Mm+fN51BW0WXdp2MNZBeDKvYr2ZAdSpk1DzWHUlm7 +313M2VAmspZGk2AGhvVCorzJWdCQ3TVDyjcFRhymwB+XCdzZvsW+g8ZgpNTl +rB2RATFSK1Mz1oaAfBi9vtBngNIVXomyGAPoz04s9ApJB1a+tG6VV/qgNE80 +KvNPAwkJvHuZ1A24SZo6R/RKAYUl17lFeT1YuejL/G09GQzFQnpX/K9C96XR +qDS3ZCBVeTDfS/8XzAZeeuw5JoFuR+mSxbIuNGnuqMxZJcD48+/9Qt8uQv3x +au6CiXigkz4X1Uq9AG0sqzL25vGwoenKvJtyHkap8/M/jOJAVthfU95GA8zv +NrwT0YsBrtAtfvcSNei4Q16jIT+FJ/rMAn79Z8FWqqf5FfkJ7LE5nzvKqAIq +ONzpy3lRsOBV0qtBVobV80EVPPmPob+oLgnolaDr79Lx2fxHkNVvfWbkrgK0 +NgYVRRRGwGj0vYT5h7LwIF7az7woHLpDA++Pi8iAxp2OKaXiMDDAt8stp0lB +WOyXnyslD+EEs/2/rDliYL40Yd9dGgJCOQZS9FePQ7n1V1pKWTAkJTKHIAFR +gExeyfsVgTD35hBvKLMwKFeUsryyCICpore/jloKAIXgLhFv5QfuoeSzXdO8 +MDvK5WNn8wCsnIQdGXK4gHlXqoVoex+2HvJ+7E/hgMt39Tr57e7BgDTF+nYS +KwQ58Vr+tvcCtuaTV666McI6QUBrxNED3tr0+tkAPRy0+6Xb6HwHHhk+HMkL +oQX79YcOauMusOCeKSvps00lzygnEqYdQEotL9x56Cc11F6tZOirLTxQ2ugU +VVuhbrr2qRYuWsH8LP25M89nqNe6zJo2xszA8Z5FrtqLEepfQSGVzBl9EBQf +JpuS31NddFsV4oZ14NFTf18RkxfUkee+6wM9ylAbdFwFZxRDzW1x/bx0RBi9 +bpWI8WEwpwbJcd+0/K2B3lbyHLhLW0BdLN39Trehh/bSVmsIKS3U6kQmCZNl +I+THihMPt+ynIjDj+7RugY4SvDtuxkxQR1O17+JXbVBbWqImaC5Qhf+y8Z5e +skPLwwzNv79+p2rcv+viNOeE/ujuxG5xbVEth4Q9P9xxQ7sb5ZlG/+xRHdQP ++6y4uqNS91Ga6NR9ECmWGMji4onubNipprPhwQa3QpV2uouMY/1qB3aYoUvy +idM1B2+kXiaiePgfdmDcG8O87XzQ1mRPkjUfJ7yxFFfptvFHUPzlWUE2H5Tk +7875ywYiM77zWc9VBKHKMs4zRSYIMQgxySThRSDgD5/GB+kQtKc6s7iueAxo +y/yb1qQeIoJFNFek9wl4OLNsyyEVirzv2ROth8XBeGWo2VQiHEmw8NSNr0rD +lZx3OaEnI9AKkXUb+mVgmFJzrFI8EqXSLLLIqMkB909XXzaxx0hJ1f3Vspoi +/Gv/yOWH6FM038LO2L2qAnhlm8QVgRhEaYxYLJhXhUe/9oJJBTFIe/HW18p1 +BL5TWf1GTbGIa6v4pPhhdbCSMVEx/xqPHok3y318fwG8zsuHzboloL5D1w1+ +MF8ChZgIF9ftBNRwWkUr30ALLGVfmT9mS0IalxmU2vbpQl361YrZMylI+MeH +Noepq8A+tzoU0paCMuj5+oh5emBz3FTy2NVUZPn96j/mTtfgSvyXrDukNMS9 +M+zizHADrkTU58rHZCDyrfWsfjdDyGrWn1L0yEbD17MP2rLdgvskSsjz+mzU +3UyweMVkAQ8PftJi/5uNksQlhN1iLcDK08tuIyIHXVce/i5FtoTern2Lw5nP +UTl/zmnRCWtwrBgd5XyfixpktMZbwQ44znLQFLLloRKB3wkqJHsI46AfUTXI +Q2OKj8t6mB3AQ+x8153JPOTrpbCsY+UItp8CmrY38xGub+l91HEXwJkt5WYd +K0JPGm6lbBLcwYpQKNdgW4Q+JOR6D9q6A5makjpQUIRObH16lvnaHXLIGBvP +yWKUahipuBjsAeqV3J7N0iWI67U9nSOjF4gPGsZlnipDvxqmxjc0vSEhweCi +RwEF6UYFk9RuBwCd2dcxhbcUlPznBi3dZACcStox2JqnoKev6zWHpAJBsmmR +IfRkJZo8jM0OFwXCJzJqry2rRBGbL8OUCoJAaZCNw7umCt07eG6fTl0ISOrR +1Ke11iBw+9mkLxkBgXf1v9nM1SCHoOmCKr8I0BrpXJRlqkV5No7n43sioGiX +YPzxWi3avU45Ne4ZCQGHrKJVpmpRt4K+TVbbI9hoIxUl09ahrD15ltKAaIhl +i+MsVm9AwV07wUXFsRAmdPrykG0DErjNbuo7Ggta8QLrDI8b0P05m/SnzHFw +kudSokd/A9IpbBe+5xoHItq3+jxtXiJjT3kurVPxwJ/e/+JoeCN6EaRzYXUg +AW7a8dDd7GlGLyzkFnaIKeB/rTpb70czEvtTcpHqnQJvEsmS//JQ0XSwRGAT +JQWY928fN7hFRe+jL5q5iqRCp9UlxYRVKpLRsfhSRp8GtPUBmQ54DEHrpdWw +kXSw7xNtuyjxCnG46Ql6lWcBy8Ahd7x3K0qxcrmxQZ8LdW1lKH68HbXUlzj8 +YiuDQEFldpXRTvT9ysyvNeMaaOxWxNFO9KIO1Kt3ouIl9Mc/Oddh348+4CwO ++85gYG7FbNJmMojceyN5PXXbwH7+trHI9RH0XHWrwnTvHaw5UucYBsZQB2cz +u95gD5SbJ595eWoCvUjj/keftR+mc2Udg/6ZQlHpDzxepQ9CbMBpqZ7EGaRR +uoItHBoF0WitVDnROaT0TPDS4f0TMBj6lyhjvIjEDsd6ZJ+ZBtWRUyzaXCtI +zDbuqTv7HHw6GXDrutB35HLn5nCn9xLwPrmWLDD5A00KJfnyNa0BTmmaO+TQ +JlLxWV2I1v8J0dyfA/8KbKOiZetq/bUteBFV4yruRkPkvM39malpDzj+dBSz +iNIRY9RJ859M6DBqmjQuXZmOCCb0fLMedNjpfcZ+F3XoiPzRbqW8UXRYEU74 +9193OiKeY8lnt4kOyyPvX1xtpSNemK+sfCtMjxX5CTzld6In3s5vOfhqjR5b +yqMsDQ/tJ2bT+SmZ1DJgCVxWuJnV/cSAzPlF234G7K/iWicvnoHYedSpufg7 +Awbx3Dy0pxmI52NCtsek8VhgzYOtoQwGIqcQ7Z/tEjzWfbaQbc8JT0xtDSSW +VBIwY69ynuFwPPFlm8iRwH4Clh/e2j/5HE98xvrob9IGAcscUDKPHMETvyy8 +tos+w4hFDXI1KWkRiDZ2WBelnREbiCm1IJMIxAyuEWLREiO2WyrwXjOYQLyT +s+2ywMqEnW014xNrIhD9/nxm0jRiwtqc+XkdRwjEgvINvIU/E8YSnMYy+4tA +HNn/+fMbMhN2a/Ln4yRORqLFQOTN+++YsP/6mvg/X/8HlZT3Hw== + "]]}, + Annotation[#, "Charting`Private`Tag$54270#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJw1l3c41X/cxo1zjk2FCiEpo1RS+BE+J4nsZBWFysrIykhlHYTI3uKIjJKt +zPP+ZvuRZJMtMztCwvN7rud6/riv+7r/eP17X9dL4L7DTQsaKiqqzf/yvz35 +rP9TYTwB414VVd+xoMNoJvBLy74E7NGsOvuAGh1mfUy8/6YdAVMOH/kwfZ4O +a6nJfe53hYDtRUNo+B8CtrhsJuWziMe6bzqnMkURsOpU17QidTzGXBlTrdWC +x3bSH65s8+Gwg232DRoGOIzZyP7bCDsN5hWw+bK3jxr7d9lCSspkF1TOSAyd +jdkBJX2jgMbPW0Cqm6B6K/MbRDZdut1VN2D4K0/FiMYa2D3n2jbXXYNsiRmW +u+xLkBlGExJ1dAXEGpT7fNXmwHDSX0f/4gKciM3Zk+KfAoPam/Ui87Nwklkz +SbJlHAaclG6ZqkyDQ3s61TOTEUg+I2vl1DwJJA6zE05xAyAerNHeUD4Ooe65 +ceF1PbBV4FrI0T4KSaeysnq9O2GX+mJA6z9DUDDCr+bY3g6vZfueaosMQLff +OfaRyhaQb7b/Y87ZCzkqOkmNY/XANvo86t61LhgclFVBhRjQFiUUXWvrAGnd +0Jb7npUQwpL5Is27DWqqnZfvzpcALZVx9PpqE2AKnKzuMh9glnOOP2GkCRpo +Fb4tsX6AC4Wu6QqtTcBD1fGN9CMPWMnsHjGZTRDapvkSH5EHEY2egg8Mm0D7 +OfcPq9n3sLrVvhVc0wj23TUBOanvgL/Yimf+ZQOEkbST+QVyILPYaOCOeB08 +pWFvvu6VAbSKOmL7vHVAs5LSUWOcAdeZcSUZTHUwvPXXW1smA7IS2G7+mq4F +o/aC8eb1N6AK7DF5r2vBxKvgKIf9G2AT/cUZwFQLlMnlKrYH6aDlzVJeM4tB +xz/P9p1bUuESI/dGei8GfJ4HQ+NepkJT932eoHoMBAtaPNc1U8HbMYnbiIxB +xgGWbNOu13BxwT6T4xYGhfXXjNbHUmDxwMZwXTjApa+W1sfpkkGtY7MgWacG +Xs1IL3Z4x4PJF/vzcuI1IPZ5tWBHIx7Ii54vRllrgP5qqKcRdzxoReiVnGur +Bjl1F+3SsjhQuXMsYUm5GrTLJw4NLsVCk7Z7yKpcFVRZxG7/tomBXl6FlZ+n +K8DMSBuHhURCEWmhbYyhAjR/hc94m0TC4UI+jv7ZcmhWMjnlKhEJTfOR3V+y +yuFR097Q/mAEOKuSoOdEOYSV7rvEn4uAkHfntPV4PoEnXOUthjDw3+s9tMFS +BmPiv1Xne4JA6Oma/6P5Ugid3PpA9g+ChjOMOvONpeD5/FVv38Ug6O0Mn571 +LoXq481zzdEvYPjqcBT1WgloWJ9QrrgdCHgPDd3XvcWgLDgtsbBLAuORvsey +JcXg4eTpUV1KginsXv5AeDFoWTrosNmRwOwO520+1WLgll08Lz/kBwzjhdxY +VRGcndeuqa71hbP7T+BeeiG0hZLfN93wBryaiAefVyF8TzWR7Gn3goHoLrph +o0Lg8fGx+anpBTOXqFJMOQohmjemWEL7Oej/WddcZy0ATYWoQgmTp1AW1BdE +M5QHMtNulsL57sCDtzF298gBKX/bWa0jTuD+xatvVyoHOlS0iiVrHMGdt/1d +4EY2oODsBnpzRxDZcZFPc86G9Cxxyqy1Azw95mb60y4L8tlWuv7F7CBgiWVv +7l4m7Gt356W0WEGmw9Ji1PFMEFNe8OK8aAUft9rb5UczgKojWdc21RJsM/nU +Eu9kgDkbP1OBpwUIvqV3tTR8A2cM5Ctfqz6AnJklVj1NMvgX6F9I8TMBh5uM +nFzMZFC1VxywjrwLzDFWmhCbBp/1Dbk3M+5A3fu+rImIVFB5SuE26jYCxeS7 +VzVepIAujj/j2n1DcCbOZS3iUsA78PqH0+EGIBqUXB3plwyt311fdYM+qL5n +bRt/ngSSL4iGHmJ6cPhhJjf5cQKskuYkGC/qQFVkZ77+Wjww/fQSP+51A6aQ +ewSLYzw8VROq3knUBpzPCIO/bRz88+jOWcEFDSi2eOQacj8GbJ/XPlP+qQJh +69GcOmPR4GCjcmC/RhnUuatSuUyiQevD9Qn1hGsQfmhSpvB2FGzNGjhmPrgK +Mo0xl9ZuRIAXrYoTvFOAGlvNy+/ehENnT9a10U45uGAquu2c8Qp+hcmbJjBc +hn237AHmt6HQ1XYrb+CNNPAo83wZfvsSzk6EPX6Al4IKu3nNoqwQEDO88T7Y +7RJsC6kmmuYEAW8F/bV8/wvw6GrxJdncF0AIeE2XLyAO3R9F9w+/C4QI9dnl +xKSz0HaJqq73vT984f+b30MWgdMkFFaRRwI2Hb3xHm0hmH2tKZL6wQ/2a2mP +cB07CXuFqc52BT7wdSExzY75OHxlDJ96b+YN+Xf1SnfNjgFK4Gz1vf8c/JwL +2gsmj4Jmd4WlkflTyFvvvbBK5gSFGItgScsnIOrCZFmXcAh8smhx7NbusCr9 +oFA7jg0CMe/stYeu4CYZWYIcGeG9XaNSt60LkB1qr9wGPLBu1ed9sneCrbHT +EakkaujAOs1kRh+BpB1OVtBzh7JBUrpCmLSBDKoFE+u+dUpdSE1Kz7QlvON3 +N+FXWKJscvNyZs/fB44DtRXSb35QhGIDE9aH7oJEcN8HuY8DlIufBJpf/9CH +h2P+vsYZrZTbpinbkf3qQO4j8QoYf6Rsm8vP9XyVBhYdt3M0tyMoCXGfhn6e +OI7slp6FedKZUK6oXVG8t30V1RM6vrtSZ1OSsOBi3IYOaiwOusCQUEvZf8Nq +Z7R4G41zeDIF3uui5Av9VhpYM0OVTsaihhFjFGQg/oOwbI58OcefVCnNUcod +e5ykflqjioZXSxvTq5SderyG9YwdmhvkyF/l3KJcVXSybndyROMjdQe1zu9T ++n4pn593cEYhJ7vGSIm0sJ4Tm0v36DGa2ep8GnaAHvYrHQjCdm4oh6MLPv9l +htPys4+v23igkgOzZ9nOH4QUVvaTdtaeKKBq5cENbg4w9u+iqjL3QnI5yssB +ZG5YqFVTdrngg1KuwUX3y3yQYlQUEiLui8idBmme9AKwh11ZrDlHQle4jp5t +ljwF324Fyg6e9UeqKVug5yEM1GKB5ltiAciQX6tVsF8UGKvW82TPvEBMZ+Ja +/ZfPAcsu6Y/J6SCkKuZ5PqRLHGYS2EgBosEo1qeva0VeAp7wWWYMCL9EAg/k +qRMUJGFGTqOMfDIc/WnH/yuzfBns6Y7WYccikOPiW6q9GXkQ1Kb8lMiOQLLp +HvQrqwg8J5cqjlVHIl1d4aC8Y4rQsLG/TDcdjXZejHSztirDK/XzVEGOMUhq +XSv3CPN16MuVVmXciUEnw4vcivVVQXxKupbzQByy4yOJJ9NowH7Sym9V2QTU +Ex6nGTV+A54kfn88XJ+AtLqsrfUydUBowD/TRTsReVgtPr1jexO0R5P0c8yT +EHVO/4QcQQ+olgeyLoWnIH7ylxgJB0No7jtxysWZjCoTZQghLKbA+Ntpv+cT +GU3FTWGyjGbwbJQ6T3aXjLzyXhR9DTcDNmnDJIagdPRY4c9hg/R7cGv6bDrl +9Ru0FxYl/mX4AfAwnceHtmSizx/dyILV1vBv5lgcG9tbxOfvoGFz7yHkMzK4 +xuq9RX/TJpxb6W1A9/gjyZzRt4iWqmDy+13b//jY1/MbWUik/vFRMYFHQNk0 +a5o7kYvK+Ysr+QjOoNCZdGLpQS6an50q6LnvDNve4nPrmbkowLi8/DHmDC1c +Kd8YhN+hX8fxdjZeLtDZ+QN0zrxHfw778YjjXUHy1pFE00sfEKuS0Bq1ggeU +eDX1amcWotn0Mvs0Y2+w6fkxtFdfiOi2q2IYe7xBT9LGq2CqEE3wU+nkCfuA +CU8omVO4CI10K5pqZfiATaRN1UZuESJydBwcS/MFEVI5P31hMZLTZZAQyicB +beukdWhNKfoZoiGTyhcEXDbtt6XHS9GQeWNFqkMQTGT15fzAlSG16YcnBT4H +gaCFgLOyRhkKiVFTfG8RDGFcncLC38tQoBKX1bfSEPjEROox2/6IFBRx4eq2 +YaD35oZol3QFCn/4Q5AzJRKWUvD8ncYViD30dHJ8WyTIDTL87fKuQB1X/l66 +vRsJFwy9no81VSBaf6/4RNMoOBU/eJT3ViWyK/4UyiIcDXzrcqEiT6qQs8HI +ftnnGJCklL7MrqlBrW2fIPdUAjycOPPj3ngNOuvzU3LpTgL8Mk+L4sdTUGaJ ++bR9TALImzfQvNWgoLsxlEY+XCJQjdvm9n+noKXP1XLXphMhNtd+bPoPoMYS +37WksmQI1t6aGeH9jAZZlQeP+aSBzZTrP7nmdWj12VLEmfEM6BzQD46tbkJ7 +USa+DvV5MDXoo8KT1oaIdGI5+IOloKh25PSdog7EdUNR6JhEJZzf2i6/fKoL +pcl8gU1fDPqvqoskbfYgM6XiXJ6Seii+YHrGqrkfcVm95t3hbwGFildOshxD +KKq7WDAzoh2K/+6P9DuMIjcqT7sVsU6gJavcjwwcRzqk2i981j1QwhBmHVE2 +idil7DMmnQeAo3P2mxbNNApRGWq52T8MBU5ConeHZ9Gte62VD6vHQVDsQ0bv +yQWkIpaooXFgCpJu0Wp+r11Ga05b/VM9sxDtl+smRlxD2l2rTOS5RUhxyBnT +3F1HdiGNdBubq/+dnu5zJ5MttKtpWc7SvAHClFdTl8R2UUUd/SHr2ztQGJVX +RMqkJqYH7ROEdagxPwu+mphSaqJHg0P8K3NqzEbPqZRST03MHoNPhz2osfj0 +m8omU9REfGV9f3AaNfY4Plq6U5iGeFk5TRC3Qo3t/g3y/lxEQ/SwHj0ZEU+D +TQk/2/L/TkuUFeEJd6XFYd5UxVNiy7REj7WHUrE8OOyi6yue3zQ44ld9samx +izjs+kuat4uncUSrzIIje+Y4rD5rmuj5DEdcoDU1uNOCw0baVuL1hfBErxVr +lYPJeGx0aeWErByeuFAY1STyEY/VDTV5X7uJJ/7ze2zT5Rse85U8J9PphSfy +BwmEZtMTMMGy7fL2ATyRpezPSNQTAiY7Yda/voInqpbTiBvGETADYad9JXoC +0V2BlK1bQsDYduePWkgTiAqHyQ83FwlYpQ7LnKQ2gWh08sxcGhMdllWh/0zC +ikBsYObd9hOlwybVla4ZexOIAzhRmXSV//b/+TXx//36fwDT1w3o + "]]}, + Annotation[#, "Charting`Private`Tag$54270#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJw1l3k01H/cxTFmrNmiRdlKhLJTUT5TUURZSkJ2ichOqOxlzwgZisa+RLaR +yMz7W2SJ0o+QkCUx1qxFoqfnnOf545773/3rnnPvS8Lew+QaCxMT069/+l9f +I8RoBvkSsDtj7ozeY2xYTav3xRVrAiY5cv0RTowNa09xKU7SI2AjbuYeNqxs +mDPudJuqGAFzV7EayOkkYGuhchKCHXjsdbPlLQNnAkasUbFfkcNjsrNc799n +4zHNlVOFhiysGI2VbfmrMium0eK6YvWHGaufUv98+BUzhgVxyW9HmyAsGZas +5bEB9bLvhLVK14DPxCG2RvwnFBDH3C5rrAJw+tY1aC4BmBSnsektQdNsGK/H +nzk4W/rz+Dn+BUivdvFr0JgCNuGMMQ+ZWdCL0HlFE/wO95L5+jy/MeAm0Wzu +5JtROLlS70E7NQF5Dl7CwqZfQWUfJnbt7Td4mvVn4gapH9y2xKvhxSiQl0+r +FkEPNH9NV3RvH4Zif1lR87td8F+z5brckUHYb/i3+3b7B3iU1T1sLN0Pm9zb +VsXq2mAiV7urT7AXpK56sPQPN0GPdqHRTp1uMGDraWgtx4AnSGKHeMdHOKTg +37sYWA8e7t1jISEdsJmjp648XQ1L3g/nGIst0KPH/9n8WBkw7fhbFf+1BTjb +eI508ZTB69AnbirtLWB7ZOnj9fFSiL64URGT1wIWPEU104ml4Fb7WN7crAWq +Gnl0DRnPwPIo270wWjMIC+EWkrNKYC9vn8x43Fuo3LczkV+iCL5oPjSwUGyE +MDUNodPBuYCp4qr/iDRC+0V9/ZeWufBRossgm6sRdlFVpM8dywXD9b98ixNv +QCOfc7hxJQdW5AXSSzLfwOHn22p5b+bAnIZ6WgTXG3D6FePN7ZANzfw8vxsY +GBDv5ZV7tGUB5/AqMbsXA9u+sGvJcVmQWjnkGdWEQcL61TuL57MgwDgn3JyC +Qelg/OrV7kwg5IzLC17B4Ghvp9rSyBPQLn3r3JgIkK10VkGM7THkeFiNPTam +gYqWycLHkDRQ4TjkclyRBihFDdswSIPq2Eutwzw0OEUKSLMQToO5kEpmhY4G +COM6FkmteQRk286t+TMNUFjd7vVlPhUo5Ss7l46/Aq7UPvKvGylwlvlkyaxs +HWjXinzHYpNg2e5u9ChHHTiEEt+FWieBjq7If58ZL2F3UR2Hv3ISpMnxpHwo +eAlXyviamAZI8OhHWnjvvpdwOFDRmixPAqWVcEHTPbVg4H5tvhoSILHszPvV +bTVQy+HMmOmJBo95AS2PaSooPIw7mxMZDSJBHewzzVSQsJVw7VeJhoH7ro+n +Qqjg5MLi+y45CjiHTE6yLFWDl1F4fb35fTjawL2c2VsFKu3JAXObEbCflCmg +WV0Fs3OyL2nUCCi5I3XuS2IV+O0Ui+NziwCb980LonpVEPot1UprMBzELrGU +YK8qIfrgWhftTRj4soecsM+uAI1O3cA2oxCIgs1l0eAKsNx4/qv3QzA4agWG +DVlUQBU+WWLufDBIj5/htxWsAMHS4IuqhndhYmyP9CpPOfjmZkmpWt8G/6d/ +EW6wFDZI7gkHn98CucvvP90KKAL7CyOJhju94FxN1vkt9SIYmcm9qE7zhK2o +GpGo1UIYYMPZcTh6gtx7rpKn3oUgUzgwNOXsAfGiazDjVgCqvFOt7ZgbUPOz +daft8qAGd8c8s+06fMnYUEsWz4N1x5r9O1Suw1t2e0Gt4VzASTYvuGY5QcPs +WGz61VxYvteSXh50Dai33pY6meWAi8QL+Sw9B6gKX1K/dJ4CH9asmp+EW8MJ +4Quau7kpsCc27ZpLkhU4V0Y9gtSncCXbLOtX7lWonvCcGiNlwfwB6USLTxYg +QGQNMoh6AoIVtn907M1gcM/7L3OsT6BfMZZJLvEyRBt1zyWFPwaOQtzgJzCF +7SFKa6N3M8Br+mRGwKFLULucc4LiS4aKG0yOnCrGYLTU0Gm6lAZHRmutxION +oJDTvnyb57/eTxjx/kk3hMNPCQciXR/B3Sltn/2zBqDA9zsm1j4FPtPGSs/M +nAWMvfCg8UgyJB/4ovmXdgZ2BV+v2G2dDLEm2RwGZB2g6v/UrTB/CCKqUqQ8 +h9Og9loTLRmRoJXlTDqUaEH9rYYLJTmJsPaM4DLcdRy2T/Rw+uQ+AMcxp2Ay +hyZc+SXO4M6Ph8ZI9ab+nCNwoHjfl6H8ONiWfS7GAa8OTcNk88qCWFBkPUGL +8VeFbQsJOTZF0aDCq2zyPFIJePLGj2sUR8Fb/jaB5xKKEBxiQ9hZch/YEl6x +ZGQcBlJ7UGvvs0hArewNPZSDkPx6PamuNALuy3DP9hhKwds+80NZZeFQRqwX +271XElLOh/u5lYfCXtOD+W7c4iB/22r6mW0IeJPwtE3bvSBWx94ZZn8XZP7Y +9JR/2wW2BnMuFo63IX10XH2RIgSSastxak6BkHm/1aWRLACBN3CE7c63YMi2 +tcbwES+cb2UrXnLxA5KeeS3y5ISwzWmdT64+IPjSWNsc8DAbSC6rvekFTayf +krIimKHh5ZrdsWF3aO/nPb4/aIPu9JpwivDtBrjr7LZ17luh1z9Wy+yZcIJC +f6qNmNY8vafFVqhw2h4MRS/XH8kZp1852EZeGbQCg+xzz4+/6KcTlzVbM8dN +4c7PljDL3Ha6x9fi9aTP+iDcmyEiYfmCLhqGpno6jwBV8748izmJnoXVDs7s +E0cPpEYSgtis6UE6Z07ZrZ9GD91HBvyYC+mNGuQq1lVj5GlYqMRBfkOXV9Nw +s5gzR0zjr7ju23XTnT5J6fQv2SLqr3oZM9IInZUrfpzwwxFRu+lBr7Sn6EY7 +Pb3VZ5zRhe03f6xOLNI7eH4ZOE+6IR+JwvJFoTV6w/I+lw9enojjxzXBCwp/ +6aPnTypOe3gjHffJsYh0HByVni5hc/dFLyRXgxP42IFYq8Qu7eaPNoOtm17/ +4YakuUO3dG8EICmr3Uq8CvzQFbEo5eYchObfXXA2EhaEFkFl/CvHYFQkNf/z +HkUYbLh+6PoohaK+T49P3NIUhZie/oRYxTBklk7OD2KXgLPduYs0+QjECG1V +b1U7AOIZT9GXw5HoQ0p3+6UAaSi3d7mxduge6rr5rH//ZxmoryykashFIf2w +fSORP+RhLkgLZyMbjfR/PtWM7VYE7aHJ+HsyMYhPd8/Ywgll4OHHyvul41DM +23hBspYa9Br0t1AkE9FBM+2ZYz80wcbU8j22l4SOrVVLbU2egN9WvL+UC0mI +hdajsrCIoNWS1LK3IQkFdK3klu49BVkK+3HsE8kokJLDxNt+BpxCxgWjPVOQ +Ydxi/05uXTCOTbbh3EhBx1dTS6tM9WBhUnxQiO8RehV+0PsxiwGsG23tOqdB +Rle+Td95OGoEkccOpQ81kZGX/t/Hl/KM/+2/YruPYTpiXeCiXnU1Absw7bAi +xwy0o+Gb5AnCJaiULx1STXyCgn66zyt7mIFmjewFH28Kij1qS4ndZgOMwRuy +vbUUNCAwK6DJaQsxFeufNTYpKJK9nv1joi2E0vzbOaKz0eEWlcDL2XZQT9EZ +oGfmII+UhmfvhxxgNHDoYnxbHlIREbWQbHCG/v12DF7efNR8V47X1c4FYm67 +tKVeykexn7a0OthvwExLbWLRcD56d8W7cdDKFaZyL7LOrBYgz9aj+w9LuIN9 +iK/e9L5itIelyVuM4A3XhfiL5h2KUY49yb3X3hts3Ld7r+YVowOm4sF+mPe/ +3+ZnxyldgkQnLyPXYB9457vL2kTuGbpMtuNWwvuBQe0dU1vVMnSSa1ISpxUA +FKaNS0Z5FWiXrtHxbMsQ4LIQtfrbVIE2LRdvcveEwPSfIqaK7xUolH/pVJl0 +KOAFu6R2SFeiScMtS8PcULAqKdb7WVyJUkb9JUefhoG+qBGNvaIK+e9S8JJ+ +HgG0S2fFE2hUlFUZ3fFUNBqwm6kCR0epKF6Qz4TiEQ27Ty/bf2etQQYdlNf7 +XkdD47Za+bMGNUiGssgovRYDmbIJDOmBGqTZWiXaTY2F+G3UDLv1Fyhl+qb1 +edcEoGiUj3UfqUNeopO4HU+SQBkX0ttlWYd8zZoDyB1JcD7Fr/JTSB1KOjXN +a7GZBLLaxvKjLXUot0HZLcPmIVw97EIXuVKP1jk1jHmkk+GFAl5AJvAVCggo +f/jidQrkVeXnFdJoiHcqP6X4ABme/yeyYjdKQxPDl3/PXSXD7wKddDE8HdWn +FqbfTCEDAWOs5hnQ0aH6clNR1nTwsa32+jxAR8yNaUHaE+lge8H81MRvQDWS +tc7pNY/hO6Oc66vIa3Q8KoNzd+hTWE1X9S5wbEROM2uXD4zmgqaKX3BsQwuy +chNYvthUCvs/yo79zupAoWMP3n3mo4Jd5WXakcqPqKj+5fZypXq4o1TXvCzZ +jdLK19TuhWHgfofrGt+vHnQlcI+GalUTyGzyvg1s+Yyohg9DLUXbIDf067Nc +gUF0Pzy7Bkv4AN0dDReNbw4jzYmCpiTZLtihUTtMiRxFmb1BsQ6OPSC34Znz +oOobUq/5bbfs1g8LFWb+Q5vfkWoX6f2VziG4uCPfsqKXgUws+jTCa0bhE0M5 +iWnPLLIR1dAax3+HhtOJ7L3UH4hxuOIg1swAXVxU8ozaErJy+j210jUHbzxi ++crnV5CxBoXJc3IRMklPUIneGvrKvJufu2IVQtYEU0O2baIX5kX+OLUNiAgV +D9z+gJm4Y1WidkSNGSvM6rXbzGQmevk43hbSY8bUdzMx/pYxE49w2TvcuMqM +iSdrFxt+YCZ2nv/zzi+CGVthpsiK87EQ77a22e7pZsZ6y6TzXpJZiMMb+tOT +ASyY7UntzGjAEQkfOzXmRnDYx9HrPze7cEThb3sV363hsG+Mt4dSJnDEZHT/ +URcfK6b/JN5TkoeVaH29z/36SVbMMy71zJA1K1HXJy2vLI8VY1++JbJBwBO3 +7A1LtvvisXUH5f/y9+KJeuIj2l8f4LGSkKk+f2U8UeRoxeBAMR6rEBZ0SLDG +E7+LnRbzHsFjAyy+Zmkv8UQrTgcBYSMCNlYwHBbSiSeShFBZnBsBo2w1yiRN +/MsP/FGiGkPAFtb1KcpCBGIRU9IBhUYCVjD3SK/xEIFoVPC9K2yEgO27Sz0Q +ok0gHjwzcZpni4DVkR/kO10lEIvnZoR69rBh/8fXxP/n6/8B7t74WA== + "]]}, + Annotation[#, "Charting`Private`Tag$54270#3"]& ], + TagBox[ + {RGBColor[0.922526, 0.385626, 0.209179], AbsoluteThickness[1.6], Opacity[ + 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJw1l2c81n/cxbniMpI9MqOMIkn8rfC9ihSRkcj4Syiy91/2TkbI3ntndLmQ +dX1+GUmISBGFkBHZLeTufr3u+8F5nSfnPDmPzlvIysXgDo6CguLHX/2vu5PE +mWj78NgWdFx4XUKD6Tyk2jgg4TFqjMvyajQN5hzixcCXh8fK1EuZfznTYBq6 +ovnggcfeGEten1OgwUJMeglVAngsdyL43errv72fZZmDvtTYRda5dcdDeMyh +fSvbQIsKs3wssWAWQ4WNVZTg+xVw2KmDATsLKhy2XvOt4knSPpTYE9Rrhnch +v08tQXH5J9iqrDoV2n6HnYbrvSS7HdAMSRvycNkEneBp3UsOm6B1mjWyTeMb +nKyQ/35ZYh3URVpJRLcluErQK5XQWwEx82KRGOV5yP19ky6EYglq3F75X5qa +gVtbYiubN7+Atem6sFzwJ6gSjuBcGZ2FAKOw8fu148Deq8Yo3zkDr1hWZm+8 +G4VYnneCUp+mAHOy7B2JGwb29xt3u9QnoTUxLszu82tISCylUpYeB6ZcC4nj +vb2g7KX5voX3HcR+aQm1Wu6CosrAzC7NESBxfLgT2oiBRVxoosbgEESE71ve +DW2BsY5+D9uwfviOOwe/vtUD4xEgEbZ7QPpN3ekg1WrYp3VZ/jTdA6Z3BnIX +2aqhjraNP3igB9pmhh1clp6Av1yKW19pD0hqCHKOJj+BUR3vgAiTHgj7zfGE +YrUKAkvLisPhBbglN3CMF1aCtIexd1ZcN4SPmm4mCZcDR2wB/WPpTkhS4hqK +Cy6C5u1XB2ePdUK/a8PG73+LQIBDUGqYoRM6yk+8Dj9fBGEcRed4FzvAjvZO +97fvhdB6PP9hT24HvI5dZeFzKQRsKePWA4YOSHJGLlM2BeAxJ3wiaQmDzCPu +x7X7c+F3pVCn53sM0sUi7W0e5ULX8U39m90YMH1W/KdJLxeCBefPiRRg4GBP +5cvwLgdC1sQ6Rm9isHZI64P3bDY0nQ2VEUwEmPf7TGFIlwWySZPr9QbtsCli +J+4fkgZ0W0df6Em3g4Ba40DetTSgCbvov87UDkqvxOJ/8KYBl8mZAIWBNgiZ +HktSa0qFry+tnJcvt4HqZHbLtfUUmGsa/zWs0gpxbhP6So7J8GwjQTtXohkG +yggXa2MSwSLkvHcCfTP0/xQMsL+VCCd5glgilp7BQHDZCTOZRDDLloz3KnsG +VwtspiYnEsDXfu6yx4lnsB5Hd8laKgEeb/Q0feJtAq3V+iX653GwrFpOb8HY +AOuMI7wT76KAU4/fbXSZBE0zXfdiIqLgRpengm4PCXqM/DPaZKPAZWl3TDOY +BAJXJVkKkx/AUBvFiulmPajdyorwN42EeA0B4uI7IjBlnkmK+hMGqU9azobX +E+EgdM3RsCEMKht9B4QSiLA2ObNR6BgGTIof9Kw1ifDRKcz55WQoHLkdcPig +9SkUeS1eVugMgT+XKxpTCupg2FBw9oF+EBQpCp/SD6wDP8k9tfjBQLiyj/Nh +NKuDI2x7XnnXAqG7LyvzEXsd2HM1iE7oBoC8kjtOk6kWznV5F3Rb+IFebEGc +xuQTqNouCk6p+Q8El2jm8n3KoSn4pEkUlxsEn+fgEpUvB77MoX7HdleYslYp +r94pg5QvKFvFxhVkUpdfdbqXwUJtxQvNey7wJ0bN/LBTKaz84yLO99wRdB+r +4nFWxdDvUGX5ttcWjl3SZa0ULIb6ry5+WjK2UKTFzmE4VQQXa+2JObl3QUTg +YK7avAjYJKZ8Jn3vgEr3v7//My6EIYK4RYemNeDYP/xrqZMP+KwgxlehFsCM +VQ8LM+SDE4l1NSnxXzjZI1M7mpIH33QbI44Vm0Nw7s317wm5YPxlLingrSl8 +357pMH+QDf5oLdXSyhj+GHlf2afKBjsLk061eCPIL+aPyg/NApm9H1Nf4QYI +F1Xh1gIygZ9Yaht72hAeFp0JrvRMB7wffe9RGX1QpG9zu7WZBu39cpmSgXrw +yDW8idM1DXQlSWbsmbpA/WGFIdYhFRiaxgbkV7QhMMrcL8EqGfKm3qUbfr0M +6qW7lUbTSZA21P3mMFkDksoUewUskuAoRbOVcfol4BwaySWZPAbTcxKN1dZq +oK7qeXVHLwEmbcfo+ypV4Qt/0GRtYTyYihyOWBpWBt8Ca06fokcgSsy1LqA7 +D77TuTQsJbEgf+hRwnShPNzKVo6fKYkB1cg1l3vUcsByqrGKVBoNl7qIo4+8 +ZeFgblTNqjwK8jMQIzFcGlRo++KVKx7ACq2vylOhszD9RpGFuzIS+q5+NM7L +lITdDxI3xqrCIal5wnE8/yQMTFAttjwJg2m9kK0xXVG4voXTz6sOhWCfJym8 +fMKArQlpONUGw26nuZwzgyDQ+ilfqrYMAnZu7bMUt/ngacfK11CrANjImG56 +OnsUEEe9npmNHwQEXyjazOf4u9s3Nbm796GPWey/rnRWeBhNkcpm9x+wHTFV +0k9lAlk7Ta6te14QOJTYQ3Clh/0CifS3Dh5A6x66ZwLUICBKv9Tk5AZHL2nR +5YVRQpp4IklxyhkCp9kGT/jukucsU33ws/agr1NDsnu/TSbGrpJHv9wFI+/n +z46pfiNXXbx9smzZCqbzemflC+fIOyP3q7Yn/4XB0vuvlRvHyUgqYzBn7gaE +2d1KNivqI18v89hPHLsKUsM1J4TMGsnBvO+XRgflIdlJVwpnkkBmj+2c/Hpc +EM0djMX50liQTc49Ubv9Sw3punBMeVGWkW9EljdS7egj1yAWJbr0DvKuvZeP +6aoJ4uCiFoi8PUJmjX9jML5piRQfuakbJ0yTH7tr/8Gv2SDBm0vPWtWXyNa5 +UzlyX+3Qz6BRye9fNsjXWbAEuwVHpMCUK7jJ8ZOc/Z4l67WbK+I26HpzTeqA +3EnM9152cUeqcaE+4RmHgLq8nJ7W2RMp2AVYPmKmhQbnF5Fijt4oNS7rcOce +A3y/n8ajae+DloGLi1mKBegOt+w42vki31LWIn0edmBXcCO02QSiEXexY1H5 +PEAVUkTjKR2MSnYDqH3PC4DMvZ9uMWdDEE/jw3v+tELQm1p2Bs6EoY+5sVuv +/hEBdZ6yugnJcJTsNy1y00cM/KUm2H+fjkD3nY4ui42dghB1mVlliQdo4d57 +YvTaGWDXxQXcFo9C2Ukl1XEjZ+EKm/nNqFMP0WHZF9+2Vc7BdQkmmY9iMYi7 +7eHjHNV/4Oi2GnupcDziU2WzV1s7D3LhxLZOvgTEtN5OS7eoAmPj2bJyZQkI +oy7P3dtA0LDj/VSwLRE5CuTlkfguQpaOYvSRL0no4zC+hLdPA0pOxLA+ck1G +DsvSKyIMV0Dmp8oH5t1kxHLknVbHDU1g9Jm04WdORbMimg9rcNqQsvmG1VAp +Hanqz5jmz+hB4PKvC4td6Sgrkvm5dbE+MF7vEw/SzUCe/ZflnBwMwJW15G6D +TSaKmYoo08MbwvQ8p+yl+GxUke5YquNiDEpFPS+D3PPRW567Uc1HbsGOauCl +2aZ8pHQygMeQ3hKyXw1mXNnPR0Nv+pqW4y2B8gLz4tGoAtR27WmDZ8FtaGQa +3BzKKUReny4zbX+0hj/9YaElvcVI7T7D+K02O6CcJ3OKM5WgC6bs9RW370ES +WfpPnWEJqq9UEmOks4fm6pfz3VMlaEYoJkXEwgHE5VU3GL6XIn/5SpsIIWeo +kePs5TxRgUp519It8O4wt0sXzmdTgVZMrYWPWrsD04LnU+GSClSbpvC+GXOH +wT7RAGWxStS2aczbEOgBu2Ou0dESVSj0a8RyJLUX3GGqYSuVrUbMKfwGXqo+ +0GiquxRWXIfahtdOWZoHgZnC3nHZ7jrU/spK4s1oEHD/eLk3P1+H8jYuZLKe +DAam0Rc518SeItqwgPctRcFQbp+5LlP5FDH6irea5IfATCZTo34dEdlq2z9v +rwmD9Xnh3vV2Egqgf+EUciwK6rVVIWeGhEx3Bb48cI0Ct2I7Hh3qBrT/fP8O +c0cUOHzYY67XbkBjfW9pyu4+BCfJdu/siQbkJJZSvNAQDYNzuvOLvxoRpdr2 +QLZjHGh8NkmJVGhGZhdoFPA5ibDPWRIZZd6Mzg/UTsYNJMJqZIdtXHAzyvHN +eWb4JxEETEQO579sRvGUBp/yLB8DOkwyWLrZgvoNQ83ETyaBVBtTH5NvK4pr +bGal6kwG2an4mT5yO8rczi10FEuHgtToq9Gf21GILZm/2SIdOrI1OLTxZIQp +Z60SUtNBryNwfFyHjDqP/TbH4TNg4VhtnsBHMuoZnJC/upgBPxzc/+jtAfKr +NcJ9bcqCA4E1vjaB52i9u7WDKywP4MiB4OKdTjRF4xv6YPbvXzUmUo+Qe5Cr +8y97vd4nIOfbw7NW2I9KZka/EzlIwJPeRNIlDaHrbeLZO4otwB/0azr61Ahi +thOu5o7CYP+DstH4/igKNCpuj2/rAj8eT6e9oTGUWKljlifZC6SIHDMGwUlE ++1RE3aD4NRTuODQFBU2hs70/x/yUhqF0C3euPWEGJaSVXr/pOwoeFJ/frnbM +og/VCyq1MeOwHpgGDmxf0BnFz0cYf30EaOHWztlaRKzHju9ZDM/Aj8L7J+Iv +rCAnhrDiQMl5YDM3q9VbWENzgg2Wv3YXgXswcOCT8SZyEt76lMj3Dcbi5og9 +vDtoSS22OYv7LyfJek6ORvxEP06NxT7b3gF2WmYtntv7iDubwvRM2i6M6Op6 +c7+kJPgZDLku+1FiROzMktkYJaHZf4EiKZ4Ss3kcX9C0SEkYVr6Va15EiT28 +2NfdSocjyKbyyxv2UWIq0hOy+9o4gqqRWvRHPhy2j8sqzRjDEfyWRUULXuAw +n6OhbDR4KkIJ1kB/V54KG66oc1/lpiJYj7GzjutSYddQRfKOJBXBx5b1tY8d +FWZ1ueGeoxEV4W3S5ClCJhXGIPU5br+ciqDoMS++e0CFqcc8m/ttSE3QYfXk +jntLjTUNOAiJOlAThGRpGw6tU2MGCnPgE0JN4FkReV1zGI+xxLoQU2r+5oNV +SorV8BiT5JuyZno8IdSmIEygEY85DxyckDiOJ+ynHMq+MoLH/qkOUO9RxBOG +RU62Vq3jsXmtaMmQe3iCC3/gf8dO02B3KdTe54XgCeXu5GvSWjTY9ajKheUM +PEH09Hp+oB0Ndr6MdsSKiCfQNDsx0z6gwf6Prwn/z9f/A0SfAKU= + "]]}, + Annotation[#, "Charting`Private`Tag$54270#4"]& ]}, {}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->All, + ImageSize->{518.9999999999986, Automatic}, + Method->{ + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, "ScalingFunctions" -> + None}, + PlotRange->{{-3.2746584875599716`, + 3.2746584875599716`}, {-3.4919286499959274`, 0.}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.05], + Scaled[0.05]}, { + Scaled[0.05], + Scaled[0.05]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843118418467208*^9, 3.843118442861844*^9}, + 3.843118494326119*^9, {3.8431185764071083`*^9, 3.8431186000968637`*^9}, { + 3.843118678293343*^9, 3.8431186973785057`*^9}, {3.8431187909323378`*^9, + 3.8431189062596397`*^9}, 3.843118970516222*^9, {3.84311900749964*^9, + 3.843119049520691*^9}, {3.843119111532508*^9, 3.8431191160183973`*^9}, { + 3.8431194407616568`*^9, 3.843119477944376*^9}, 3.843120023894218*^9, { + 3.843123802541154*^9, 3.843123805205811*^9}, 3.843126913959206*^9, { + 3.8431269864722557`*^9, 3.843127007285858*^9}}, + CellLabel-> + "Out[492]=",ExpressionUUID->"b89ead09-4dd2-4e82-9f4f-7394478ce32f"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{ + RowBox[{"complexField", "=", "1.8"}], ";", + RowBox[{"ComplexPlot", "[", + RowBox[{ + RowBox[{ + RowBox[{ RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "3", "]"}], "]"}], "/.", "m31"}], ",", + RowBox[{"RFC", "[", + RowBox[{"NF", "[", "9", "]"}], "]"}], "[", "\[Theta]", "]"}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "9", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "9", "]"}]}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "4", "]"}], "]"}], "/.", "m41"}], ",", + RowBox[{"-", "complexField"}], "-", + RowBox[{ + RowBox[{"complexField", "/", "GoldenRatio"}], " ", + "\[ImaginaryI]"}]}], ",", + RowBox[{"complexField", "+", + RowBox[{ + RowBox[{"complexField", "/", "GoldenRatio"}], " ", + "\[ImaginaryI]"}]}]}], "}"}], ",", + RowBox[{"PlotPoints", "->", "200"}], ",", + RowBox[{"Exclusions", "->", "None"}], ",", + RowBox[{"ColorFunction", "\[Rule]", + RowBox[{"{", + RowBox[{"Automatic", ",", "\"\\""}], "}"}]}]}], "]"}]}]], "Input",\ + + CellChangeTimes->{{3.843297022140304*^9, 3.843297126733171*^9}, { + 3.84329717843849*^9, 3.843297291031652*^9}, {3.843297348577504*^9, + 3.8432973699290857`*^9}, {3.8432974358593683`*^9, 3.8432974606587048`*^9}, { + 3.843298527030644*^9, 3.843298537942421*^9}, {3.8432986698817577`*^9, + 3.843298673632732*^9}, {3.843298708066329*^9, 3.843298734082752*^9}, { + 3.84329887522934*^9, 3.843298884636981*^9}, {3.8432990285119133`*^9, + 3.843299070623949*^9}, {3.843299104353858*^9, 3.84329910471282*^9}}, + CellLabel-> + "In[180]:=",ExpressionUUID->"caeef800-5d91-4fb4-9816-9aacdb626a63"], + +Cell[BoxData[ + GraphicsBox[{GraphicsComplexBox[CompressedData[" +1:eJxc13n0/o1c53FjaabCNGOkI0xmEKWiUooWldKiKSMa2ckYtKicFmYKaVK3 +iaaQ3LdqQpr2RYi+lpRkayzJEhpll2VEUobf9Xo8P+d8+6fzPMe5v5/39X5/ +ruv3uOZdv+NW33rpS13qUl/62Etd6qP//4Uv+Oj/fejsMx/yrz7pF1/w7rOb +P+DDP/3bDzr6GZ/+2Xd6zI2PvtGr737dB77zH+pf/bFHvfs//+LR1/7C5z/t +62939MVv+dCDb/QJR1/5Zz7rllf7ow/WD7vFXT/xMg84+nIf+KnXveWGR//X +J/7xL73kTX9fv+82H7zv71189Ld9zGfc5JL/ePRf/+6dLvuQjz36Dt/6ky+8 +99kH6pf/m+c+8lb3O/qWf/j+O33Bpx/93O/+tOt9yhveX3/Rv7/Dez7mUUf/ +7v/5id9/x9cd/RkPevYPv+zSRz/+hu+75e8/5e/qq7/hU6/yC99+9E8//Hav +/9FrHX2FL33Yk77jVe+rH/K3Z991m4cf/Y+XvOcmX/SVR9/v6699uWt96P/V +7/jH277o437r6G+9sJCjX3v7Z9z5lVc/+psu/67rnb30vfULf//fvffxDz36 +5vf+pqdf9CVHP+OqP/qQ737fe479f3S9//voX/3+d1zlZnc5+tof+fQ+9SpH +X/zKW/3yFV/47mP/H/3PPejoh33+U276mhsffbk3vfVyz3nnu479P/LqL37S +Lx79vpt/w6Mffrujv+19D7rL937C0c//6o8O8Lf10z5yPQ/9wDvrX/7nn/cD +t7370Y+5x89e/toveUf9Y8+91OPec5Ojf+Ba97jhM5/49vpeD/7T5zzsSkff +7q9ucJtv+cG31V9zs0e++bpve2v9hT/3Dz/wd7c5+tM+fOcr/OGz31Jf9Y5/ +9LhHfObRH3fhhXtz/cFPfsQfXv9jjn7rR/5rH7zvm+pX/cW3vOWPX/s3x/w3 +ftb9f/qrj37ao65zxbv97l8f8//dj//cDa559GO+6d2f/U8XvfGY/3du89w/ +/cD/Pea/0tNv+zN3P/pe33XNt97jJX91zP9nP/KAz73p0V9zg7df8dK/9IZj +/p/4xp9/8ZWO/rR3PvlzLv7B1x/39JgbX/TyV7yu/sRvvsxvfuev/WX9/iu/ +6OUf/yOvrV/50kd/8Al3eE391Efc7d9+2Y1efczzHz7zK157+VfV97/C39/z ++974yvr2H13f0//8eL8f+j9+69f+5yvqa3zVf/rzr773y+sPX/ZaH3rjl72s +fv2z3/kpP3TVl9bP+qGn3vyT3/Nn9S988Q/f68l/8pLjnv/+4mv8ry97cf1H +3/M59/yLd7+g/u/vet5v/suff359i/vc8R9u/g3Pqz/2ze/9igd8+LnHfu/2 +0Qd+Tv3jr7vGK998h2fVj37yPb/8i6/6B8d9fWS4X/6+p9aPffwf/Jer/Pnv +HPNe+IL+jfpm1/voC/zE+qIb/NNF3/MnP1tf/+q3/u1b/siD6mde50J/ib7N +jS787+snfPqF/179DZdc+Hv1i55w4Xnqr/v1C89bP//3LsxTX+v1F+at73b3 +C59H/Qunz6t+w+nzrD/l3Rc+7/pO97uwj/qS077qd572WV/hgRf2XV//ORfu +of7ay124l/pep3uqH3q6t/qXTvdY//HpXuu/Od1zfbmfvHDvx+fxsgvvQ/3l +n3jhfanvenqf6gee3rdjH6f3sb7z6X2tv/P0Ptc/dHrf64efvg/qnzt9X9S/ +cfo+qZ95+r6pX3L6Pqpff/q+qt91+j6rL/WqC9939Sfc/8L34bHfq134vqxv +cPo+rb/09H17zH/6Pj7mP31fH/Ofvs+P+U/f98f8p9+DY/7T78Ux/+n35Jj/ +9HtzzH/6PTrmP/1eHfOffs+Ov3/6vav/xVde+D08nuf0e1l/0un39Hi+0+9t +fd3T7/HxvKff6/rGp9/z4/lPv/f1LU7/HjjmOf17of7m078njvlO/96o73n6 +98gx7+nfK/X3nf49c+z/ny78e6f+0dO/h457eNyFfy/Vjz79e+q4j9O/t473 +7/TvseNeTv9eq59y+vfccT+nf+/Vzzv9e/C4p9O/F+tXnv49edzX6d+b9ZtP +/x497u3079X6A6d/zx77P/1799j/bS/8e/jY/+nfy8f+T/+ePvZ/+vf2sf/T +v8eP/Z/+vX7s//Tv+WP/p3/vH/s/eeDY/8kLx/5PnqjnjfN9do97P/r7X3nJ +4Q/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH93T +/KH5Q/OH5g/NH80zf2j+0PzR+z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2 +O39o/tD80X3NH5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fff7z +h+YPzR+aPzR/aP7o+3r+6POYPzR/9L7MH5o/NH9o/tD80T3NH30e84fmD80f +mj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aP3q/5Q/OH5g/NH+13/tD8ofmj+eeP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/7o788fmj96nvlD80fP +N39o/uh55w/NHz3//NH31fzRPPOH5o/mmz80fzTv/KH5o/3PH5o/uof5o+/D ++aP7mD96/+aP7mX+0PzR/cwfmj+6p/mj36v5o/uaPzR/dG/zh+aP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8ca7PLvnGf/vq +y9/38IfmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP9zx/tf/5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx/tf/5o//NH+58/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nn +j+5p/tD8oflD84fmj+aZPzR/aP7o/Z4/NH9o/tD8oflD80f3PH9o/tD8oflD +80f7nT80f2j+6L7mD80fzTt/aP7Q/KH5Q/OH5g/NH5o/NH9o/mje+UPzh+aP +Pv/5Q/OH5g/NH5o/NH/0fT1/9HnMH5o/el/mD80fmj80f2j+6J7mjz6P+UPz +h+YPzR/tY/7Q/KH5Q/OH5g/NH5o/upf5Q/NH79f8oflD84fmj/Y7f2j+0PzR +/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP3/09+cPzR89z/yh ++aPnmz80f/S884fmj55//uj7av5onvlD80fzzR+aP5p3/tD80f7nD80f3cP8 +0ffh/NF9zB+9f/NH9zJ/aP7ofuYPzR/d0/zR79X80X3NH5o/urf5Q/NH+58/ +2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+ONdnr/j8 +J9z0Zl9++EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+54/2P3+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/8 +0fzzR/c0f2j+0Pyh+UPzR/PMH5o/NH/0fs8fmj80f2j+0Pyh+aN7nj80f2j+ +0Pyh+aP9zh+aPzR/dF/zh+aP5p0/NH9o/tD8oflD84fmD80fmj80fzTv/KH5 +Q/NHn//8oflD84fmD80fmj/6vp4/+jzmD80fvS/zh+YPzR+aPzR/dE/zR5/H +/KH5Q/OH5o/2MX9o/tD8oflD84fmD80f3cv8ofmj92v+0Pyh+UPzR/udPzR/ +aP5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz/6+/OH5o+e +Z/7Q/NHzzR+aP3re+UPzR88/f/R9NX80z/yh+aP55g/NH807f2j+aP/zh+aP +7mH+6Ptw/ug+5o/ev/mje5k/NH90P/OH5o/uaf7o92r+6L7mD80f3dv8ofmj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufPzR/nOuz +K17jMy6535UPf2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP9r//NH+54/2P3+0//mj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//lD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP +5p8/mn/+6J7mD80fmj80f2j+aJ75Q/OH5o/e7/lD84fmD80fmj80f3TP84fm +D80fmj80f7Tf+UPzh+aP7mv+0PzRvPOH5g/NH5o/NH9o/tD8oflD84fmj+ad +PzR/aP7o858/NH9o/tD8oflD80ff1/NHn8f8ofmj92X+0Pyh+UPzh+aP7mn+ +6POYPzR/aP7Q/NE+5g/NH5o/NH9o/tD8ofmje5k/NH/0fs0fmj80f2j+aL/z +h+YPzR/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880d/f/7Q +/NHzzB+aP3q++UPzR887f2j+6Pnnj76v5o/mmT80fzTf/KH5o3nnD80f7X/+ +0PzRPcwffR/OH93H/NH7N390L/OH5o/uZ/7Q/NE9zR/9Xs0f3df8ofmje5s/ +NH+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+aP +c332lZf9nQ8/6U3vyh+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP7qn+UPzh+YPzR+aP5pn/tD8ofmj93v+0Pyh+UPzh+YPzR/d +8/yh+UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fmD80fmj80f2j+0Pyh ++aN55w/NH5o/+vznD80fmj80f2j+0PzR9/X80ecxf2j+6H2ZPzR/aP7Q/KH5 +o3uaP/o85g/NH5o/NH+0j/lD84fmD80fmj80f2j+6F7mD80fvV/zh+YPzR+a +P9rv/KH5Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR +358/NH/0PPOH5o+eb/7Q/NHzzh+aP3r++aPvq/mjeeYPzR/NN39o/mje+UPz +R/ufPzR/dA/zR9+H80f3MX/0/s0f3cv8ofmj+5k/NH90T/NHv1fzR/c1f2j+ +6N7mD80f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/KH541yf/be3fuFd/vKphz80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH880fzzx/NP380//zR/PNH888fzT9/ +NP/80fzzR/PPH80/f3RP84fmD80fmj80fzTP/KH5Q/NH7/f8oflD84fmD80f +mj+65/lD84fmD80fmj/a7/yh+UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8 +oflD80fzzh+aPzR/9PnPH5o/NH9o/tD8ofmj7+v5o89j/tD80fsyf2j+0Pyh ++UPzR/c0f/R5zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD80b3MH5o/er/mD80f +mj80f7Tf+UPzh+aP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o +/vmjvz9/aP7oeeYPzR893/yh+aPnnT80f/T880ffV/NH88wfmj+ab/7Q/NG8 +84fmj/Y/f2j+6B7mj74P54/uY/7o/Zs/upf5Q/NH9zN/aP7onuaPfq/mj+5r +/tD80b3NH5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+UPzx7k+e/JLnvXsf33R4Q/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a +//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT//NH880fzzx/NP380//zR/PNH +888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR+z1/aP7Q/KH5 +Q/OH5o/uef7Q/KH5Q/OH5o/2O39o/tD80X3NH5o/mnf+0Pyh+UPzh+YPzR+a +PzR/aP7Q/NG884fmD80fff7zh+YPzR+aPzR/aP7o+3r+6POYPzR/9L7MH5o/ +NH9o/tD80T3NH30e84fmD80fmj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aP3q/5 +Q/OH5g/NH+13/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/m +nz+af/7o788fmj96nvlD80fPN39o/uh55w/NHz3//NH31fzRPPOH5o/mmz80 +fzTv/KH5o/3PH5o/uof5o+/D+aP7mD96/+aP7mX+0PzR/cwfmj+6p/mj36v5 +o/uaPzR/dG/zh+aP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5 +o/3PH+1//tD8ca7P3vnkW1zrq+54+EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+ +54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH80/fzT//NH880fzzx/NP380 +//zR/PNH888fzT9/NP/80fzzR/c0f2j+0Pyh+UPzR/PMH5o/NH/0fs8fmj80 +f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+aPzR/dF/zh+aP5p0/NH9o/tD8oflD +84fmD80fmj80fzTv/KH5Q/NHn//8oflD84fmD80fmj/6vp4/+jzmD80fvS/z +h+YPzR+aPzR/dE/zR5/H/KH5Q/OH5o/2MX9o/tD8oflD84fmD80f3cv8ofmj +92v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj+ ++aP554/mnz/6+/OH5o+eZ/7Q/NHzzR+aP3re+UPzR88/f/R9NX80z/yh+aP5 +5g/NH807f2j+aP/zh+aP7mH+6Ptw/ug+5o/ev/mje5k/NH90P/OH5o/uaf7o +92r+6L7mD80f3dv8ofmj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f +7X/+aP/zR/ufPzR/nOuz61z84ofc/waHPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//yh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80fzzR/PPH80/fzT//NH8 +80fzzx/NP380//zR/PNH888fzT9/dE/zh+YPzR+aPzR/NM/8oflD80fv9/yh ++UPzh+YPzR+aP7rn+UPzh+YPzR+aP9rv/KH5Q/NH9zV/aP5o3vlD84fmD80f +mj80f2j+0Pyh+UPzR/POH5o/NH/0+c8fmj80f2j+0Pyh+aPv6/mjz2P+0PzR ++zJ/aP7Q/KH5Q/NH9zR/9HnMH5o/NH9o/mgf84fmD80fmj80f2j+0PzRvcwf +mj96v+YPzR+aPzR/tN/5Q/OH5o/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nn +j+afP5p//mj++aO/P39o/uh55g/NHz3f/KH5o+edPzR/9PzzR99X80fzzB+a +P5pv/tD80bzzh+aP9j9/aP7oHuaPvg/nj+5j/uj9mz+6l/lD80f3M39o/uie +5o9+r+aP7mv+0PzRvc0fmj/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//zR/ueP9j9/tP/5Q/PHuT6744Nv86Zfv/ThD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P39o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/NP/80fzzR/PPH80/ +fzT//NH880fzzx/NP380//zR/PNH888f3dP8oflD84fmD80fzTN/aP7Q/NH7 +PX9o/tD8oflD84fmj+55/tD8oflD84fmj/Y7f2j+0PzRfc0fmj+ad/7Q/KH5 +Q/OH5g/NH5o/NH9o/tD80bzzh+YPzR99/vOH5g/NH5o/NH9o/uj7ev7o85g/ +NH/0vswfmj80f2j+0PzRPc0ffR7zh+YPzR+aP9rH/KH5Q/OH5g/NH5o/NH90 +L/OH5o/er/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//ujvzx+aP3qe+UPzR883f2j+6HnnD80fPf/80ffV/NE8 +84fmj+abPzR/NO/8ofmj/c8fmj+6h/mj78P5o/uYP3r/5o/uZf7Q/NH9zB+a +P7qn+aPfq/mj+5o/NH90b/OH5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8f7X/+0Pxxrs+u/9KnPeJ5T/rb/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR +/ucPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+afP5p//mj+ ++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o3uaPzR/aP7Q/KH5o3nmD80f +mj96v+cPzR+aPzR/aP7Q/NE9zx+aPzR/aP7Q/NF+5w/NH5o/uq/5Q/NH884f +mj80f2j+0Pyh+UPzh+YPzR+aP5p3/tD8ofmjz3/+0Pyh+UPzh+YPzR99X88f +fR7zh+aP3pf5Q/OH5g/NH5o/uqf5o89j/tD8oflD80f7mD80f2j+0Pyh+UPz +h+aP7mX+0PzR+zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888fzT9/NP/80fzz +R/PPH80/fzT//NH880fzzx/9/flD80fPM39o/uj55g/NHz3v/KH5o+efP/q+ +mj+aZ/7Q/NF884fmj+adPzR/tP/5Q/NH9zB/9H04f3Qf80fv3/zRvcwfmj+6 +n/lD80f3NH/0ezV/dF/zh+aP7m3+0PzR/ueP9j9/tP/5o/3PH+1//mj/80f7 +nz/a//zR/ueP9j9/tP/5o/3PH5o/zvXZYx/3vFvf8OsPf2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2 +P3+0//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5o/nnj+af +P5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+6J7mD80fmj80f2j+aJ75 +Q/OH5o/e7/lD84fmD80fmj80f3TP84fmD80fmj80f7Tf+UPzh+aP7mv+0PzR +vPOH5g/NH5o/NH9o/tD8oflD84fmj+adPzR/aP7o858/NH9o/tD8oflD80ff +1/NHn8f8ofmj92X+0Pyh+UPzh+aP7mn+6POYPzR/aP7Q/NE+5g/NH5o/NH9o +/tD8ofmje5k/NH/0fs0fmj80f2j+aL/zh+YPzR/NP380//zR/PNH888fzT9/ +NP/80fzzR/PPH80/fzT//NH880d/f/7Q/NHzzB+aP3q++UPzR887f2j+6Pnn +j76v5o/mmT80fzTf/KH5o3nnD80f7X/+0PzRPcwffR/OH93H/NH7N390L/OH +5o/uZ/7Q/NE9zR/9Xs0f3df8ofmje5s/NH+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8f7X/+aP/zh+aPc312+fu84iqPec8784fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/t +f/5o//NH+58/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP5p/ +/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+5p/tD8oflD84fm +j+aZPzR/aP7o/Z4/NH9o/tD8oflD80f3PH9o/tD8oflD80f7nT80f2j+6L7m +D80fzTt/aP7Q/KH5Q/OH5g/NH5o/NH9o/mje+UPzh+aPPv/5Q/OH5g/NH5o/ +NH/0fT1/9HnMH5o/el/mD80fmj80f2j+6J7mjz6P+UPzh+YPzR/tY/7Q/KH5 +Q/OH5g/NH5o/upf5Q/NH79f8oflD84fmj/Y7f2j+0PzR/PNH888fzT9/NP/8 +0fzzR/PPH80/fzT//NH880fzzx/NP3/09+cPzR89z/yh+aPnmz80f/S884fm +j55//uj7av5onvlD80fzzR+aP5p3/tD80f7nD80f3cP80ffh/NF9zB+9f/NH +9zJ/aP7ofuYPzR/d0/zR79X80X3NH5o/urf5Q/NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+ONdnD7jxG1916Ucd/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +R/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80T3NH5o/NH9o +/tD80Tzzh+YPzR+93/OH5g/NH5o/NH9o/uie5w/NH5o/NH9o/mi/84fmD80f +3df8ofmjeecPzR+aPzR/aP7Q/KH5Q/OH5g/NH807f2j+0PzR5z9/aP7Q/KH5 +Q/OH5o++r+ePPo/5Q/NH78v8oflD84fmD80f3dP80ecxf2j+0Pyh+aN9zB+a +PzR/aP7Q/KH5Q/NH9zJ/aP7o/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj+eeP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fmj553 +/tD80fPPH31fzR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+j6cP7qP+aP3 +b/7oXuYPzR/dz/yh+aN7mj/6vZo/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80f5/rs7Zd9z8X3vsnhD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/z +R/ufP9r//NH+54/2P39o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888f3dP8oflD +84fmD80fzTN/aP7Q/NH7PX9o/tD8oflD84fmj+55/tD8oflD84fmj/Y7f2j+ +0PzRfc0fmj+ad/7Q/KH5Q/OH5g/NH5o/NH9o/tD80bzzh+YPzR99/vOH5g/N +H5o/NH9o/uj7ev7o85g/NH/0vswfmj80f2j+0PzRPc0ffR7zh+YPzR+aP9rH +/KH5Q/OH5g/NH5o/NH90L/OH5o/er/lD84fmD80f7Xf+0Pyh+aP554/mnz+a +f/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//ujvzx+aP3qe+UPzR883f2j+ +6HnnD80fPf/80ffV/NE884fmj+abPzR/NO/8ofmj/c8fmj+6h/mj78P5o/uY +P3r/5o/uZf7Q/NH9zB+aP7qn+aPfq/mj+5o/NH90b/OH5o/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pxxrs9u/2cfvvPLXveO +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/80f7nz/a//zR/ueP9j9/tP/5o/3P +H+1//mj/80f7nz/a//zR/ucPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o3ua +PzR/aP7Q/KH5o3nmD80fmj96v+cPzR+aPzR/aP7Q/NE9zx+aPzR/aP7Q/NF+ +5w/NH5o/uq/5Q/NH884fmj80f2j+0Pyh+UPzh+YPzR+aP5p3/tD8ofmjz3/+ +0Pyh+UPzh+YPzR99X88ffR7zh+aP3pf5Q/OH5g/NH5o/uqf5o89j/tD8oflD +80f7mD80f2j+0Pyh+UPzh+aP7mX+0PzR+zV/aP7Q/KH5o/3OH5o/NH80//zR +/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/9/flD80fPM39o/uj5 +5g/NHz3v/KH5o+efP/q+mj+aZ/7Q/NF884fmj+adPzR/tP/5Q/NH9zB/9H04 +f3Qf80fv3/zRvcwfmj+6n/lD80f3NH/0ezV/dF/zh+aP7m3+0PzR/ueP9j9/ +tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/zvXZCy6+wrW+ +6IcPf2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP9r//NH+54/2P3+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+54/2P3+0//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+ +6J7mD80fmj80f2j+aJ75Q/OH5o/e7/lD84fmD80fmj80f3TP84fmD80fmj80 +f7Tf+UPzh+aP7mv+0PzRvPOH5g/NH5o/NH9o/tD8oflD84fmj+adPzR/aP7o +858/NH9o/tD8oflD80ff1/NHn8f8ofmj92X+0Pyh+UPzh+aP7mn+6POYPzR/ +aP7Q/NE+5g/NH5o/NH9o/tD8ofmje5k/NH/0fs0fmj80f2j+aL/zh+YPzR/N +P380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880d/f/7Q/NHzzB+a +P3q++UPzR887f2j+6Pnnj76v5o/mmT80fzTf/KH5o3nnD80f7X/+0PzRPcwf +fR/OH93H/NH7N390L/OH5o/uZ/7Q/NE9zR/9Xs0f3df8ofmje5s/NH+0//mj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+aPc31203t9 +8psef93DH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx/tf/7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP +5p8/uqf5Q/OH5g/NH5o/mmf+0Pyh+aP3e/7Q/KH5Q/OH5g/NH93z/KH5Q/OH +5g/NH+13/tD8ofmj+5o/NH807/yh+UPzh+YPzR+aPzR/aP7Q/KH5o3nnD80f +mj/6/OcPzR+aPzR/aP7Q/NH39fzR5zF/aP7ofZk/NH9o/tD8ofmje5o/+jzm +D80fmj80f7SP+UPzh+YPzR+aPzR/aP7oXuYPzR+9X/OH5g/NH5o/2u/8oflD +80fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NHfnz80f/Q8 +84fmj55v/tD80fPOH5o/ev75o++r+aN55g/NH803f2j+aN75Q/NH+58/NH90 +D/NH34fzR/cxf/T+zR/dy/yh+aP7mT80f3RP80e/V/NH9zV/aP7o3uYPzR/t +f/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8ofnjXJ/9 +yudd7yN39/b8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+aP/zR/ufP9r//NH+54/2 +P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+aP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+a +f/5o/vmje5o/NH9o/tD8ofmjeeYPzR+aP3q/5w/NH5o/NH9o/tD80T3PH5o/ +NH9o/tD80X7nD80fmj+6r/lD80fzzh+aPzR/aP7Q/KH5Q/OH5g/NH5o/mnf+ +0Pyh+aPPf/7Q/KH5Q/OH5g/NH31fzx99HvOH5o/el/lD84fmD80fmj+6p/mj +z2P+0Pyh+UPzR/uYPzR/aP7Q/KH5Q/OH5o/uZf7Q/NH7NX9o/tD8ofmj/c4f +mj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH/39+UPz +R88zf2j+6PnmD80fPe/8ofmj558/+r6aP5pn/tD80Xzzh+aP5p0/NH+0//lD +80f3MH/0fTh/dB/zR+/f/NG9zB+aP7qf+UPzR/c0f/R7NX90X/OH5o/ubf7Q +/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj/O +9dnVLvP53/a99z38oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+aP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/m +nz+af/5o/vmje5o/NH9o/tD8ofmjeeYPzR+aP3q/5w/NH5o/NH9o/tD80T3P +H5o/NH9o/tD80X7nD80fmj+6r/lD80fzzh+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +mnf+0Pyh+aPPf/7Q/KH5Q/OH5g/NH31fzx99HvOH5o/el/lD84fmD80fmj+6 +p/mjz2P+0Pyh+UPzR/uYPzR/aP7Q/KH5Q/OH5o/uZf7Q/NH7NX9o/tD8ofmj +/c4fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH/39 ++UPzR88zf2j+6PnmD80fPe/8ofmj558/+r6aP5pn/tD80Xzzh+aP5p0/NH+0 +//lD80f3MH/0fTh/dB/zR+/f/NG9zB+aP7qf+UPzR/c0f/R7NX90X/OH5o/u +bf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f +mj/O9dlFL/6KG7z+yoc/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f7X/+aP/zR/uf +P9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0PzR/PNH888fzT9/NP/80fzzR/PPH80/fzT/ +/NH880fzzx/NP390T/OH5g/NH5o/NH80z/yh+UPzR+/3/KH5Q/OH5g/NH5o/ +uuf5Q/OH5g/NH5o/2u/8oflD80f3NX9o/mje+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/NH884fmj80f/T5zx+aPzR/aP7Q/KH5o+/r+aPPY/7Q/NH7Mn9o/tD8oflD +80f3NH/0ecwfmj80f2j+aB/zh+YPzR+aPzR/aP7Q/NG9zB+aP3q/5g/NH5o/ +NH+03/lD84fmj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75 +o78/f2j+6HnmD80fPd/8ofmj550/NH/0/PNH31fzR/PMH5o/mm/+0PzRvPOH +5o/2P39o/uge5o++D+eP7mP+6P2bP7qX+UPzR/czf2j+6J7mj36v5o/ua/7Q +/NG9zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0 +//lD88e5PvvQz97qvbd42tvyh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/mn/+aP75o/nnj+afP5p//mj++aP5 +54/mnz+af/5o/vmj+eeP7mn+0Pyh+UPzh+aP5pk/NH9o/uj9nj80f2j+0Pyh ++UPzR/c8f2j+0Pyh+UPzR/udPzR/aP7ovuYPzR/NO39o/tD8oflD84fmD80f +mj80f2j+aN75Q/OH5o8+//lD84fmD80fmj80f/R9PX/0ecwfmj96X+YPzR+a +PzR/aP7onuaPPo/5Q/OH5g/NH+1j/tD8oflD84fmD80fmj+6l/lD80fv1/yh ++UPzh+aP9jt/aP7Q/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PP +H80/f/T35w/NHz3P/KH5o+ebPzR/9Lzzh+aPnn/+6Ptq/mie+UPzR/PNH5o/ +mnf+0PzR/ucPzR/dw/zR9+H80X3MH71/80f3Mn9o/uh+5g/NH93T/NHv1fzR +fc0fmj+6t/lD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR +/ueP9j9/aP4412f3ueedn/ybdzz8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+aP/z +R/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aP5p8/mn/+aP75o/nnj+afP5p/ +/mj++aP554/mnz+af/5o/vmje5o/NH9o/tD8ofmjeeYPzR+aP3q/5w/NH5o/ +NH9o/tD80T3PH5o/NH9o/tD80X7nD80fmj+6r/lD80fzzh+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/mnf+0Pyh+aPPf/7Q/KH5Q/OH5g/NH31fzx99HvOH5o/el/lD +84fmD80fmj+6p/mjz2P+0Pyh+UPzR/uYPzR/aP7Q/KH5Q/OH5o/uZf7Q/NH7 +NX9o/tD8ofmj/c4fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/8 +0fzzR/PPH/39+UPzR88zf2j+6PnmD80fPe/8ofmj558/+r6aP5pn/tD80Xzz +h+aP5p0/NH+0//lD80f3MH/0fTh/dB/zR+/f/NG9zB+aP7qf+UPzR/c0f/R7 +NX90X/OH5o/ubf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2 +P3+0//mj/c8fmj/O9dlrPvfbv/+qlzn8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aP5p8/mn/+aP75o/nnj+af +P5p//mj++aP554/mnz+af/5o/vmje5o/NH9o/tD8ofmjeeYPzR+aP3q/5w/N +H5o/NH9o/tD80T3PH5o/NH9o/tD80X7nD80fmj+6r/lD80fzzh+aPzR/aP7Q +/KH5Q/OH5g/NH5o/mnf+0Pyh+aPPf/7Q/KH5Q/OH5g/NH31fzx99HvOH5o/e +l/lD84fmD80fmj+6p/mjz2P+0Pyh+UPzR/uYPzR/aP7Q/KH5Q/OH5o/uZf7Q +/NH7NX9o/tD8ofmj/c4fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/ +NP/80fzzR/PPH/39+UPzR88zf2j+6PnmD80fPe/8ofmj558/+r6aP5pn/tD8 +0Xzzh+aP5p0/NH+0//lD80f3MH/0fTh/dB/zR+/f/NG9zB+aP7qf+UPzR/c0 +f/R7NX90X/OH5o/ubf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8fmj/O9dnX/bMH3PTBT3xr/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/PPH80/fzT//NH8 +80fzzx/NP380//zR/PNH888fzT9/NP/80T3NH5o/NH9o/tD80Tzzh+YPzR+9 +3/OH5g/NH5o/NH9o/uie5w/NH5o/NH9o/mi/84fmD80f3df8ofmjeecPzR+a +PzR/aP7Q/KH5Q/OH5g/NH807f2j+0PzR5z9/aP7Q/KH5Q/OH5o++r+ePPo/5 +Q/NH78v8oflD84fmD80f3dP80ecxf2j+0Pyh+aN9zB+aPzR/aP7Q/KH5Q/NH +9zJ/aP7o/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj+eeP5p8/mn/+aP75o/nn +j+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fmj553/tD80fPPH31fzR/N +M39o/mi++UPzR/POH5o/2v/8ofmje5g/+j6cP7qP+aP3b/7oXuYPzR/dz/yh ++aN7mj/6vZo/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/t +f/5o//NH+58/2v/80f7nD80f5/rs6S986Iff9rWHPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80fzzR/PPH80/ +fzT//NH880fzzx/NP380//zR/PNH888fzT9/dE/zh+YPzR+aPzR/NM/8oflD +80fv9/yh+UPzh+YPzR+aP7rn+UPzh+YPzR+aP9rv/KH5Q/NH9zV/aP5o3vlD +84fmD80fmj80f2j+0Pyh+UPzR/POH5o/NH/0+c8fmj80f2j+0Pyh+aPv6/mj +z2P+0PzR+zJ/aP7Q/KH5Q/NH9zR/9HnMH5o/NH9o/mgf84fmD80fmj80f2j+ +0PzRvcwfmj96v+YPzR+aPzR/tN/5Q/OH5o/mnz+af/5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//mj++aO/P39o/uh55g/NHz3f/KH5o+edPzR/9PzzR99X +80fzzB+aP5pv/tD80bzzh+aP9j9/aP7oHuaPvg/nj+5j/uj9mz+6l/lD80f3 +M39o/uie5o9+r+aP7mv+0PzRvc0fmj/a//zR/ueP9j9/tP/5o/3PH+1//mj/ +80f7nz/a//zR/ueP9j9/tP/5Q/PHuT67/mMe9exbv+st+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0 +//mj/c8fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH80/fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/c0f2j+0Pyh+UPzR/PM +H5o/NH/0fs8fmj80f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+aPzR/dF/zh+aP +5p0/NH9o/tD8oflD84fmD80fmj80fzTv/KH5Q/NHn//8oflD84fmD80fmj/6 +vp4/+jzmD80fvS/zh+YPzR+aPzR/dE/zR5/H/KH5Q/OH5o/2MX9o/tD8oflD +84fmD80f3cv8ofmj92v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP5p8/mn/+aP75 +o/nnj+afP5p//mj++aP554/mnz/6+/OH5o+eZ/7Q/NHzzR+aP3re+UPzR88/ +f/R9NX80z/yh+aP55g/NH807f2j+aP/zh+aP7mH+6Ptw/ug+5o/ev/mje5k/ +NH90P/OH5o/uaf7o92r+6L7mD80f3dv8ofmj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8f7X/+aP/zR/ufPzR/nOuzx97j8Q95xk8d/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9 +zx/tf/5o//OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/PP +H80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80T3NH5o/NH9o/tD8 +0Tzzh+YPzR+93/OH5g/NH5o/NH9o/uie5w/NH5o/NH9o/mi/84fmD80f3df8 +ofmjeecPzR+aPzR/aP7Q/KH5Q/OH5g/NH807f2j+0PzR5z9/aP7Q/KH5Q/OH +5o++r+ePPo/5Q/NH78v8oflD84fmD80f3dP80ecxf2j+0Pyh+aN9zB+aPzR/ +aP7Q/KH5Q/NH9zJ/aP7o/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj+eeP5p8/ +mn/+aP75o/nnj+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fmj553/tD8 +0fPPH31fzR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+j6cP7qP+aP3b/7o +XuYPzR/dz/yh+aN7mj/6vZo/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80f5/rs8p/z27e4zhcc/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +R/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80T3NH5o/NH9o +/tD80Tzzh+YPzR+93/OH5g/NH5o/NH9o/uie5w/NH5o/NH9o/mi/84fmD80f +3df8ofmjeecPzR+aPzR/aP7Q/KH5Q/OH5g/NH807f2j+0PzR5z9/aP7Q/KH5 +Q/OH5o++r+ePPo/5Q/NH78v8oflD84fmD80f3dP80ecxf2j+0Pyh+aN9zB+a +PzR/aP7Q/KH5Q/NH9zJ/aP7o/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj+eeP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fmj553 +/tD80fPPH31fzR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+j6cP7qP+aP3 +b/7oXuYPzR/dz/yh+aN7mj/6vZo/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80f5/rsAR9+5sf/xGvfnD80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9 +zx/tf/5o//NH+58/2v/8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/f3RP84fm +D80fmj80fzTP/KH5Q/NH7/f8oflD84fmD80fmj+65/lD84fmD80fmj/a7/yh ++UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8oflD80fzzh+aPzR/9PnPH5o/ +NH9o/tD8ofmj7+v5o89j/tD80fsyf2j+0Pyh+UPzR/c0f/R5zB+aPzR/aP5o +H/OH5g/NH5o/NH9o/tD80b3MH5o/er/mD80fmj80f7Tf+UPzh+aP5p8/mn/+ +aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmjvz9/aP7oeeYPzR893/yh ++aPnnT80f/T880ffV/NH88wfmj+ab/7Q/NG884fmj/Y/f2j+6B7mj74P54/u +Y/7o/Zs/upf5Q/NH9zN/aP7onuaPfq/mj+5r/tD80b3NH5o/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzx7k+e/ufvuhF73/g +4Q/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH93T +/KH5Q/OH5g/NH80zf2j+0PzR+z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2 +O39o/tD80X3NH5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fff7z +h+YPzR+aPzR/aP7o+3r+6POYPzR/9L7MH5o/NH9o/tD80T3NH30e84fmD80f +mj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aP3q/5Q/OH5g/NH+13/tD8ofmj+eeP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/7o788fmj96nvlD80fP +N39o/uh55w/NHz3//NH31fzRPPOH5o/mmz80fzTv/KH5o/3PH5o/uof5o+/D ++aP7mD96/+aP7mX+0PzR/cwfmj+6p/mj36v5o/uaPzR/dG/zh+aP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8ca7Pbv/o1zzi +ztc5/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/80f7nz/a//zR/ueP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ucPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75 +o3uaPzR/aP7Q/KH5o3nmD80fmj96v+cPzR+aPzR/aP7Q/NE9zx+aPzR/aP7Q +/NF+5w/NH5o/uq/5Q/NH884fmj80f2j+0Pyh+UPzh+YPzR+aP5p3/tD8ofmj +z3/+0Pyh+UPzh+YPzR99X88ffR7zh+aP3pf5Q/OH5g/NH5o/uqf5o89j/tD8 +oflD80f7mD80f2j+0Pyh+UPzh+aP7mX+0PzR+zV/aP7Q/KH5o/3OH5o/NH80 +//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/9/flD80fPM39o +/uj55g/NHz3v/KH5o+efP/q+mj+aZ/7Q/NF884fmj+adPzR/tP/5Q/NH9zB/ +9H04f3Qf80fv3/zRvcwfmj+6n/lD80f3NH/0ezV/dF/zh+aP7m3+0PzR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/zvXZC+7+ +1lv/yfPflD80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/tf/5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PP +H80/f3RP84fmD80fmj80fzTP/KH5Q/NH7/f8oflD84fmD80fmj+65/lD84fm +D80fmj/a7/yh+UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8oflD80fzzh+a +PzR/9PnPH5o/NH9o/tD8ofmj7+v5o89j/tD80fsyf2j+0Pyh+UPzR/c0f/R5 +zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD80b3MH5o/er/mD80fmj80f7Tf+UPz +h+aP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmjvz9/aP7o +eeYPzR893/yh+aPnnT80f/T880ffV/NH88wfmj+ab/7Q/NG884fmj/Y/f2j+ +6B7mj74P54/uY/7o/Zs/upf5Q/NH9zN/aP7onuaPfq/mj+5r/tD80b3NH5o/ +2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzx7k+ +u+kNP3CVz/6Owx+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75 +o/nnj+afP7qn+UPzh+YPzR+aP5pn/tD8ofmj93v+0Pyh+UPzh+YPzR/d8/yh ++UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fmD80fmj80f2j+0Pyh+aN5 +5w/NH5o/+vznD80fmj80f2j+0PzR9/X80ecxf2j+6H2ZPzR/aP7Q/KH5o3ua +P/o85g/NH5o/NH+0j/lD84fmD80fmj80f2j+6F7mD80fvV/zh+YPzR+aP9rv +/KH5Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR358/ +NH/0PPOH5o+eb/7Q/NHzzh+aP3r++aPvq/mjeeYPzR/NN39o/mje+UPzR/uf +PzR/dA/zR9+H80f3MX/0/s0f3cv8ofmj+5k/NH90T/NHv1fzR/c1f2j+6N7m +D80f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//KH5 +41yf/co/Xu7Vj7nS4Q/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/ +NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR+z1/aP7Q/KH5Q/OH5o/u +ef7Q/KH5Q/OH5o/2O39o/tD80X3NH5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q +/NG884fmD80fff7zh+YPzR+aPzR/aP7o+3r+6POYPzR/9L7MH5o/NH9o/tD8 +0T3NH30e84fmD80fmj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aP3q/5Q/OH5g/N +H+13/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/7o +788fmj96nvlD80fPN39o/uh55w/NHz3//NH31fzRPPOH5o/mmz80fzTv/KH5 +o/3PH5o/uof5o+/D+aP7mD96/+aP7mX+0PzR/cwfmj+6p/mj36v5o/uaPzR/ +dG/zh+aP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/tD8ca7Prvb8K11ymaf8Tf7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD80fzzx/NP380//zR/PNH888fzT9/NP/8 +0fzzR/PPH80/fzT//NE9zR+aPzR/aP7Q/NE884fmD80fvd/zh+YPzR+aPzR/ +aP7onucPzR+aPzR/aP5ov/OH5g/NH93X/KH5o3nnD80fmj80f2j+0Pyh+UPz +h+YPzR/NO39o/tD80ec/f2j+0Pyh+UPzh+aPvq/njz6P+UPzR+/L/KH5Q/OH +5g/NH93T/NHnMX9o/tD8ofmjfcwfmj80f2j+0Pyh+UPzR/cyf2j+6P2aPzR/ +aP7Q/NF+5w/NH5o/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj ++eeP/v78ofmj55k/NH/0fPOH5o+ed/7Q/NHzzx99X80fzTN/aP5ovvlD80fz +zh+aP9r//KH5o3uYP/o+nD+6j/mj92/+6F7mD80f3c/8ofmje5o/+r2aP7qv ++UPzR/c2f2j+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+5w/NH+f67KJHXvMu97n94Q/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a +//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT//NH880fzzx/NP380//zR/PNH +888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR+z1/aP7Q/KH5 +Q/OH5o/uef7Q/KH5Q/OH5o/2O39o/tD80X3NH5o/mnf+0Pyh+UPzh+YPzR+a +PzR/aP7Q/NG884fmD80fff7zh+YPzR+aPzR/aP7o+3r+6POYPzR/9L7MH5o/ +NH9o/tD80T3NH30e84fmD80fmj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aP3q/5 +Q/OH5g/NH+13/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/m +nz+af/7o788fmj96nvlD80fPN39o/uh55w/NHz3//NH31fzRPPOH5o/mmz80 +fzTv/KH5o/3PH5o/uof5o+/D+aP7mD96/+aP7mX+0PzR/cwfmj+6p/mj36v5 +o/uaPzR/dG/zh+aP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5 +o/3PH+1//tD8ca7PPnTXz7rWyy91+EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+ +54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH80/fzT//NH880fzzx/NP380 +//zR/PNH888fzT9/NP/80fzzR/c0f2j+0Pyh+UPzR/PMH5o/NH/0fs8fmj80 +f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+aPzR/dF/zh+aP5p0/NH9o/tD8oflD +84fmD80fmj80fzTv/KH5Q/NHn//8oflD84fmD80fmj/6vp4/+jzmD80fvS/z +h+YPzR+aPzR/dE/zR5/H/KH5Q/OH5o/2MX9o/tD8oflD84fmD80f3cv8ofmj +92v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj+ ++aP554/mnz/6+/OH5o+eZ/7Q/NHzzR+aP3re+UPzR88/f/R9NX80z/yh+aP5 +5g/NH807f2j+aP/zh+aP7mH+6Ptw/ug+5o/ev/mje5k/NH90P/OH5o/uaf7o +92r+6L7mD80f3dv8ofmj/c8f7X/+aP/zR/ufP/4/1/UXuv9dl3HcQ0VBowOV +iRoMJDQcCHoytYjUhaiIiqgjhjoQtwMhsIUpaEuIhc3Wif8OjDxwi0RxYqgf +mENFdPg33WY2ZyLCDE2SYaKdXI/nDZ/D59Hvfn2v933/eLT//NH+80f7zx/t +P3+0//zR/vNH+88f7T9/aP449XHDM5/74+f+84/yh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5o/3nj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP754/unz+6f/7o +/vmj++eP7p8/un/+6P75o/vnj+6fP7p//ug9zR+aPzR/aP7Q/NE984fmD80f +fb/nD80fmj80f2j+0PzRe54/NH9o/tD8ofmjfecPzR+aP3pf84fmj+6dPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH907/yh+UPzR3//+UPzh+YPzR+aPzR/9Hs9f/T3 +mD80f/R9mT80f2j+0Pyh+aP3NH/095g/NH9o/tD80R7zh+YPzR+aPzR/aP7Q +/NF7mT80f/T9mj80f2j+0PzRvvOH5g/NH90/f3T//NH980f3zx/dP390//zR +/fNH988f3T9/dP/80f3zR//+/KH5o88zf2j+6PPNH5o/+rzzh+aPPv/80e/V +/NE984fmj+6bPzR/dO/8ofmj/ecPzR+9h/mj38P5o/cxf/T9mz96L/OH5o/e +z/yh+aP3NH/0/9X80fuaPzR/9N7mD80f7T9/tP/80f7zR/vPH+0/f7T//NH+ +80f7zx/tP3+0//zR/vNH+88fmj9OfXzv//70ox+55uIPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/NH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx/tP3+0//zR +/vOH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/fPH90/f3T/ +/NH980f3zx/dP390//zR/fNH988f3T9/dP/80XuaPzR/aP7Q/KH5o3vmD80f +mj/6fs8fmj80f2j+0Pyh+aP3PH9o/tD8oflD80f7zh+aPzR/9L7mD80f3Tt/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/uje+UPzh+aP/v7zh+YPzR+aPzR/aP7o93r+ +6O8xf2j+6Psyf2j+0Pyh+UPzR+9p/ujvMX9o/tD8ofmjPeYPzR+aPzR/aP7Q +/KH5o/cyf2j+6Ps1f2j+0Pyh+aN95w/NH5o/un/+6P75o/vnj+6fP7p//uj+ ++aP754/unz+6f/7o/vmj++eP/v35Q/NHn2f+0PzR55s/NH/0eecPzR99/vmj +36v5o3vmD80f3Td/aP7o3vlD80f7zx+aP3oP80e/h/NH72P+6Ps3f/Re5g/N +H72f+UPzR+9p/uj/q/mj9zV/aP7ovc0fmj/af/5o//mj/eeP9p8/2n/+aP/5 +o/3nj/afP9p//mj/+aP954/2nz80f5z6ePGXXn3jY//7v/KH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8ofmj/eeP9p8/2n/+aP/5o/3nj/afP9p//mj/+aP954/2nz/a +f/5o//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5o/vnj+6f +P7p//uj++aP754/unz+6f/7o/vmj++eP7p8/un/+6D3NH5o/NH9o/tD80T3z +h+YPzR99v+cPzR+aPzR/aP7Q/NF7nj80f2j+0Pyh+aN95w/NH5o/el/zh+aP +7p0/NH9o/tD8oflD84fmD80fmj80f3Tv/KH5Q/NHf//5Q/OH5g/NH5o/NH/0 +ez1/9PeYPzR/9H2ZPzR/aP7Q/KH5o/c0f/T3mD80f2j+0PzRHvOH5g/NH5o/ +NH9o/tD80XuZPzR/9P2aPzR/aP7Q/NG+84fmD80f3T9/dP/80f3zR/fPH90/ +f3T//NH980f3zx/dP390//zR/fNH//78ofmjzzN/aP7o880fmj/6vPOH5o8+ +//zR79X80T3zh+aP7ps/NH907/yh+aP95w/NH72H+aPfw/mj9zF/9P2bP3ov +84fmj97P/KH5o/c0f/T/1fzR+5o/NH/03uYPzR/tP3+0//zR/vNH+88f7T9/ +tP/80f7zR/vPH+0/f7T//NH+80f7zx+aP059fOa266/6i/de/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/mj/+aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5o/3n +j/afP9p//tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7o/vmj +++eP7p8/un/+6P75o/vnj+6fP7p//uj++aP754/unz96T/OH5g/NH5o/NH90 +z/yh+UPzR9/v+UPzh+YPzR+aPzR/9J7nD80fmj80f2j+aN/5Q/OH5o/e1/yh ++aN75w/NH5o/NH9o/tD8oflD84fmD80f3Tt/aP7Q/NHff/7Q/KH5Q/OH5g/N +H/1ezx/9PeYPzR99X+YPzR+aPzR/aP7oPc0f/T3mD80fmj80f7TH/KH5Q/OH +5g/NH5o/NH/0XuYPzR99v+YPzR+aPzR/tO/8oflD80f3zx/dP390//zR/fNH +988f3T9/dP/80f3zR/fPH90/f3T//NG/P39o/ujzzB+aP/p884fmjz7v/KH5 +o88/f/R7NX90z/yh+aP75g/NH907f2j+aP/5Q/NH72H+6Pdw/uh9zB99/+aP +3sv8ofmj9zN/aP7oPc0f/X81f/S+5g/NH723+UPzR/vPH+0/f7T//NH+80f7 +zx/tP3+0//zR/vNH+88f7T9/tP/80f7zh+aPUx/PuO7Pf/HAsy/+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/tP/80f7zR/vPH+0/f7T//NH+80f7zx/tP3+0//zR +/vNH+88f7T9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f3T/ +/NH980f3zx/dP390//zR/fNH988f3T9/dP/80f3zR/fPH72n+UPzh+YPzR+a +P7pn/tD8ofmj7/f8oflD84fmD80fmj96z/OH5g/NH5o/NH+07/yh+UPzR+9r +/tD80b3zh+YPzR+aPzR/aP7Q/KH5Q/OH5o/unT80f2j+6O8/f2j+0Pyh+UPz +h+aPfq/nj/4e84fmj74v84fmD80fmj80f/Se5o/+HvOH5g/NH5o/2mP+0Pyh ++UPzh+YPzR+aP3ov84fmj75f84fmD80fmj/ad/7Q/KH5o/vnj+6fP7p//uj+ ++aP754/unz+6f/7o/vmj++eP7p8/un/+6N+fPzR/9HnmD80ffb75Q/NHn3f+ +0PzR558/+r2aP7pn/tD80X3zh+aP7p0/NH+0//yh+aP3MH/0ezh/9D7mj75/ +80fvZf7Q/NH7mT80f/Se5o/+v5o/el/zh+aP3tv8ofmj/eeP9p8/2n/+aP/5 +o/3nj/afP9p//mj/+aP954/2nz/af/5o//lD88epjw/8wTvvvOb+H+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/NH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7 +zx/tP3+0//zR/vOH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +R/fPH90/f3T//NH980f3zx/dP390//zR/fNH988f3T9/dP/80XuaPzR/aP7Q +/KH5o3vmD80fmj/6fs8fmj80f2j+0Pyh+aP3PH9o/tD8oflD80f7zh+aPzR/ +9L7mD80f3Tt/aP7Q/KH5Q/OH5g/NH5o/NH9o/uje+UPzh+aP/v7zh+YPzR+a +PzR/aP7o93r+6O8xf2j+6Psyf2j+0Pyh+UPzR+9p/ujvMX9o/tD8ofmjPeYP +zR+aPzR/aP7Q/KH5o/cyf2j+6Ps1f2j+0Pyh+aN95w/NH5o/un/+6P75o/vn +j+6fP7p//uj++aP754/unz+6f/7o/vmj++eP/v35Q/NHn2f+0PzR55s/NH/0 +eecPzR99/vmj36v5o3vmD80f3Td/aP7o3vlD80f7zx+aP3oP80e/h/NH72P+ +6Ps3f/Re5g/NH72f+UPzR+9p/uj/q/mj9zV/aP7ovc0fmj/af/5o//mj/eeP +9p8/2n/+aP/5o/3nj/afP9p//mj/+aP954/2nz80f5z6eMyv3nPTx99x8Yfm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5 +o/3nj/afP9p//mj/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +ofmj++eP7p8/un/+6P75o/vnj+6fP7p//uj++aP754/unz+6f/7oPc0fmj80 +f2j+0PzRPfOH5g/NH32/5w/NH5o/NH9o/tD80XuePzR/aP7Q/KH5o33nD80f +mj96X/OH5o/unT80f2j+0Pyh+UPzh+YPzR+aPzR/dO/8oflD80d///lD84fm +D80fmj80f/R7PX/095g/NH/0fZk/NH9o/tD8ofmj9zR/9PeYPzR/aP7Q/NEe +84fmD80fmj80f2j+0PzRe5k/NH/0/Zo/NH9o/tD80b7zh+YPzR/dP390//zR +/fNH988f3T9/dP/80f3zR/fPH90/f3T//NH980f//vyh+aPPM39o/ujzzR+a +P/q884fmjz7//NHv1fzRPfOH5o/umz80f3Tv/KH5o/3nD80fvYf5o9/D+aP3 +MX/0/Zs/ei/zh+aP3s/8ofmj9zR/9P/V/NH7mj80f/Te5g/NH+0/f7T//NH+ +80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH5o/Tn287QsfvPqKKy/+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/tP/80f7zR/vPH+0/f7T//NH+80f7zx/t +P3+0//zR/vNH+88f7T9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f3T//NH980f3zx/dP390//zR/fNH988f3T9/dP/80f3zR/fPH72n+UPz +h+YPzR+aP7pn/tD8ofmj7/f8oflD84fmD80fmj96z/OH5g/NH5o/NH+07/yh ++UPzR+9r/tD80b3zh+YPzR+aPzR/aP7Q/KH5Q/OH5o/unT80f2j+6O8/f2j+ +0Pyh+UPzh+aPfq/nj/4e84fmj74v84fmD80fmj80f/Se5o/+HvOH5g/NH5o/ +2mP+0Pyh+UPzh+YPzR+aP3ov84fmj75f84fmD80fmj/ad/7Q/KH5o/vnj+6f +P7p//uj++aP754/unz+6f/7o/vmj++eP7p8/un/+6N+fPzR/9HnmD80ffb75 +Q/NHn3f+0PzR558/+r2aP7pn/tD80X3zh+aP7p0/NH+0//yh+aP3MH/0ezh/ +9D7mj75/80fvZf7Q/NH7mT80f/Se5o/+v5o/el/zh+aP3tv8ofmj/eeP9p8/ +2n/+aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//lD88epj4fee/tv3/Wl +B/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj/eeP9p8/2n/+aP/5o/3nj/afP9p/ +/mj/+aP954/2nz/af/5o//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5o/vnj+6fP7p//uj++aP754/unz+6f/7o/vmj++eP7p8/un/+6D3N +H5o/NH9o/tD80T3zh+YPzR99v+cPzR+aPzR/aP7Q/NF7nj80f2j+0Pyh+aN9 +5w/NH5o/el/zh+aP7p0/NH9o/tD8oflD84fmD80fmj80f3Tv/KH5Q/NHf//5 +Q/OH5g/NH5o/NH/0ez1/9PeYPzR/9H2ZPzR/aP7Q/KH5o/c0f/T3mD80f2j+ +0PzRHvOH5g/NH5o/NH9o/tD80XuZPzR/9P2aPzR/aP7Q/NG+84fmD80f3T9/ +dP/80f3zR/fPH90/f3T//NH980f3zx/dP390//zR/fNH//78ofmjzzN/aP7o +880fmj/6vPOH5o8+//zR79X80T3zh+aP7ps/NH907/yh+aP95w/NH72H+aPf +w/mj9zF/9P2bP3ov84fmj97P/KH5o/c0f/T/1fzR+5o/NH/03uYPzR/tP3+0 +//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx+aP059vO7PPn3X +Qzdc/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/+aP954/2nz/af/5o//mj/eeP +9p8/2n/+aP/5o/3nj/afP9p//tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7o/vmj++eP7p8/un/+6P75o/vnj+6fP7p//uj++aP754/unz96 +T/OH5g/NH5o/NH90z/yh+UPzR9/v+UPzh+YPzR+aPzR/9J7nD80fmj80f2j+ +aN/5Q/OH5o/e1/yh+aN75w/NH5o/NH9o/tD8oflD84fmD80f3Tt/aP7Q/NHf +f/7Q/KH5Q/OH5g/NH/1ezx/9PeYPzR99X+YPzR+aPzR/aP7oPc0f/T3mD80f +mj80f7TH/KH5Q/OH5g/NH5o/NH/0XuYPzR99v+YPzR+aPzR/tO/8oflD80f3 +zx/dP390//zR/fNH988f3T9/dP/80f3zR/fPH90/f3T//NG/P39o/ujzzB+a +P/p884fmjz7v/KH5o88/f/R7NX90z/yh+aP75g/NH907f2j+aP/5Q/NH72H+ +6Pdw/uh9zB99/+aP3sv8ofmj9zN/aP7oPc0f/X81f/S+5g/NH723+UPzR/vP +H+0/f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zh+aPUx9fefoX +b37l71z8oflD84fmD80fmj80f+i/mz80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj/af/5o//mj/eeP9p8/2n/+ +aP/5o/3nj/afP9p//mj/+aP954/2nz80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/un/+6P75o/vnj+6fP7p//uj++aP754/unz+6f/7o/vmj +++eP3tP8oflD84fmD80f3TN/aP7Q/NH3e/7Q/KH5Q/OH5g/NH73n+UPzh+YP +zR+aP9p3/tD8ofmj9zV/aP7o3vlD84fmD80fmj80f2j+0Pyh+UPzR/fOH5o/ +NH/0958/NH9o/tD8oflD80e/1/NHf4/5Q/NH35f5Q/OH5g/NH5o/ek/zR3+P ++UPzh+YPzR/tMX9o/tD8oflD84fmD80fvZf5Q/NH36/5Q/OH5g/NH+07f2j+ +0PzR/fNH988f3T9/dP/80f3zR/fPH90/f3T//NH980f3zx/dP3/0788fmj/6 +PPOH5o8+3/yh+aPPO39o/ujzzx/9Xs0f3TN/aP7ovvlD80f3zh+aP9p//tD8 +0XuYP/o9nD96H/NH37/5o/cyf2j+6P3MH5o/ek/zR/9fzR+9r/lD80fvbf7Q +/NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//KH549TH +1Q9/60Wf++QP8ofmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP954/2nz/af/5o//mj +/eeP9p8/2n/+aP/5o/3nj/afP9p//mj/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8ofmj++eP7p8/un/+6P75o/vnj+6fP7p//uj++aP754/u +nz+6f/7oPc0fmj80f2j+0PzRPfOH5g/NH32/5w/NH5o/NH9o/tD80XuePzR/ +aP7Q/KH5o33nD80fmj96X/OH5o/unT80f2j+0Pyh+UPzh+YPzR+aPzR/dO/8 +oflD80d///lD84fmD80fmj80f/R7PX/095g/NH/0fZk/NH9o/tD8ofmj9zR/ +9PeYPzR/aP7Q/NEe84fmD80fmj80f2j+0PzRe5k/NH/0/Zo/NH9o/tD80b7z +h+YPzR/dP390//zR/fNH988f3T9/dP/80f3zR/fPH90/f3T//NH980f//vyh ++aPPM39o/ujzzR+aP/q884fmjz7//NHv1fzRPfOH5o/umz80f3Tv/KH5o/3n +D80fvYf5o9/D+aP3MX/0/Zs/ei/zh+aP3s/8ofmj9zR/9P/V/NH7mj80f/Te +5g/NH+0/f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH5o/ +Tn3ccfeDj37aay7+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/tP/80f7zR/vPH+0/ +f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f3T//NH980f3zx/dP390//zR/fNH988f3T9/dP/8 +0f3zR/fPH72n+UPzh+YPzR+aP7pn/tD8ofmj7/f8oflD84fmD80fmj96z/OH +5g/NH5o/NH+07/yh+UPzR+9r/tD80b3zh+YPzR+aPzR/aP7Q/KH5Q/OH5o/u +nT80f2j+6O8/f2j+0Pyh+UPzh+aPfq/nj/4e84fmj74v84fmD80fmj80f/Se +5o/+HvOH5g/NH5o/2mP+0Pyh+UPzh+YPzR+aP3ov84fmj75f84fmD80fmj/a +d/7Q/KH5o/vnj+6fP7p//uj++aP754/unz+6f/7o/vmj++eP7p8/un/+6N+f +PzR/9HnmD80ffb75Q/NHn3f+0PzR558/+r2aP7pn/tD80X3zh+aP7p0/NH+0 +//yh+aP3MH/0ezh/9D7mj75/80fvZf7Q/NH7mT80f/Se5o/+v5o/el/zh+aP +3tv8ofmj/eeP9p8/2n/+aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//lD +88epjyfd+rN73vObB/KH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj/eeP9p8/2n/+ +aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//lD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5o/vnj+6fP7p//uj++aP754/unz+6f/7o/vmj +++eP7p8/un/+6D3NH5o/NH9o/tD80T3zh+YPzR99v+cPzR+aPzR/aP7Q/NF7 +nj80f2j+0Pyh+aN95w/NH5o/el/zh+aP7p0/NH9o/tD8oflD84fmD80fmj80 +f3Tv/KH5Q/NHf//5Q/OH5g/NH5o/NH/0ez1/9PeYPzR/9H2ZPzR/aP7Q/KH5 +o/c0f/T3mD80f2j+0PzRHvOH5g/NH5o/NH9o/tD80XuZPzR/9P2aPzR/aP7Q +/NG+84fmD80f3T9/dP/80f3zR/fPH90/f3T//NH980f3zx/dP390//zR/fNH +//78ofmjzzN/aP7o880fmj/6vPOH5o8+//zR79X80T3zh+aP7ps/NH907/yh ++aP95w/NH72H+aPfw/mj9zF/9P2bP3ov84fmj97P/KH5o/c0f/T/1fzR+5o/ +NH/03uYPzR/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7 +zx+aP0593HLtb259+MMXf2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP9p//mj/+aP9 +54/2nz/af/5o//mj/eeP9p8/2n/+aP/5o/3nj/afPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj+6f/7o/vmj++eP7p8/un/+6P75o/vnj+6f +P7p//uj++aP754/e0/yh+UPzh+YPzR/dM39o/tD80fd7/tD8oflD84fmD80f +vef5Q/OH5g/NH5o/2nf+0Pyh+aP3NX9o/uje+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/NH984fmj80f/T3nz80f2j+0Pyh+UPzR7/X80d/j/lD80ffl/lD84fmD80f +mj96T/NHf4/5Q/OH5g/NH+0xf2j+0Pyh+UPzh+YPzR+9l/lD80ffr/lD84fm +D80f7Tt/aP7Q/NH980f3zx/dP390//zR/fNH988f3T9/dP/80f3zR/fPH90/ +f/Tvzx+aP/o884fmjz7f/KH5o887f2j+6PPPH/1ezR/dM39o/ui++UPzR/fO +H5o/2n/+0PzRe5g/+j2cP3of80ffv/mj9zJ/aP7o/cwfmj96T/NH/1/NH72v ++UPzR+9t/tD80f7zR/vPH+0/f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/ +tP/8ofnj1Mevf/8xr7zuhRd/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2n/+aP/5 +o/3nj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aP7p//uj++aP754/unz+6f/7o/vmj++eP +7p8/un/+6P75o/vnj97T/KH5Q/OH5g/NH90zf2j+0PzR93v+0Pyh+UPzh+YP +zR+95/lD84fmD80fmj/ad/7Q/KH5o/c1f2j+6N75Q/OH5g/NH5o/NH9o/tD8 +oflD80f3zh+aPzR/9PefPzR/aP7Q/KH5Q/NHv9fzR3+P+UPzR9+X+UPzh+YP +zR+aP3pP80d/j/lD84fmD80f7TF/aP7Q/KH5Q/OH5g/NH72X+UPzR9+v+UPz +h+YPzR/tO39o/tD80f3zR/fPH90/f3T//NH980f3zx/dP390//zR/fNH988f +3T9/9O/PH5o/+jzzh+aPPt/8ofmjzzt/aP7o888f/V7NH90zf2j+6L75Q/NH +984fmj/af/7Q/NF7mD/6PZw/eh/zR9+/+aP3Mn9o/uj9zB+aP3pP80f/X80f +va/5Q/NH723+0PzR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx/t +P3+0//yh+ePUx70PPeuq277zn/lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/vNH ++88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx/tP3+0//yh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80f3zR/fPH90/f3T//NH980f3zx/d +P390//zR/fNH988f3T9/9J7mD80fmj80f2j+6J75Q/OH5o++3/OH5g/NH5o/ +NH9o/ug9zx+aPzR/aP7Q/NG+84fmD80fva/5Q/NH984fmj80f2j+0Pyh+UPz +h+YPzR+aP7p3/tD8ofmjv//8oflD84fmD80fmj/6vZ4/+nvMH5o/+r7MH5o/ +NH9o/tD80XuaP/p7zB+aPzR/aP5oj/lD84fmD80fmj80f2j+6L3MH5o/+n7N +H5o/NH9o/mjf+UPzh+aP7p8/un/+6P75o/vnj+6fP7p//uj++aP754/unz+6 +f/7o/vmjf3/+0PzR55k/NH/0+eYPzR993vlD80eff/7o92r+6J75Q/NH980f +mj+6d/7Q/NH+84fmj97D/NHv4fzR+5g/+v7NH72X+UPzR+9n/tD80XuaP/r/ +av7ofc0fmj96b/OH5o/2nz/af/5o//mj/eeP9p8/2n/+aP/5o/3nj/afP9p/ +/mj/+aP95w/NH6c+/u3ml975xqde/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/ ++aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5o/3nj/afP9p//tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7o/vmj++eP7p8/un/+6P75o/vn +j+6fP7p//uj++aP754/unz96T/OH5g/NH5o/NH90z/yh+UPzR9/v+UPzh+YP +zR+aPzR/9J7nD80fmj80f2j+aN/5Q/OH5o/e1/yh+aN75w/NH5o/NH9o/tD8 +oflD84fmD80f3Tt/aP7Q/NHff/7Q/KH5Q/OH5g/NH/1ezx/9PeYPzR99X+YP +zR+aPzR/aP7oPc0f/T3mD80fmj80f7TH/KH5Q/OH5g/NH5o/NH/0XuYPzR99 +v+YPzR+aPzR/tO/8oflD80f3zx/dP390//zR/fNH988f3T9/dP/80f3zR/fP +H90/f3T//NG/P39o/ujzzB+aP/p884fmjz7v/KH5o88/f/R7NX90z/yh+aP7 +5g/NH907f2j+aP/5Q/NH72H+6Pdw/uh9zB99/+aP3sv8ofmj9zN/aP7oPc0f +/X81f/S+5g/NH723+UPzR/vPH+0/f7T//NH+80f7zx/tP3+0//zR/vNH+88f +7T9/tP/80f7zh+aPUx/vf/Kbr37Om76fPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H+0/f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/dP390//zR/fNH988f3T9/ +dP/80f3zR/fPH90/f3T//NH980fvaf7Q/KH5Q/OH5o/umT80f2j+6Ps9f2j+ +0Pyh+UPzh+aP3vP8oflD84fmD80f7Tt/aP7Q/NH7mj80f3Tv/KH5Q/OH5g/N +H5o/NH9o/tD8ofmje+cPzR+aP/r7zx+aPzR/aP7Q/KH5o9/r+aO/x/yh+aPv +y/yh+UPzh+YPzR+9p/mjv8f8oflD84fmj/aYPzR/aP7Q/KH5Q/OH5o/ey/yh ++aPv1/yh+UPzh+aP9p0/NH9o/uj++aP754/unz+6f/7o/vmj++eP7p8/un/+ +6P75o/vnj+6fP/r35w/NH32e+UPzR59v/tD80eedPzR/9Pnnj36v5o/umT80 +f3Tf/KH5o3vnD80f7T9/aP7oPcwf/R7OH72P+aPv3/zRe5k/NH/0fuYPzR+9 +p/mj/6/mj97X/KH5o/c2f2j+aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o +//mj/eeP9p8/2n/+0Pxx6uNtn/qbux758f/IH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5o/3nD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/unz+6f/7o/vmj++eP +7p8/un/+6P75o/vnj+6fP7p//uj++aP3NH9o/tD8oflD80f3zB+aPzR/9P2e +PzR/aP7Q/KH5Q/NH73n+0Pyh+UPzh+aP9p0/NH9o/uh9zR+aP7p3/tD8oflD +84fmD80fmj80f2j+0PzRvfOH5g/NH/395w/NH5o/NH9o/tD80e/1/NHfY/7Q +/NH3Zf7Q/KH5Q/OH5o/e0/zR32P+0Pyh+UPzR3vMH5o/NH9o/tD8oflD80fv +Zf7Q/NH3a/7Q/KH5Q/NH+84fmj80f3T//NH980f3zx/dP390//zR/fNH988f +3T9/dP/80f3zR/fPH/3784fmjz7P/KH5o883f2j+6PPOH5o/+vzzR79X80f3 +zB+aP7pv/tD80b3zh+aP9p8/NH/0HuaPfg/nj97H/NH3b/7ovcwfmj96P/OH +5o/e0/zR/1fzR+9r/tD80XubPzR/tP/80f7zR/vPH+0/f7T//NH+80f7zx/t +P3+0//zR/vNH+88f7T9/aP449XHtyz78ovt+9b38oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/2n/+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/uj++aP754/unz+6 +f/7o/vmj++eP7p8/un/+6P75o/vnj+6fP3pP84fmD80fmj80f3TP/KH5Q/NH +3+/5Q/OH5g/NH5o/NH/0nucPzR+aPzR/aP5o3/lD84fmj97X/KH5o3vnD80f +mj80f2j+0Pyh+UPzh+YPzR/dO39o/tD80d9//tD8oflD84fmD80f/V7PH/09 +5g/NH31f5g/NH5o/NH9o/ug9zR/9PeYPzR+aPzR/tMf8oflD84fmD80fmj80 +f/Re5g/NH32/5g/NH5o/NH+07/yh+UPzR/fPH90/f3T//NH980f3zx/dP390 +//zR/fNH988f3T9/dP/80b8/f2j+6PPMH5o/+nzzh+aPPu/8ofmjzz9/9Hs1 +f3TP/KH5o/vmD80f3Tt/aP5o//lD80fvYf7o93D+6H3MH33/5o/ey/yh+aP3 +M39o/ug9zR/9fzV/9L7mD80fvbf5Q/NH+88f7T9/tP/80f7zR/vPH+0/f7T/ +/NH+80f7zx/tP3+0//zR/vOH5o9TH8/7yWfvuf2PL/7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx/t +P39o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/dP/80f3zR/fP +H90/f3T//NH980f3zx/dP390//zR/fNH988fvaf5Q/OH5g/NH5o/umf+0Pyh ++aPv9/yh+UPzh+YPzR+aP3rP84fmD80fmj80f7Tv/KH5Q/NH72v+0PzRvfOH +5g/NH5o/NH9o/tD8oflD84fmj+6dPzR/aP7o7z9/aP7Q/KH5Q/OH5o9+r+eP +/h7zh+aPvi/zh+YPzR+aPzR/9J7mj/4e84fmD80fmj/aY/7Q/KH5Q/OH5g/N +H5o/ei/zh+aPvl/zh+YPzR+aP9p3/tD8ofmj++eP7p8/un/+6P75o/vnj+6f +P7p//uj++aP754/unz+6f/7o358/NH/0eeYPzR99vvlD80efd/7Q/NHnnz/6 +vZo/umf+0PzRffOH5o/unT80f7T//KH5o/cwf/R7OH/0PuaPvn/zR+9l/tD8 +0fuZPzR/9J7mj/6/mj96X/OH5o/e2/yh+aP954/2nz/af/5o//mj/eeP9p8/ +2n/+aP/5o/3nj/afP9p//mj/+UPzx6mPp7zz3lf81S335w/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T/ +/NH+84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH988f3T9/ +dP/80f3zR/fPH90/f3T//NH980f3zx/dP390//zRe5o/NH9o/tD8ofmje+YP +zR+aP/p+zx+aPzR/aP7Q/KH5o/c8f2j+0Pyh+UPzR/vOH5o/NH/0vuYPzR/d +O39o/tD8oflD84fmD80fmj80f2j+6N75Q/OH5o/+/vOH5g/NH5o/NH9o/uj3 +ev7o7zF/aP7o+zJ/aP7Q/KH5Q/NH72n+6O8xf2j+0Pyh+aM95g/NH5o/NH9o +/tD8ofmj9zJ/aP7o+zV/aP7Q/KH5o33nD80fmj+6f/7o/vmj++eP7p8/un/+ +6P75o/vnj+6fP7p//uj++aP754/+/flD80efZ/7Q/NHnmz80f/R55w/NH33+ ++aPfq/mje+YPzR/dN39o/uje+UPzR/vPH5o/eg/zR7+H80fvY/7o+zd/9F7m +D80fvZ/5Q/NH72n+6P+r+aP3NX9o/ui9zR+aP9p//mj/+aP954/2nz/af/5o +//mj/eeP9p8/2n/+aP/5o/3nj/afPzR/nPp4xBX/e99Lvn1f/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7z +R/vPH+0/f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH90//zR +/fNH988f3T9/dP/80f3zR/fPH90/f3T//NH980f3zx+9p/lD84fmD80fmj+6 +Z/7Q/KH5o+/3/KH5Q/OH5g/NH5o/es/zh+YPzR+aPzR/tO/8oflD80fva/7Q +/NG984fmD80fmj80f2j+0Pyh+UPzh+aP7p0/NH9o/ujvP39o/tD8oflD84fm +j36v54/+HvOH5o++L/OH5g/NH5o/NH/0nuaP/h7zh+YPzR+aP9pj/tD8oflD +84fmD80fmj96L/OH5o++X/OH5g/NH5o/2nf+0Pyh+aP754/unz+6f/7o/vmj +++eP7p8/un/+6P75o/vnj+6fP7p//ujfnz80f/R55g/NH32++UPzR593/tD8 +0eefP/q9mj+6Z/7Q/NF984fmj+6dPzR/tP/8ofmj9zB/9Hs4f/Q+5o++f/NH +72X+0PzR+5k/NH/0nuaP/r+aP3pf84fmj97b/KH5o/3nj/afP9p//mj/+aP9 +54/2nz/af/5o//mj/eeP9p8/2n/+aP/5Q/PHqY8ffOJx1z31yRd/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/2n/+aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o +//mj/eeP9p8/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP7p/ +/uj++aP754/unz+6f/7o/vmj++eP7p8/un/+6P75o/vnj97T/KH5Q/OH5g/N +H90zf2j+0PzR93v+0Pyh+UPzh+YPzR+95/lD84fmD80fmj/ad/7Q/KH5o/c1 +f2j+6N75Q/OH5g/NH5o/NH9o/tD8oflD80f3zh+aPzR/9PefPzR/aP7Q/KH5 +Q/NHv9fzR3+P+UPzR9+X+UPzh+YPzR+aP3pP80d/j/lD84fmD80f7TF/aP7Q +/KH5Q//9/KH5Q/NH72X+0PzR92v+0Pyh+UPzR/vOH5o/NH90//zR/fNH988f +3T9/dP/80f3zR/fPH90/f3T//NH980f3zx/9+/OH5o8+z/yh+aPPN39o/ujz +zh+aP/r880e/V/NH98wfmj+6b/7Q/NG984fmj/afPzR/9B7mj34P54/ex/zR +92/+6L3MH5o/ej/zh+aP3tP80f9X80fva/7Q/NF7mz80f7T//NH+80f7zx/t +P3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f2j+OPVx14uf8eOfX39v/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/ +tP/80f7zR/vPH+0/f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH90//zR/fNH988f3T9/dP/80f3zR/fPH90/f3T//NH980f3zx+9p/lD84fm +D80fmj+6Z/7Q/KH5o+/3/KH5Q/OH5g/NH5o/es/zh+YPzR+aPzR/tO/8oflD +80fva/7Q/NG984fmD80fmj80f2j+0Pyh+UPzh+aP7p0/NH9o/ujvP39o/tD8 +oflD84fmj36v54/+HvOH5o++L/OH5g/NH5o/NH/0nuaP/h7zh+YPzR+aP9pj +/tD8oflD84fmD80fmj96L/OH5o++X/OH5g/NH5o/2nf+0Pyh+aP754/unz+6 +f/7o/vmj++eP7p8/un/+6P75o/vnj+6fP7p//ujfnz80f/R55g/NH32++UPz +R593/tD80eefP/q9mj+6Z/7Q/NF984fmj+6dPzR/tP/8ofmj9zB/9Hs4f/Q+ +5o++f/NH72X+0PzR+5k/NH/0nuaP/r+aP3pf84fmj97b/KH5o/3nj/afP9p/ +/mj/+aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5Q/PHqY9/+tELb/z8v343 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aP9p//mj/+aP954/2nz/af/5o//mj/eeP +9p8/2n/+aP/5o/3nj/afPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj+6f/7o/vmj++eP7p8/un/+6P75o/vnj+6fP7p//uj++aP754/e0/yh ++UPzh+YPzR/dM39o/tD80fd7/tD8oflD84fmD80fvef5Q/OH5g/NH5o/2nf+ +0Pyh+aP3NX9o/uje+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH984fmj80f/T3nz80 +f2j+0Pyh+UPzR7/X80d/j/lD80ffl/lD84fmD80fmj96T/NHf4/5Q/OH5g/N +H+0xf2j+0Pyh+UPzh+YPzR+9l/lD80ffr/lD84fmD80f7Tt/aP7Q/NH980f3 +zx/dP390//zR/fNH988f3T9/dP/80f3zR/fPH90/f/Tvzx+aP/o884fmjz7f +/KH5o887f2j+6PPPH/1ezR/dM39o/ui++UPzR/fOH5o/2n/+0PzRe5g/+j2c +P3of80ffv/mj9zJ/aP7o/cwfmj96T/NH/1/NH72v+UPzR+9t/tD80f7zR/vP +H+0/f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/8ofnj1Mdfv/31v7jt +4e/kD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/vPH+0/f7T//NH+80f7zx/tP3+0 +//zR/vNH+88f7T9/tP/80f7zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD80f3zx/dP390//zR/fNH988f3T9/dP/80f3zR/fPH90/f3T//NF7 +mj80f2j+0Pyh+aN75g/NH5o/+n7PH5o/NH9o/tD8ofmj9zx/aP7Q/KH5Q/NH ++84fmj80f/S+5g/NH907f2j+0Pyh+UPzh+YPzR+aPzR/aP7o3vlD84fmj/7+ +84fmD80fmj80f2j+6Pd6/ujvMX9o/uj7Mn9o/tD8oflD80fvaf7o7zF/aP7Q +/KH5oz3mD80fmj80f2j+0Pyh+aP3Mn9o/uj7NX9o/tD8ofmjfecPzR+aP7p/ +/uj++aP754/unz+6f/7o/vmj++eP7p8/un/+6P75o/vnj/79+UPzR59n/tD8 +0eebPzR/9HnnD80fff75o9+r+aN75g/NH903f2j+6N75Q/NH+88fmj96D/NH +v4fzR+9j/uj7N3/0XuYPzR+9n/lD80fvaf7o/6v5o/c1f2j+6L3NH5o/2n/+ +aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/NH+c+njj499x +0/V/dPGH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj/eeP9p8/2n/+aP/5o/3nj/af +P9p//mj/+aP954/2nz/af/5o//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5o/vnj+6fP7p//uj++aP754/unz+6f/7o/vmj++eP7p8/un/+ +6D3NH5o/NH9o/tD80T3zh+YPzR99v+cPzR+aPzR/aP7Q/NF7nj80f2j+0Pyh ++aN95w/NH5o/el/zh+aP7p0/NH9o/tD8oflD84fmD80fmj80f3Tv/KH5Q/NH +f//5Q/OH5g/NH5o/NH/0ez1/9PeYPzR/9H2ZPzR/aP7Q/KH5o/c0f/T3mD80 +f2j+0PzRHvOH5g/NH5o/NH9o/tD80XuZPzR/9P2aPzR/aP7Q/NG+84fmD80f +3T9/dP/80f3zR/fPH90/f3T//NH980f3zx/dP390//zR/fNH//78ofmjzzN/ +aP7o880fmj/6vPOH5o8+//zR79X80T3zh+aP7ps/NH907/yh+aP95w/NH72H ++aPfw/mj9zF/9P2bP3ov84fmj97P/KH5o/c0f/T/1fzR+5o/NH/03uYPzR/t +P3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx+aP059vOBj +7/vtc/723/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj/eeP9p8/2n/+aP/5o/3n +j/afP9p//mj/+aP954/2nz/af/5o//lD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5o/vnj+6fP7p//uj++aP754/unz+6f/7o/vmj++eP7p8/ +un/+6D3NH5o/NH9o/tD80T3zh+YPzR99v+cPzR+aPzR/aP7Q/NF7nj80f2j+ +0Pyh+aN95w/NH5o/el/zh+aP7p0/NH9o/tD8oflD84fmD80fmj80f3Tv/KH5 +Q/NHf//5Q/OH5g/NH5o/NH/0ez1/9PeYPzR/9H2ZPzR/aP7Q/KH5o/c0f/T3 +mD80f2j+0PzRHvOH5g/NH5o/NH9o/tD80XuZPzR/9P2aPzR/aP7Q/NG+84fm +D80f3T9/dP/80f3zR/fPH90/f3T//NH980f3zx/dP390//zR/fNH//78ofmj +zzN/aP7o880fmj/6vPOH5o8+//zR79X80T3zh+aP7ps/NH907/yh+aP95w/N +H72H+aPfw/mj9zF/9P2bP3ov84fmj97P/KH5o/c0f/T/1fzR+5o/NH/03uYP +zR/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx+aP059 +PO2aO29+1De/nT80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/tP3+0//zR/vNH+88f +7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx+aPzR/aP7Q/KH5Q/OH5g/9mvlD +84fmD80fmj80f2j+0Pyh+aP754/unz+6f/7o/vmj++eP7p8/un/+6P75o/vn +j+6fP7p//ug9zR+aPzR/aP7Q/NE984fmD80ffb/nD80fmj80f2j+0PzRe54/ +NH9o/tD8ofmjfecPzR+aP3pf84fmj+6dPzR/aP7Q/KH5Q/OH5g/NH5o/NH90 +7/yh+UPzR3//+UPzh+YPzR+aPzR/9Hs9f/T3mD80f/R9mT80f2j+0Pyh+aP3 +NH/095g/NH9o/tD80R7zh+YPzR+aPzR/aP7Q/NF7mT80f/T9mj80f2j+0PzR +vvOH5g/NH90/f3T//NH980f3zx/dP390//zR/fNH988f3T9/dP/80f3zR//+ +/KH5o88zf2j+6PPNH5o/+rzzh+aPPv/80e/V/NE984fmj+6bPzR/dO/8ofmj +/ecPzR+9h/mj38P5o/cxf/T9mz96L/OH5o/ez/yh+aP3NH/0/9X80fuaPzR/ +9N7mD80f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx/tP3+0//zR/vNH+88f +mj9OfTzywa8/+v4rLv7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH+0//zR/vNH+88f +7T9/tP/80f7zR/vPH+0/f7T//NH+80f7zx/tP39o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/dP/80f3zR/fPH90/f3T//NH980f3zx/dP390 +//zR/fNH988fvaf5Q/OH5g/NH5o/umf+0Pyh+aPv9/yh+UPzh+YPzR+aP3rP +84fmD80fmj80f7Tv/KH5Q/NH72v+0PzRvfOH5g/NH5o/NH9o/tD8oflD84fm +j+6dPzR/aP7o7z9/aP7Q/KH5Q/OH5o9+r+eP/h7zh+aPvi/zh+YPzR+aPzR/ +9J7mj/4e84fmD80fmj/aY/7Q/KH5Q/OH5g/NH5o/ei/zh+aPvl/zh+YPzR+a +P9p3/tD8ofmj++eP7p8/un/+6P75o/vnj+6fP7p//uj++aP754/unz+6f/7o +358/NH/0eeYPzR99vvlD80efd/7Q/NHnnz/6vZo/umf+0PzRffOH5o/unT80 +f7T//KH5o/cwf/R7OH/0PuaPvn/zR+9l/tD80fuZPzR/9J7mj/6/mj96X/OH +5o/e2/yh+aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5o/3nj/afP9p//mj/ ++UPzx6mPn/zlT2+94w3fyh+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2nz/af/5o +//mj/eeP9p8/2n/+aP/5o/3nj/afP9p//mj/+aP95w/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+aP7p8/un/+6P75o/vnj+6fP7p//uj++aP7 +54/unz+6f/7o/vmj9zR/aP7Q/KH5Q/NH98wfmj80f/T9nj80f2j+0Pyh+UPz +R+95/tD8oflD84fmj/adPzR/aP7ofc0fmj+6d/7Q/KH5Q/OH5g/NH5o/NH9o +/tD80b3zh+YPzR/9/ecPzR+aPzR/aP7Q/NHv9fzR32P+0PzR92X+0Pyh+UPz +h+aP3tP80d9j/tD8oflD80d7zB+aPzR/aP7Q/KH5Q/NH72X+0PzR92v+0Pyh ++UPzR/vOH5o/NH90//zR/fNH988f3T9/dP/80f3zR/fPH90/f3T//NH980f3 +zx/9+/OH5o8+z/yh+aPPN39o/ujzzh+aP/r880e/V/NH98wfmj+6b/7Q/NG9 +84fmj/afPzR/9B7mj34P54/ex/zR92/+6L3MH5o/ej/zh+aP3tP80f9X80fv +a/7Q/NF7mz80f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vP +H+0/f2j+OPXx5d991BPe/i/fzB+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2nz/a +f/5o//mj/eeP9p8/2n/+aP/5o/3nj/afP9p//mj/+aP95w/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+aP7p8/un/+6P75o/vnj+6fP7p//uj+ ++aP754/unz+6f/7o/vmj9zR/aP7Q/KH5Q/NH98wfmj80f/T9nj80f2j+0Pyh ++UPzR+95/tD8oflD84fmj/adPzR/aP7ofc0fmj+6d/7Q/KH5Q/OH5g/NH5o/ +NH9o/tD80b3zh+YPzR/9/ecPzR+aPzR/aP7Q/NHv9fzR32P+0PzR92X+0Pyh ++UPzh+aP3tP80d9j/tD8oflD80d7zB+aPzR/aP7Q/KH5Q/NH72X+0PzR92v+ +0Pyh+UPzR/vOH5o/NH90//zR/fNH988f3T9/dP/80f3zR/fPH90/f3T//NH9 +80f3zx/9+/OH5o8+z/yh+aPPN39o/ujzzh+aP/r880e/V/NH98wfmj+6b/7Q +/NG984fmj/afPzR/9B7mj34P54/ex/zR92/+6L3MH5o/ej/zh+aP3tP80f9X +80fva/7Q/NF7mz80f7T//NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7z +R/vPH+0/f2j+OPVx+x1Xfuilv/xG/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f7T/ +/NH+80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH90//zR/fNH988f3T9/dP/80f3z +R/fPH90/f3T//NH980f3zx+9p/lD84fmD80fmj+6Z/7Q/KH5o+/3/KH5Q/OH +5g/NH5o/es/zh+YPzR+aPzR/tO/8oflD80fva/7Q/NG984fmD80fmj80f2j+ +0Pyh+UPzh+aP7p0/NH9o/ujvP39o/tD8oflD84fmj36v54/+HvOH5o++L/OH +5g/NH5o/NH/0nuaP/h7zh+YPzR+aP9pj/tD8oflD84fmD80fmj96L/OH5o++ +X/OH5g/NH5o/2nf+0Pyh+aP754/unz+6f/7o/vmj++eP7p8/un/+6P75o/vn +j+6fP7p//ujfnz80f/R55g/NH32++UPzR593/tD80eefP/q9mj+6Z/7Q/NF9 +84fmj+6dPzR/tP/8ofmj9zB/9Hs4f/Q+5o++f/NH72X+0PzR+5k/NH/0nuaP +/r+aP3pf84fmj97b/KH5o/3nj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP +9p8/2n/+aP/5Q/PHqY9b/uQPr/y951/8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/2n/+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/uj++aP754/unz+6f/7o/vmj +++eP7p8/un/+6P75o/vnj+6fP3pP84fmD80fmj80f3TP/KH5Q/NH3+/5Q/OH +5g/NH5o/NH/0nucPzR+aPzR/aP5o3/lD84fmj97X/KH5o3vnD80fmj80f2j+ +0Pyh+UPzh+YPzR/dO39o/tD80d9//tD8oflD84fmD80f/V7PH/095g/NH31f +5g/NH5o/NH9o/ug9zR/9PeYPzR+aPzR/tMf8oflD84fmD80fmj80f/Re5g/N +H32/5g/NH5o/NH+07/yh+UPzR/fPH90/f3T//NH980f3zx/dP390//zR/fNH +988f3T9/dP/80b8/f2j+6PPMH5o/+nzzh+aPPu/8ofmjzz9/9Hs1f3TP/KH5 +o/vmD80f3Tt/aP5o//lD80fvYf7o93D+6H3MH33/5o/ey/yh+aP3M39o/ug9 +zR/9fzV/9L7mD80fvbf5Q/NH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+80f7 +zx/tP3+0//zR/vOH5o9THzd+/7Uf/Z93fz1/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/2n/+aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP7p//uj++aP754/unz+6 +f/7o/vmj++eP7p8/un/+6P75o/vnj97T/KH5Q/OH5g/NH90zf2j+0PzR93v+ +0Pyh+UPzh+YPzR+95/lD84fmD80fmj/ad/7Q/KH5o/c1f2j+6N75Q/OH5g/N +H5o/NH9o/tD8oflD80f3zh+aPzR/9PefPzR/aP7Q/KH5Q/NHv9fzR3+P+UPz +R9+X+UPzh+YPzR+aP3pP80d/j/lD84fmD80f7TF/aP7Q/KH5Q/OH5g/NH72X ++UPzR9+v+UPzh+YPzR/tO39o/tD80f3zR/fPH90/f3T//NH980f3zx/dP390 +//zR/fNH988f3T9/9O/PH5o/+jzzh+aPPt/8ofmjzzt/aP7o888f/V7NH90z +f2j+6L75Q/NH984fmj/af/7Q/NF7mD/6PZw/eh/zR9+/+aP3Mn9o/uj9zB+a +P3pP80f/X80fva/5Q/NH723+0PzR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T/ +/NH+80f7zx/tP3+0//yh+ePUx0ve+tar7v7a1/KH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8ofmj/eeP9p8/2n/+aP/5o/3nj/afP9p//mj/+aP954/2nz/af/5o//lD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5o/vnj+6fP7p//uj+ ++aP754/unz+6f/7o/vmj++eP7p8/un/+6D3NH5o/NH9o/tD80T3zh+YPzR99 +v+cPzR+aPzR/aP7Q/NF7nj80f2j+0Pyh+aN95w/NH5o/el/zh+aP7p0/NH9o +/tD8oflD84fmD80fmj80f3Tv/KH5Q/NHf//5Q/OH5g/NH5o/NH/0ez1/9PeY +PzR/9H2ZPzR/aP7Q/KH5o/c0f/T3mD80f2j+0PzRHvOH5g/NH5o/NH9o/tD8 +0XuZPzR/9P2aPzR/aP7Q/NG+84fmD80f3T9/dP/80f3zR/fPH90/f3T//NH9 +80f3zx/dP390//zR/fNH//78ofmjzzN/aP7o880fmj/6vPOH5o8+//zR79X8 +0T3zh+aP7ps/NH907/yh+aP95w/NH72H+aPfw/mj9zF/9P2bP3ov84fmj97P +/KH5o/c0f/T/1fzR+5o/NH/03uYPzR/tP3+0//zR/vNH+88f7T9/tP/80f7z +R/vPH+0/f7T//NH+80f7zx+aP059PPNx/3DnPz7x4g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD80f7zx/tP3+0//zR/vNH+88f7T9/tP/80f7zR/vPH+0/f7T//NH+ +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH988f3T9/dP/8 +0f3zR/fPH90/f3T//NH980f3zx/dP390//zRe5o/NH9o/tD8ofmje+YPzR+a +P/p+zx+aPzR/aP7Q/KH5o/c8f2j+0Pyh+UPzR/vOH5o/NH/0vuYPzR/dO39o +/tD8oflD84fmD80fmj80f2j+6N75Q/OH5o/+/vOH5g/NH5o/NH9o/uj3ev7o +7zF/aP7o+zJ/aP7Q/KH5Q/NH72n+6O8xf2j+0Pyh+aM95g/NH5o/NH9o/tD8 +ofmj9zJ/aP7o+zV/aP7Q/KH5o33nD80fmj+6f/7o/vmj++eP7p8/un/+6P75 +o/vnj+6fP7p//uj++aP754/+/flD80efZ/7Q/NHnmz80f/R55w/NH33++aPf +q/mje+YPzR/dN39o/uje+UPzR/vPH5o/eg/zR7+H80fvY/7o+zd/9F7mD80f +vZ/5Q/NH72n+6P+r+aP3NX9o/ui9zR+aP9p//mj/+aP954/2nz/af/5o//mj +/eeP9p8/2n/+aP/5o/3nj/afPzR/nPp4ysuvvemeu+/JH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmj/afP9p//mj/+aP954/2nz/af/5o//mj/eeP9p8/2n/+aP/5 +o/3nD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/unz+6f/7o +/vmj++eP7p8/un/+6P75o/vnj+6fP7p//uj++aP3NH9o/tD8oflD80f3zB+a +PzR/9P2ePzR/aP7Q/KH5Q/NH73n+0Pyh+UPzh+aP9p0/NH9o/uh9zR+aP7p3 +/tD8oflD84fmD80fmj80f2j+0PzRvfOH5g/NH/395w/NH5o/NH9o/tD80e/1 +/NHfY/7Q/NH3Zf7Q/KH5Q/OH5o/e0/zR32P+0Pyh+UPzR3vMH5o/NH9o/tD8 +oflD80fvZf7Q/NH3a/7Q/KH5Q/NH+84fmj80f3T//NH980f3zx/dP390//zR +/fNH988f3T9/dP/80f3zR/fPH/3784fmjz7P/KH5o883f2j+6PPOH5o/+vzz +R79X80f3zB+aP7pv/tD80b3zh+aP9p8/NH/0HuaPfg/nj97H/NH3b/7ovcwf +mj96P/OH5o/e0/zR/1fzR+9r/tD80XubPzR/tP/80f7zR/vPH+0/f7T//NH+ +80f7zx/tP3+0//zR/vNH+88f7T9/aP449fHAu267+S2v+mr+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/tP/80f7zR/vPH+0/f7T//NH+80f7zx/tP3+0//zR/vNH ++88f7T9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f3T//NH9 +80f3zx/dP390//zR/f/P1R2E/n/IcRyvHZSWA21D5EK5/NM/xyXiX1rUUJvD +nDgoBwdqbJlQlMll17W2KcqWhtWff8jnb/4Rq7k4KEKN/YfjDg5o6u/1eKrP +8Xn6f96f9/vz/fVImD+af/5o/vmj+eeP5p8/mn/+6J7mD80fmj80f2j+aJ75 +Q/OH5o++7/lD84fmD80fmj80f3TP84fmD80fmj80f7Tf+UPzh+aP7mv+0PzR +vPOH5g/NH5o/NH9o/tD8oflD84fmj+adPzR/aP7o/c8fmj80f2j+0Pyh+aPf +6/mj9zF/aP7oe5k/NH9o/tD8ofmje5o/eh/zh+YPzR+aP9rH/KH5Q/OH5g/N +H5o/NH90L/OH5o++r/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o/vmj+eeP +5p8/mn/+aP75o/nnj+afP5p//ujfnz80f/Q884fmj55v/tD80fPOH5o/ev75 +o9+r+aN55g/NH803f2j+aN75Q/NH+58/NH90D/NHv4fzR/cxf/T9zR/dy/yh ++aP7mT80f3RP80d/r+aP7mv+0PzRvc0fmj/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5Q/PHqY9vXH72oVtefDZ/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7n +j/Y/f7T/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+eeP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/7onuYPzR+aPzR/aP5o +nvlD84fmj77v+UPzh+YPzR+aPzR/dM/zh+YPzR+aPzR/tN/5Q/OH5o/ua/7Q +/NG884fmD80fmj80f2j+0Pyh+UPzh+aP5p0/NH9o/uj9zx+aPzR/aP7Q/KH5 +o9/r+aP3MX9o/uh7mT80f2j+0Pyh+aN7mj96H/OH5g/NH5o/2sf8oflD84fm +D80fmj80f3Qv84fmj76v+UPzh+YPzR/td/7Q/KH5o/nnj+afP5p//mj++aP5 +54/mnz+af/5o/vmj+eeP5p8/mn/+6N+fPzR/9Dzzh+aPnm/+0PzR884fmj96 +/vmj36v5o3nmD80fzTd/aP5o3vlD80f7nz80f3QP80e/h/NH9zF/9P3NH93L +/KH5o/uZPzR/dE/zR3+v5o/ua/7Q/NG9zR+aP9r//NH+54/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//lD88epj49dv+nRH97/6/yh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/m +nz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aN7mj80f2j+0Pyh ++aN55g/NH5o/+r7nD80fmj80f2j+0PzRPc8fmj80f2j+0PzRfucPzR+aP7qv ++UPzR/POH5o/NH9o/tD8oflD84fmD80fmj+ad/7Q/KH5o/c/f2j+0Pyh+UPz +h+aPfq/nj97H/KH5o+9l/tD8oflD84fmj+5p/uh9zB+aPzR/aP5oH/OH5g/N +H5o/NH9o/tD80b3MH5o/+r7mD80fmj80f7Tf+UPzh+aP5p8/mn/+aP75o/nn +j+afP5p//mj++aP554/mnz+af/5o/vmjf3/+0PzR88wfmj96vvlD80fPO39o +/uj5549+r+aP5pk/NH803/yh+aN55w/NH+1//tD80T3MH/0ezh/dx/zR9zd/ +dC/zh+aP7mf+0PzRPc0f/b2aP7qv+UPzR/c2f2j+aP/zR/ufP9r//NH+54/2 +P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH6c+3vz625+85+Zf5Q/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7 +nz/a//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH93T/KH5Q/OH +5g/NH80zf2j+0PzR9z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2O39o/tD8 +0X3NH5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fvf/5Q/OH5g/N +H5o/NH/0ez1/9D7mD80ffS/zh+YPzR+aPzR/dE/zR+9j/tD8oflD80f7mD80 +f2j+0Pyh+UPzh+aP7mX+0PzR9zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/9+/OH5o+eZ/7Q/NHzzR+aP3re ++UPzR88/f/R7NX80z/yh+aP55g/NH807f2j+aP/zh+aP7mH+6Pdw/ug+5o++ +v/mje5k/NH90P/OH5o/uaf7o79X80X3NH5o/urf5Q/NH+58/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+OPXxl/d96gf/eeSX+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/c0f2j+ +0Pyh+UPzR/PMH5o/NH/0fc8fmj80f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+a +PzR/dF/zh+aP5p0/NH9o/tD8oflD84fmD80fmj80fzTv/KH5Q/NH73/+0Pyh ++UPzh+YPzR/9Xs8fvY/5Q/NH38v8oflD84fmD80f3dP80fuYPzR/aP7Q/NE+ +5g/NH5o/NH9o/tD8ofmje5k/NH/0fc0fmj80f2j+aL/zh+YPzR/NP380//zR +/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880f//vyh+aPnmT80f/R884fm +j553/tD80fPPH/1ezR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+j2cP7qP ++aPvb/7oXuYPzR/dz/yh+aN7mj/6ezV/dF/zh+aP7m3+0PzR/ueP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/Tn1864FvP/P4236R +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH+1//mj/80f7nz/a//zR/ueP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/dE/z +h+YPzR+aPzR/NM/8oflD80ff9/yh+UPzh+YPzR+aP7rn+UPzh+YPzR+aP9rv +/KH5Q/NH9zV/aP5o3vlD84fmD80fmj80f2j+0Pyh+UPzR/POH5o/NH/0/ucP +zR+aPzR/aP7Q/NHv9fzR+5g/NH/0vcwfmj80f2j+0PzRPc0fvY/5Q/OH5g/N +H+1j/tD8oflD84fmD80fmj+6l/lD80ff1/yh+UPzh+aP9jt/aP7Q/NH880fz +zx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/f/Tvzx+aP3qe+UPzR883 +f2j+6HnnD80fPf/80e/V/NE884fmj+abPzR/NO/8ofmj/c8fmj+6h/mj38P5 +o/uYP/r+5o/uZf7Q/NH9zB+aP7qn+aO/V/NH9zV/aP7o3uYPzR/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8ofnj1MfHn/rTc5eu +Xssfmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+aP9j9/tP/5o/3PH+1//mj/80f7nz/a +//zR/ueP9j9/tP/5o/3PH+1//tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+6 +p/lD84fmD80fmj+aZ/7Q/KH5o+97/tD8oflD84fmD80f3fP8oflD84fmD80f +7Xf+0Pyh+aP7mj80fzTv/KH5Q/OH5g/NH5o/NH9o/tD8ofmjeecPzR+aP3r/ +84fmD80fmj80f2j+6Pd6/uh9zB+aP/pe5g/NH5o/NH9o/uie5o/ex/yh+UPz +h+aP9jF/aP7Q/KH5Q/OH5g/NH93L/KH5o+9r/tD8oflD80f7nT80f2j+aP75 +o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/+vfnD80fPc/8ofmj +55s/NH/0vPOH5o+ef/7o92r+aJ75Q/NH880fmj+ad/7Q/NH+5w/NH93D/NHv +4fzRfcwffX/zR/cyf2j+6H7mD80f3dP80d+r+aP7mj80f3Rv84fmj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/7Q/HHq461/vu33 +L3zo5/lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/ueP9j9/tP/5o/3PH+1//mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/NH9o/tD8ob82f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+6J7mD80fmj80f2j+aJ75Q/OH5o++7/lD84fmD80fmj80f3TP84fmD80f +mj80f7Tf+UPzh+aP7mv+0PzRvPOH5g/NH5o/NH9o/tD8oflD84fmj+adPzR/ +aP7o/c8fmj80f2j+0Pyh+aPf6/mj9zF/aP7oe5k/NH9o/tD8ofmje5o/eh/z +h+YPzR+aP9rH/KH5Q/OH5g/NH5o/NH90L/OH5o++r/lD84fmD80f7Xf+0Pyh ++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//ujfnz80f/Q8 +84fmj55v/tD80fPOH5o/ev75o9+r+aN55g/NH803f2j+aN75Q/NH+58/NH90 +D/NHv4fzR/cxf/T9zR/dy/yh+aP7mT80f3RP80d/r+aP7mv+0PzRvc0fmj/a +//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5Q/PHqY8X +X33n9Qef/1n+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/tP/5o/3PH+1//mj/80f7 +nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/N +P380//zRPc0fmj80f2j+0PzRPPOH5g/NH33f84fmD80fmj80f2j+6J7nD80f +mj80f2j+aL/zh+YPzR/d1/yh+aN55w/NH5o/NH9o/tD8oflD84fmD80fzTt/ +aP7Q/NH7nz80f2j+0Pyh+UPzR7/X80fvY/7Q/NH3Mn9o/tD8oflD80f3NH/0 +PuYPzR+aPzR/tI/5Q/OH5g/NH5o/NH9o/uhe5g/NH31f84fmD80fmj/a7/yh ++UPzR/PPH80/fzT//NH880fzzx/NP380///+nvx//vmj+eeP5p8/mn/+6N+f +PzR/9Dzzh+aPnm/+0PzR884fmj96/vmj36v5o3nmD80fzTd/aP5o3vlD80f7 +nz80f3QP80e/h/NH9zF/9P3NH93L/KH5o/uZPzR/dE/zR3+v5o/ua/7Q/NG9 +zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//lD +88epjycufeWlC/dezR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP7qn+UPzh+YPzR+aP5pn/tD8ofmj73v+0Pyh+UPzh+YPzR/d +8/yh+UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fmD80fmj80f2j+0Pyh ++aN55w/NH5o/ev/zh+YPzR+aPzR/aP7o93r+6H3MH5o/+l7mD80fmj80f2j+ +6J7mj97H/KH5Q/OH5o/2MX9o/tD8oflD84fmD80f3cv8ofmj72v+0Pyh+UPz +R/udPzR/aP5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz/6 +9+cPzR89z/yh+aPnmz80f/S884fmj55//uj3av5onvlD80fzzR+aP5p3/tD8 +0f7nD80f3cP80e/h/NF9zB99f/NH9zJ/aP7ofuYPzR/d0/zR36v5o/uaPzR/ +dG/zh+aP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/tD8cerjt3+/7+XHXvXT/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/80f7nz/a +//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ucPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmj+afP5p//mj++aP554/mnz+af/5o/vmj ++eeP5p8/mn/+aP75o3uaPzR/aP7Q/KH5o3nmD80fmj/6vucPzR+aPzR/aP7Q +/NE9zx+aPzR/aP7Q/NF+5w/NH5o/uq/5Q/NH884fmj80f2j+0Pyh+UPzh+YP +zR+aP5p3/tD8ofmj9z9/aP7Q/KH5Q/OH5o9+r+eP3sf8ofmj72X+0Pyh+UPz +h+aP7mn+6H3MH5o/NH9o/mgf84fmD80fmj80f2j+0PzRvcwfmj/6vuYPzR+a +PzR/tN/5Q/OH5o/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj+ ++aN/f/7Q/NHzzB+aP3q++UPzR887f2j+6Pnnj36v5o/mmT80fzTf/KH5o3nn +D80f7X/+0PzRPcwf/R7OH93H/NH3N390L/OH5o/uZ/7Q/NE9zR/9vZo/uq/5 +Q/NH9zZ/aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8 +0f7nD80fpz4+fOf1175w8cf5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fzT9/NP/80fzzR/PPH80/fzT//NH8 +80fzzx/NP380//zR/PNH9zR/aP7Q/KH5Q/NH88wfmj80f/R9zx+aPzR/aP7Q +/KH5o3uePzR/aP7Q/KH5o/3OH5o/NH90X/OH5o/mnT80f2j+0Pyh+UPzh+YP +zR+aPzR/NO/8oflD80fvf/7Q/KH5Q/OH5g/NH/1ezx+9j/lD80ffy/yh+UPz +h+YPzR/d0/zR+5g/NH9o/tD80T7mD80fmj80f2j+0Pyh+aN7mT80f/R9zR+a +PzR/aP5ov/OH5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/8 +0fzzR//+/KH5o+eZPzR/9Hzzh+aPnnf+0PzR888f/V7NH80zf2j+aL75Q/NH +884fmj/a//yh+aN7mD/6PZw/uo/5o+9v/uhe5g/NH93P/KH5o3uaP/p7NX90 +X/OH5o/ubf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0 +//mj/c8fmj9Offzu+3dfvHDXlfyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/mnz+af/5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//mj++aN7mj80f2j+0Pyh+aN55g/NH5o/+r7nD80fmj80 +f2j+0PzRPc8fmj80f2j+0PzRfucPzR+aP7qv+UPzR/POH5o/NH9o/tD8oflD +84fmD80fmj+ad/7Q/KH5o/c/f2j+0Pyh+UPzh+aPfq/nj97H/KH5o+9l/tD8 +oflD84fmj+5p/uh9zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD80b3MH5o/+r7m +D80fmj80f7Tf+UPzh+aP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+a +f/5o/vmjf3/+0PzR88wfmj96vvlD80fPO39o/uj5549+r+aP5pk/NH803/yh ++aN55w/NH+1//tD80T3MH/0ezh/dx/zR9zd/dC/zh+aP7mf+0PzRPc0f/b2a +P7qv+UPzR/c2f2j+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/uf +P9r//NH+5w/NH6c+7rn12h2f/uzl/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ucPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+afP5p//mj++aP554/mnz+a +f/5o/vmj+eeP5p8/mn/+aP75o3uaPzR/aP7Q/KH5o3nmD80fmj/6vucPzR+a +PzR/aP7Q/NE9zx+aPzR/aP7Q/NF+5w/NH5o/uq/5Q/NH884fmj80f2j+0Pyh ++UPzh+YPzR+aP5p3/tD8ofmj9z9/aP7Q/KH5Q/OH5o9+r+eP3sf8ofmj72X+ +0Pyh+UPzh+aP7mn+6H3MH5o/NH9o/mgf84fmD80fmj80f2j+0PzRvcwfmj/6 +vuYPzR+aPzR/tN/5Q/OH5o/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+af +P5p//mj++aN/f/7Q/NHzzB+aP3q++UPzR887f2j+6Pnnj36v5o/mmT80fzTf +/KH5o3nnD80f7X/+0PzRPcwf/R7OH93H/NH3N390L/OH5o/uZ/7Q/NE9zR/9 +vZo/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nD80fpz7+cN/bP3rl4afzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/mn/+aP75o/nnj+afP5p/ +/mj++aP554/mnz+af/5o/vmj+eeP7mn+0Pyh+UPzh+aP5pk/NH9o/uj7nj80 +f2j+0Pyh+UPzR/c8f2j+0Pyh+UPzR/udPzR/aP7ovuYPzR/NO39o/tD8oflD +84fmD80fmj80f2j+aN75Q/OH5o/e//yh+UPzh+YPzR+aP/q9nj96H/OH5o++ +l/lD84fmD80fmj+6p/mj9zF/aP7Q/KH5o33MH5o/NH9o/tD8oflD80f3Mn9o +/uj7mj80f2j+0PzRfucPzR+aP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+aP75o/nnj/79+UPzR88zf2j+6PnmD80fPe/8ofmj558/+r2aP5pn/tD8 +0Xzzh+aP5p0/NH+0//lD80f3MH/0ezh/dB/zR9/f/NG9zB+aP7qf+UPzR/c0 +f/T3av7ovuYPzR/d2/yh+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9 +zx/tf/5o//NH+58/NH+c+vjJlXe/9O/PfTd/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+eeP5p8/mn/+aP75 +o/nnj+afP5p//mj++aP554/mnz+af/7onuYPzR+aPzR/aP5onvlD84fmj77v ++UPzh+YPzR+aPzR/dM/zh+YPzR+aPzR/tN/5Q/OH5o/ua/7Q/NG884fmD80f +mj80f2j+0Pyh+UPzh+aP5p0/NH9o/uj9zx+aPzR/aP7Q/KH5o9/r+aP3MX9o +/uh7mT80f2j+0Pyh+aN7mj96H/OH5g/NH5o/2sf8oflD84fmD80fmj80f3Qv +84fmj76v+UPzh+YPzR/td/7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o +/vmj+eeP5p8/mn/+6N+fPzR/9Dzzh+aPnm/+0PzR884fmj96/vmj36v5o3nm +D80fzTd/aP5o3vlD80f7nz80f3QP80e/h/NH9zF/9P3NH93L/KH5o/uZPzR/ +dE/zR3+v5o/ua/7Q/NG9zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/uf +P9r//NH+54/2P3+0//lD88epj6tfeNNbHnzmifyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7n +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/mnz+af/5o/vmj ++eeP5p8/mn/+aP75o/nnj+afP5p//mj++aN7mj80f2j+0Pyh+aN55g/NH5o/ ++r7nD80fmj80f2j+0PzRPc8fmj80f2j+0PzRfucPzR+aP7qv+UPzR/POH5o/ +NH9o/tD8oflD84fmD80fmj+ad/7Q/KH5o/c/f2j+0Pyh+UPzh+aPfq/nj97H +/KH5o+9l/tD8oflD84fmj+5p/uh9zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD8 +0b3MH5o/+r7mD80fmj80f7Tf+UPzh+aP5p8/mn/+aP75o/nnj+afP5p//mj+ ++aP554/mnz+af/5o/vmjf3/+0PzR88wfmj96vvlD80fPO39o/uj5549+r+aP +5pk/NH803/yh+aN55w/NH+1//tD80T3MH/0ezh/dx/zR9zd/dC/zh+aP7mf+ +0PzRPc0f/b2aP7qv+UPzR/c2f2j+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+5w/NH6c+rr33X3fd+spv5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP +9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT//NH880fz +zx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+ +0PzR9z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2O39o/tD80X3NH5o/mnf+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fvf/5Q/OH5g/NH5o/NH/0ez1/ +9D7mD80ffS/zh+YPzR+aPzR/dE/zR+9j/tD8oflD80f7mD80f2j+0Pyh+UPz +h+aP7mX+0PzR9zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888fzT9/NP/80fzz +R/PPH80/fzT//NH880fzzx/9+/OH5o+eZ/7Q/NHzzR+aP3re+UPzR88/f/R7 +NX80z/yh+aP55g/NH807f2j+aP/zh+aP7mH+6Pdw/ug+5o++v/mje5k/NH90 +P/OH5o/uaf7o79X80X3NH5o/urf5Q/NH+58/2v/80f7nj/Y/f7T/+aP9zx/t +f/5o//NH+58/2v/80f7nj/Y/f2j+OPXx0Bu+d/Olxx7JH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9 +zx/tf/7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+aP75o/nn +j+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/uqf5Q/OH5g/NH5o/mmf+ +0Pyh+aPve/7Q/KH5Q/OH5g/NH93z/KH5Q/OH5g/NH+13/tD8ofmj+5o/NH80 +7/yh+UPzh+YPzR+aPzR/aP7Q/KH5o3nnD80fmj96//OH5g/NH5o/NH9o/uj3 +ev7ofcwfmj/6XuYPzR+aPzR/aP7onuaP3sf8oflD84fmj/Yxf2j+0Pyh+UPz +h+YPzR/dy/yh+aPva/7Q/KH5Q/NH+50/NH9o/mj++aP554/mnz+af/5o/vmj ++eeP5p8/mn/+aP75o/nnj+afP/r35w/NHz3P/KH5o+ebPzR/9Lzzh+aPnn/+ +6Pdq/mie+UPzR/PNH5o/mnf+0PzR/ucPzR/dw/zR7+H80X3MH31/80f3Mn9o +/uh+5g/NH93T/NHfq/mj+5o/NH90b/OH5o/2P3+0//mj/c8f7X/+aP/zR/uf +P9r//NH+54/2P3+0//mj/c8f7X/+0Pxx6uPhJz7xyY9ceCh/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7n +j/Y/f7T/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+eeP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/7onuYPzR+aPzR/aP5o +nvlD84fmj77v+UPzh+YPzR+aPzR/dM/zh+YPzR+aPzR/tN/5Q/OH5o/ua/7Q +/NG884fmD80fmj80f2j+0Pyh+UPzh+aP5p0/NH9o/uj9zx+aPzR/aP7Q/KH5 +o9/r+aP3MX9o/uh7mT80f2j+0Pyh+aN7mj96H/OH5g/NH5o/2sf8oflD84fm +D80fmj80f3Qv84fmj76v+UPzh+YPzR/td/7Q/KH5o/nnj+afP5p//mj++aP5 +54/mnz+af/5o/vmj+eeP5p8/mn/+6N+fPzR/9Dzzh+aPnm/+0PzR884fmj96 +/vmj36v5o3nmD80fzTd/aP5o3vlD80f7nz80f3QP80e/h/NH9zF/9P3NH93L +/KH5o/uZPzR/dE/zR3+v5o/ua/7Q/NG9zR+aP9r//NH+54/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//lD88epj6//8evv+M1fP5M/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+ +aP/zR/ufP9r//KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR +/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP390T/OH5g/NH5o/ +NH80z/yh+UPzR9/3/KH5Q/OH5g/NH5o/uuf5Q/OH5g/NH5o/2u/8oflD80f3 +NX9o/mje+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH884fmj80f/T+5w/NH5o/NH9o +/tD80e/1/NH7mD80f/S9zB+aPzR/aP7Q/NE9zR+9j/lD84fmD80f7WP+0Pyh ++UPzh+YPzR+aP7qX+UPzR9/X/KH5Q/OH5o/2O39o/tD80fzzR/PPH80/fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/9O/PH5o/ep75Q/NHzzd/aP7oeecP +zR89//zR79X80Tzzh+aP5ps/NH807/yh+aP9zx+aP7qH+aPfw/mj+5g/+v7m +j+5l/tD80f3MH5o/uqf5o79X80f3NX9o/uje5g/NH+1//mj/80f7nz/a//zR +/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//yh+ePUxwf/dqPfxR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0 +//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj+ ++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP7qn+UPzh+YPzR+a +P5pn/tD8ofmj73v+0Pyh+UPzh+YPzR/d8/yh+UPzh+YPzR/td/7Q/KH5o/ua +PzR/NO/8oflD84fmD80fmj80f2j+0Pyh+aN55w/NH5o/ev/zh+YPzR+aPzR/ +aP7o93r+6H3MH5o/+l7mD80fmj80f2j+6J7mj97H/KH5Q/OH5o/2MX9o/tD8 +oflD84fmD80f3cv8ofmj72v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//mj++aP554/mnz/69+cPzR89z/yh+aPnmz80f/S884fm +j55//uj3av5onvlD80fzzR+aP5p3/tD80f7nD80f3cP80e/h/NF9zB99f/NH +9zJ/aP7ofuYPzR/d0/zR36v5o/uaPzR/dG/zh+aP9j9/tP/5o/3PH+1//mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8cerj/d+58Z+H5A/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/N +H80zf2j+0PzR9z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2O39o/tD80X3N +H5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fvf/5Q/OH5g/NH5o/ +NH/0ez1/9D7mD80ffS/zh+YPzR+aPzR/dE/zR+9j/tD8oflD80f7mD80f2j+ +0Pyh+UPzh+aP7mX+0PzR9zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888fzT9/ +NP/80fzzR/PPH80/fzT//NH880fzzx/9+/OH5o+eZ/7Q/NHzzR+aP3re+UPz +R88/f/R7NX80z/yh+aP55g/NH807f2j+aP/zh+aP7mH+6Pdw/ug+5o++v/mj +e5k/NH90P/OH5o/uaf7o79X80X3NH5o/urf5Q/NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+OPXxnjfd+O+D5A/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/N +H80zf2j+0PzR9z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2O39o/tD80X3N +H5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fvf/5Q/OH5g/NH5o/ +NH/0ez1/9D7mD80ffS/zh+YPzR+aPzR/dE/zR+9j/tD8oflD80f7mD80f2j+ +0Pyh+UPzh+aP7mX+0PzR9zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888fzT9/ +NP/80fzzR/PPH80/fzT//NH880fzzx/9+/OH5o+eZ/7Q/NHzzR+aP3re+UPz +R88/f/R7NX80z/yh+aP55g/NH807f2j+aP/zh+aP7mH+6Pdw/ug+5o++v/mj +e5k/NH90P/OH5o/uaf7o79X80X3NH5o/urf5Q/NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+OPXxxjtu/O9h5Q/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/N +H80zf2j+0PzR9z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2O39o/tD80X3N +H5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fvf/5Q/OH5g/NH5o/ +NH/0ez1/9D7mD80ffS/zh+YPzR+aPzR/dE/zR+9j/tD8oflD80f7mD80f2j+ +0Pyh+UPzh+aP7mX+0PzR9zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888fzT9/ +NP/80fzzR/PPH80/fzT//NH880fzzx/9+/OH5o+eZ/7Q/NHzzR+aP3re+UPz +R88/f/R7NX80z/yh+aP55g/NH807f2j+aP/zh+aP7mH+6Pdw/ug+5o++v/mj +e5k/NH90P/OH5o/uaf7o79X80X3NH5o/urf5Q/NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+OPXxui/d+P8DyR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0 +//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj+ ++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP7qn+UPzh+YPzR+a +P5pn/tD8ofmj73v+0Pyh+UPzh+YPzR/d8/yh+UPzh+YPzR/td/7Q/KH5o/ua +PzR/NO/8oflD84fmD80fmj80f2j+0Pyh+aN55w/NH5o/ev/zh+YPzR+aPzR/ +aP7o93r+6H3MH5o/+l7mD80fmj80f2j+6J7mj97H/KH5Q/OH5o/2MX9o/tD8 +oflD84fmD80f3cv8ofmj72v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//mj++aP554/mnz/69+cPzR89z/yh+aPnmz80f/S884fm +j55//uj3av5onvlD80fzzR+aP5p3/tD80f7nD80f3cP80e/h/NF9zB99f/NH +9zJ/aP7ofuYPzR/d0/zR36v5o/uaPzR/dG/zh+aP9j9/tP/5o/3PH+1//mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8cerjlh/d+P9Dzx+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0 +//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj+ ++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP7qn+UPzh+YPzR+a +P5pn/tD8ofmj73v+0Pyh+UPzh+YPzR/d8/yh+UPzh+YPzR/td/7Q/KH5o/ua +PzR/NO/8oflD84fmD80fmj80f2j+0Pyh+aN55w/NH5o/ev/zh+YPzR+aPzR/ +aP7o93r+6H3MH5o/+l7mD80fmj80f2j+6J7mj97H/KH5Q/OH5o/2MX9o/tD8 +oflD84fmD80f3cv8ofmj72v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//mj++aP554/mnz/69+cPzR89z/yh+aPnmz80f/S884fm +j55//uj3av5onvlD80fzzR+aP5p3/tD80f7nD80f3cP80e/h/NF9zB99f/NH +9zJ/aP7ofuYPzR/d0/zR36v5o/uaPzR/dG/zh+aP9j9/tP/5o/3PH+1//mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8cerjq/e//aNXHn46f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+6J7mD80fmj80 +f2j+aJ75Q/OH5o++7/lD84fmD80fmj80f3TP84fmD80fmj80f7Tf+UPzh+aP +7mv+0PzRvPOH5g/NH5o/NH9o/tD8oflD84fmj+adPzR/aP7o/c8fmj80f2j+ +0Pyh+aPf6/mj9zF/aP7oe5k/NH9o/tD8ofmje5o/eh/zh+YPzR+aP9rH/KH5 +Q/OH5g/NH5o/NH90L/OH5o++r/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o +/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//ujfnz80f/Q884fmj55v/tD80fPO +H5o/ev75o9+r+aN55g/NH803f2j+aN75Q/NH+58/NH90D/NHv4fzR/cxf/T9 +zR/dy/yh+aP7mT80f3RP80d/r+aP7mv+0PzRvc0fmj/a//zR/ueP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5Q/PHqY9X3Hbtjk9/9nL+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/ +tP/5o/3PH+1//mj/84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zRPc0fmj80 +f2j+0PzRPPOH5g/NH33f84fmD80fmj80f2j+6J7nD80fmj80f2j+aL/zh+YP +zR/d1/yh+aN55w/NH5o/NH9o/tD8oflD84fmD80fzTt/aP7Q/NH7nz80f2j+ +0Pyh+UPzR7/X80fvY/7Q/NH3Mn9o/tD8oflD80f3NH/0PuYPzR+aPzR/tI/5 +Q/OH5g/NH5o/NH9o/uhe5g/NH31f84fmD80fmj/a7/yh+UPzR/PPH80/fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/NP/80b8/f2j+6HnmD80fPd/8ofmj +550/NH/0/PNHv1fzR/PMH5o/mm/+0PzRvPOH5o/2P39o/uge5o9+D+eP7mP+ +6PubP7qX+UPzR/czf2j+6J7mj/5ezR/d1/yh+aN7mz80f7T/+aP9zx/tf/5o +//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//OH5o9TH19++u6LF+66kj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9 +zx/tf/5o//NH+58/2v/8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/f3RP84fm +D80fmj80fzTP/KH5Q/NH3/f8oflD84fmD80fmj+65/lD84fmD80fmj/a7/yh ++UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8oflD80fzzh+aPzR/9P7nD80f +mj80f2j+0PzR7/X80fuYPzR/9L3MH5o/NH9o/tD80T3NH72P+UPzh+YPzR/t +Y/7Q/KH5Q/OH5g/NH5o/upf5Q/NH39f8oflD84fmj/Y7f2j+0PzR/PNH888f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP3/0788fmj96nvlD80fPN39o +/uh55w/NHz3//NHv1fzRPPOH5o/mmz80fzTv/KH5o/3PH5o/uof5o9/D+aP7 +mD/6/uaP7mX+0PzR/cwfmj+6p/mjv1fzR/c1f2j+6N7mD80f7X/+aP/zR/uf +P9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//KH549THTR+4/toXLv44 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/z +R/ufP9r//NH+54/2P3+0//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+6J7m +D80fmj80f2j+aJ75Q/OH5o++7/lD84fmD80fmj80f3TP84fmD80fmj80f7Tf ++UPzh+aP7mv+0PzRvPOH5g/NH5o/NH9o/tD8oflD84fmj+adPzR/aP7o/c8f +mj80f2j+0Pyh+aPf6/mj9zF/aP7oe5k/NH9o/tD8ofmje5o/eh/zh+YPzR+a +P9rH/KH5Q/OH5g/NH5o/NH90L/OH5o++r/lD84fmD80f7Xf+0Pyh+aP554/m +nz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//ujfnz80f/Q884fmj55v +/tD80fPOH5o/ev75o9+r+aN55g/NH803f2j+aN75Q/NH+58/NH90D/NHv4fz +R/cxf/T9zR/dy/yh+aP7mT80f3RP80d/r+aP7mv+0PzRvc0fmj/a//zR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5Q/PHqY8v/uO+lx97 +1U/zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5o/3PH+1//mj/80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP +7mn+0Pyh+UPzh+aP5pk/NH9o/uj7nj80f2j+0Pyh+UPzR/c8f2j+0Pyh+UPz +R/udPzR/aP7ovuYPzR/NO39o/tD8oflD84fmD80fmj80f2j+aN75Q/OH5o/e +//yh+UPzh+YPzR+aP/q9nj96H/OH5o++l/lD84fmD80fmj+6p/mj9zF/aP7Q +/KH5o33MH5o/NH9o/tD8oflD80f3Mn9o/uj7mj80f2j+0PzRfucPzR+aP5p/ +/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj/79+UPzR88zf2j+ +6PnmD80fPe/8ofmj558/+r2aP5pn/tD80Xzzh+aP5p0/NH+0//lD80f3MH/0 +ezh/dB/zR9/f/NG9zB+aP7qf+UPzR/c0f/T3av7ovuYPzR/d2/yh+aP9zx/t +f/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/NH+c+nj50lde +unDv1fyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP5o//NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5o/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj+ ++aN7mj80f2j+0Pyh+aN55g/NH5o/+r7nD80fmj80f2j+0PzRPc8fmj80f2j+ +0PzRfucPzR+aP7qv+UPzR/POH5o/NH9o/tD8oflD84fmD80fmj+ad/7Q/KH5 +o/c/f2j+0Pyh+UPzh+aPfq/nj97H/KH5o+9l/tD8oflD84fmj+5p/uh9zB+a +PzR/aP5oH/OH5g/NH5o/NH9o/tD80b3MH5o/+r7mD80fmj80f7Tf+UPzh+aP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmjf3/+0PzR88wf +mj96vvlD80fPO39o/uj5549+r+aP5pk/NH803/yh+aN55w/NH+1//tD80T3M +H/0ezh/dx/zR9zd/dC/zh+aP7mf+0PzRPc0f/b2aP7qv+UPzR/c2f2j+aP/z +R/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH6c+3vma +O68/+PzP8ofmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP9zx/tf/5o//NH+58/2v/8 +0f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75 +o/nnj+5p/tD8oflD84fmj+aZPzR/aP7o+54/NH9o/tD8oflD80f3PH9o/tD8 +oflD80f7nT80f2j+6L7mD80fzTt/aP7Q/KH5Q/OH5g/NH5o/NH9o/mje+UPz +h+aP3v/8oflD84fmD80fmj/6vZ4/eh/zh+aPvpf5Q/OH5g/NH5o/uqf5o/cx +f2j+0Pyh+aN9zB+aPzR/aP7Q/KH5Q/NH9zJ/aP7o+5o/NH9o/tD80X7nD80f +mj+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/+/flD80fP +M39o/uj55g/NHz3v/KH5o+efP/q9mj+aZ/7Q/NF884fmj+adPzR/tP/5Q/NH +9zB/9Hs4f3Qf80ff3/zRvcwfmj+6n/lD80f3NH/092r+6L7mD80f3dv8ofmj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufPzR/nPr4 +/J9v+/0LH/p5/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888f +zT9/NP/80T3NH5o/NH9o/tD80Tzzh+YPzR993/OH5g/NH5o/NH9o/uie5w/N +H5o/NH9o/mi/84fmD80f3df8ofmjeecPzR+aPzR/aP7Q/KH5Q/OH5g/NH807 +f2j+0PzR+58/NH9o/tD8oflD80e/1/NH72P+0PzR9zJ/aP7Q/KH5Q/NH9zR/ +9D7mD80fmj80f7SP+UPzh+YPzR+aPzR/aP7oXuYPzR99X/OH5g/NH5o/2u/8 +oflD80fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NG/P39o +/uh55g/NHz3f/KH5o+edPzR/9PzzR79X80fzzB+aP5pv/tD80bzzh+aP9j9/ +aP7oHuaPfg/nj+5j/uj7mz+6l/lD80f3M39o/uie5o/+Xs0f3df8ofmje5s/ +NH+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+aP +Ux8/eepPz126ei1/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP5 +54/mnz+af/7onuYPzR+aPzR/aP5onvlD84fmj77v+UPzh+YPzR+aPzR/dM/z +h+YPzR+aPzR/tN/5Q/OH5o/ua/7Q/NG884fmD80fmj80f2j+0Pyh+UPzh+aP +5p0/NH9o/uj9zx+aPzR/aP7Q/KH5o9/r+aP3MX9o/uh7mT80f2j+0Pyh+aN7 +mj96H/OH5g/NH5o/2sf8oflD84fmD80fmj80f3Qv84fmj76v+UPzh+YPzR/t +d/7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+6N+f +PzR/9Dzzh+aPnm/+0PzR884fmj96/vmj36v5o3nmD80fzTd/aP5o3vlD80f7 +nz80f3QP80e/h/NH9zF/9P3NH93L/KH5o/uZPzR/dE/zR3+v5o/ua/7Q/NG9 +zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//lD +88epj3898O1nHn/bL/KH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj/c8f7X/+aP/z +R/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufPzR/aP7Q/KH5Q39t/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzR/PPH80/fzT//NH880fzzx/NP380//zR +/PNH888fzT9/NP/80T3NH5o/NH9o/tD80Tzzh+YPzR993/OH5g/NH5o/NH9o +/uie5w/NH5o/NH9o/mi/84fmD80f3df8ofmjeecPzR+aPzR/aP7Q/KH5Q/OH +5g/NH807f2j+0PzR+58/NH9o/tD8oflD80e/1/NH72P+0PzR9zJ/aP7Q/KH5 +Q/NH9zR/9D7mD80fmj80f7SP+UPzh+YPzR+aPzR/aP7oXuYPzR99X/OH5g/N +H5o/2u/8oflD80fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT/ +/NG/P39o/uh55g/NHz3f/KH5o+edPzR/9PzzR79X80fzzB+aP5pv/tD80bzz +h+aP9j9/aP7oHuaPfg/nj+5j/uj7mz+6l/lD80f3M39o/uie5o/+Xs0f3df8 +ofmje5s/NH+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+ +aP/zh+aPUx+3v/9TP/jPI7/MH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+aP75o/nnj+afP5p//mj++aP554/m +nz+af/5o/vmj+eeP5p8/uqf5Q/OH5g/NH5o/mmf+0Pyh+aPve/7Q/KH5Q/OH +5g/NH93z/KH5Q/OH5g/NH+13/tD8ofmj+5o/NH807/yh+UPzh+YPzR+aPzR/ +aP7Q/KH5o3nnD80fmj96//OH5g/NH5o/NH9o/uj3ev7ofcwfmj/6XuYPzR+a +PzR/aP7onuaP3sf8oflD84fmj/Yxf2j+0Pyh+UPzh+YPzR/dy/yh+aPva/7Q +/KH5Q/NH+50/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nn +j+afP/r35w/NHz3P/KH5o+ebPzR/9Lzzh+aPnn/+6Pdq/mie+UPzR/PNH5o/ +mnf+0PzR/ucPzR/dw/zR7+H80X3MH31/80f3Mn9o/uh+5g/NH93T/NHfq/mj ++5o/NH90b/OH5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj +/c8f7X/+0Pxx6uP+19/+5D03/yp/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2v/8 +0f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+eeP5p8/mn/+aP75o/nnj+af +P5p//mj++aP554/mnz+af/7onuYPzR+aPzR/aP5onvlD84fmj77v+UPzh+YP +zR+aPzR/dM/zh+YPzR+aPzR/tN/5Q/OH5o/ua/7Q/NG884fmD80fmj80f2j+ +0Pyh+UPzh+aP5p0/NH9o/uj9zx+aPzR/aP7Q/KH5o9/r+aP3MX9o/uh7mT80 +f2j+0Pyh+aN7mj96H/OH5g/NH5o/2sf8oflD84fmD80fmj80f3Qv84fmj76v ++UPzh+YPzR/td/7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP +5p8/mn/+6N+fPzR/9Dzzh+aPnm/+0PzR884fmj96/vmj36v5o3nmD80fzTd/ +aP5o3vlD80f7nz80f3QP80e/h/NH9zF/9P3NH93L/KH5o/uZPzR/dE/zR3+v +5o/ua/7Q/NG9zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//lD88epjyvXb3r0h/f/On9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj/a +//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP554/mnz+af/5o/vmj+eeP +5p8/mn/+aP75o/nnj+afP5p//uie5g/NH5o/NH9o/mie+UPzh+aPvu/5Q/OH +5g/NH5o/NH90z/OH5g/NH5o/NH+03/lD84fmj+5r/tD80bzzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5o/mnT80f2j+6P3PH5o/NH9o/tD8ofmj3+v5o/cxf2j+6HuZ +PzR/aP7Q/KH5o3uaP3of84fmD80fmj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aP +vq/5Q/OH5g/NH+13/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP5 +54/mnz+af/7o358/NH/0PPOH5o+eb/7Q/NHzzh+aP3r++aPfq/mjeeYPzR/N +N39o/mje+UPzR/ufPzR/dA/zR7+H80f3MX/0/c0f3cv8ofmj+5k/NH90T/NH +f6/mj+5r/tD80b3NH5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8 +0f7nj/Y/f7T/+UPzx6mPf15+9qFbXnw2f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +P9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//lD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5o/nnj+afP5p//mj++aP5 +54/mnz+af/5o/vmj+eeP5p8/mn/+6J7mD80fmj80f2j+aJ75Q/OH5o++7/lD +84fmD80fmj80f3TP84fmD80fmj80f7Tf+UPzh+aP7mv+0PzRvPOH5g/NH5o/ +NH9o/tD8oflD84fmj+adPzR/aP7o/c8fmj80f2j+0Pyh+aPf6/mj9zF/aP7o +e5k/NH9o/tD8ofmje5o/eh/zh+YPzR+aP9rH/KH5Q/OH5g/NH5o/NH90L/OH +5o++r/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o/vmj+f/Lxf2F7puIeRwv +OSCKtcpqSlZTapFpFSeE3TZGQtOSSBrs1LTrgIhpQ83uLIkYZkvKHkztbvkT +TYwccNeYcLK//Ldmdv0ZJm3taJdsE8WefF7vJ/fh++h7X891Pc+318k9fzT/ +/NH880fzzx/NP380//zR/PNHf3/+0PzR88wfmj96vvlD80fPO39o/uj5549+ +r+aP5pk/NH803/yh+aN55w/NH+1//tD80T3MH/0ezh/dx/zR92/+6F7mD80f +3c/8ofmje5o/+n81f3Rf84fmj+5t/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx+aP059PPPvb7vlTa/4t/yh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/ +2v/80f7nD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/mnz+a +f/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aN7mj80f2j+0Pyh+aN5 +5g/NH5o/+n7PH5o/NH9o/tD8ofmje54/NH9o/tD8ofmj/c4fmj80f3Rf84fm +j+adPzR/aP7Q/KH5Q/OH5g/NH5o/NH807/yh+UPzR5///KH5Q/OH5g/NH5o/ ++r2eP/o85g/NH31f5g/NH5o/NH9o/uie5o8+j/lD84fmD80f7WP+0Pyh+UPz +h+YPzR+aP7qX+UPzR9+v+UPzh+YPzR/td/7Q/KH5o/nnj+afP5p//mj++aP5 +54/mnz+af/5o/vmj+eeP5p8/mn/+6O/PH5o/ep75Q/NHzzd/aP7oeecPzR89 +//zR79X80Tzzh+aP5ps/NH807/yh+aP9zx+aP7qH+aPfw/mj+5g/+v7NH93L +/KH5o/uZPzR/dE/zR/+v5o/ua/7Q/NG9zR+aP9r//NH+54/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//lD88epj7de95qbrtx9JX9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR +/ueP9j9/tP/5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP5 +54/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//uie5g/NH5o/NH9o +/mie+UPzh+aPvt/zh+YPzR+aPzR/aP7onucPzR+aPzR/aP5ov/OH5g/NH93X +/KH5o3nnD80fmj80f2j+0Pyh+UPzh+YPzR/NO39o/tD80ec/f2j+0Pyh+UPz +h+aPfq/njz6P+UPzR9+X+UPzh+YPzR+aP7qn+aPPY/7Q/KH5Q/NH+5g/NH9o +/tD8oflD84fmj+5l/tD80fdr/tD8oflD80f7nT80f2j+aP75o/nnj+afP5p/ +/mj++aP554/mnz+af/5o/vmj+eeP5p8/+vvzh+aPnmf+0PzR880fmj963vlD +80fPP3/0ezV/NM/8ofmj+eYPzR/NO39o/mj/84fmj+5h/uj3cP7oPuaPvn/z +R/cyf2j+6H7mD80f3dP80f+r+aP7mj80f3Rv84fmj/Y/f7T/+aP9zx/tf/5o +//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/7Q/HHq41WP/vCd//j4r+cPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nj/Y/f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH80//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/d0/yh+UPz +h+YPzR/NM39o/tD80fd7/tD8oflD84fmD80f3fP8oflD84fmD80f7Xf+0Pyh ++aP7mj80fzTv/KH5Q/OH5g/NH5o/NH9o/tD8ofmjeecPzR+aP/r85w/NH5o/ +NH9o/tD80e/1/NHnMX9o/uj7Mn9o/tD8oflD80f3NH/0ecwfmj80f2j+aB/z +h+YPzR+aPzR/aP7Q/NG9zB+aP/p+zR+aPzR/aP5ov/OH5g/NH80/fzT//NH8 +80fzzx/NP380//zR/PNH888fzT9/NP/80fzzR39//tD80fPMH5o/er75Q/NH +zzt/aP7o+eePfq/mj+aZPzR/NN/8ofmjeecPzR/tf/7Q/NE9zB/9Hs4f3cf8 +0fdv/uhe5g/NH93P/KH5o3uaP/p/NX90X/OH5o/ubf7Q/NH+54/2P3+0//mj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj9Ofdz0trddc/fXL/7Q +vf9q3fuv1r3/at37r9a9/2rd+6/Wvf9q3fuv1r3/at37r9a9/2rd+6/Wvf9q +3fuv1r3/at37r9a9/2rd+6/Wvf9q3fuv1r3/at37r9a9/2rd+6/Wvf9q3fuv +1r3/at37r9a9/2rd+6/Wvf/K/r3/yv69/8r+vf/K/r3/yv69/8r+vf/K/r3/ +yv69/8r+vf/K/r3/yv69/8r+vf9q3fuv1r3/at37r9a9/2rd+6/Wvf9q3fuv +1r3/at37r9a9/2rd+6/Wvf9q3fuv1r3/at37r9a9/8r83n9lfu+/Mr/3X5nf ++6/M7/1X5vf+K/N7/5X5vf/K/N5/ZX7vvzK/91+5J++/Wvf+q3Xvv1r3/qt1 +778yj/dfrXv/1br3X/l+e//VuvdfrXv/1br3X617/9W691+5Z++/Wvf+q3Xv +v1r3/qt177+yX++/Wvf+q3Xvv3Jf3n+17v1X5vX+q3Xvv1r3/qt1779a9/6r +de+/Wvf+q3Xvv1r3/qt1778yr/dfrXv/1br3X/n8vf9q3fuv1r3/at37r9a9 +/2rd+6/8Xnv/lc/D+6/Wvf/K98X7r9a9/2rd+6/Wvf9q3fuv3JP3X/k8vP9q +3fuv1r3/at37r+zD+6/Wvf9q3fuv1r3/at37r9a9/2rd+6/ci/dfrXv/le+X +91+te//VuvdfrXv/lf16/9W691+te/+V+b3/yvzef2V+778yv/dfmd/7r8zv +/Vfm9/4r83v/lfm9/8r83n9lfu+/8ve9/2rd+688j/dfrXv/lefz/qt177/y +vN5/te79V57f+6/8Xnn/lXm8/2rd+6/M5/1X695/ZV7vv1r3/iv79/6rde+/ +cg/ef+X30Puv3If3X/n+ef+Ve/H+q3Xvv3I/3n+17v1X7sn7r/y/8v4r9+X9 +V+vef+XevP9q3fuv7N/7r+zf+6/s3/uv7N/7r+zf+6/s3/uv7N/7r+zf+6/s +3/uv7N/7r+zf+6/s3/uv1r3/6vf7+MgPXv3xX7z7G/lD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0PzR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5 +o/3PH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/NP380//zR +/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880f3NH9o/tD8oflD80fzzB+a +PzR/9P2ePzR/aP7Q/KH5Q/NH9zx/aP7Q/KH5Q/NH+50/NH9o/ui+5g/NH807 +f2j+0Pyh+UPzh+YPzR+aPzR/aP5o3vlD84fmjz7/+UPzh+YPzR+aPzR/9Hs9 +f/R5zB+aP/q+zB+aPzR/aP7Q/NE9zR99HvOH5g/NH5o/2sf8oflD84fmD80f +mj80f3Qv84fmj75f84fmD80fmj/a7/yh+UPzR/PPH80/fzT//NH880fzzx/N +P380//zR/PNH888fzT9/NP/80d+fPzR/9Dzzh+aPnm/+0PzR884fmj96/vmj +36v5o3nmD80fzTd/aP5o3vlD80f7nz80f3QP80e/h/NH9zF/9P2bP7qX+UPz +R/czf2j+6J7mj/5fzR/d1/yh+aN7mz80f7T/+aP9zx/tf/5o//NH+58/2v/8 +0f7nj/Y/f7T/+aP9zx/tf/5o//OH5o9TH5//i+dd/cfP/Wb+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3P +H+1//mj/84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH888f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zRPc0fmj80f2j+0PzR +PPOH5g/NH32/5w/NH5o/NH9o/tD80T3PH5o/NH9o/tD80X7nD80fmj+6r/lD +80fzzh+aPzR/aP7Q/KH5Q/OH5g/NH5o/mnf+0Pyh+aPPf/7Q/KH5Q/OH5g/N +H/1ezx99HvOH5o++L/OH5g/NH5o/NH90T/NHn8f8oflD84fmj/Yxf2j+0Pyh ++UPzh+YPzR/dy/yh+aPv1/yh+UPzh+aP9jt/aP7Q/NH880fzzx/NP380//zR +/PNH888fzT9/NP/80fzzR/PPH80/f/T35w/NHz3P/KH5o+ebPzR/9Lzzh+aP +nn/+6Pdq/mie+UPzR/PNH5o/mnf+0PzR/ucPzR/dw/zR7+H80X3MH33/5o/u +Zf7Q/NH9zB+aP7qn+aP/V/NH9zV/aP7o3uYPzR/tf/5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8ofnj1Md3P3n1P730/y7+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5 +o/3PH+1//mj/84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH +888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zRPc0fmj80f2j+ +0PzRPPOH5g/NH32/5w/NH5o/NH9o/tD80T3PH5o/NH9o/tD80X7nD80fmj+6 +r/lD80fzzh+aPzR/aP7Q/KH5Q/OH5g/NH5o/mnf+0Pyh+aPPf/7Q/KH5Q/OH +5g/NH/1ezx99HvOH5o++L/OH5g/NH5o/NH90T/NHn8f8oflD84fmj/Yxf2j+ +0Pyh+UPzh+YPzR/dy/yh+aPv1/yh+UPzh+aP9jt/aP7Q/NH880fzzx/NP380 +//zR/PNH888fzT9/NP/80fzzR/PPH80/f/T35w/NHz3P/KH5o+ebPzR/9Lzz +h+aPnn/+6Pdq/mie+UPzR/PNH5o/mnf+0PzR/ucPzR/dw/zR7+H80X3MH33/ +5o/uZf7Q/NH9zB+aP7qn+aP/V/NH9zV/aP7o3uYPzR/tf/5o//NH+58/2v/8 +0f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8ofnj1Mev/vDhf/TOT30rf2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/uf +P9r//NH+54/2P3+0//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+6J7mD80f +mj80f2j+aJ75Q/OH5o++3/OH5g/NH5o/NH9o/uie5w/NH5o/NH9o/mi/84fm +D80f3df8ofmjeecPzR+aPzR/aP7Q/KH5Q/OH5g/NH807f2j+0PzR5z9/aP7Q +/KH5Q/OH5o9+r+ePPo/5Q/NH35f5Q/OH5g/NH5o/uqf5o89j/tD8oflD80f7 +mD80f2j+0Pyh+UPzh+aP7mX+0PzR92v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz/6+/OH5o+eZ/7Q/NHzzR+a +P3re+UPzR88/f/R7NX80z/yh+aP55g/NH807f2j+aP/zh+aP7mH+6Pdw/ug+ +5o++f/NH9zJ/aP7ofuYPzR/d0/zR/6v5o/uaPzR/dG/zh+aP9j9/tP/5o/3P +H+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8cerjsX/7wK2ffMO3 +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+5p +/tD8oflD84fmj+aZPzR/aP7o+z1/aP7Q/KH5Q/OH5o/uef7Q/KH5Q/OH5o/2 +O39o/tD80X3NH5o/mnf+0Pyh+UPzh+YPzR+aPzR/aP7Q/NG884fmD80fff7z +h+YPzR+aPzR/aP7o93r+6POYPzR/9H2ZPzR/aP7Q/KH5o3uaP/o85g/NH5o/ +NH+0j/lD84fmD80fmj80f2j+6F7mD80ffb/mD80fmj80f7Tf+UPzh+aP5p8/ +mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmjvz9/aP7oeeYPzR89 +3/yh+aPnnT80f/T880e/V/NH88wfmj+ab/7Q/NG884fmj/Y/f2j+6B7mj34P +54/uY/7o+zd/dC/zh+aP7mf+0PzRPc0f/b+aP7qv+UPzR/c2f2j+aP/zR/uf +P9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH6c+nnHfNx5x +71XfyR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f7X/+aP/zR/uf +P9r//NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+af +P7qn+UPzh+YPzR+aP5pn/tD8ofmj7/f8oflD84fmD80fmj+65/lD84fmD80f +mj/a7/yh+UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8oflD80fzzh+aPzR/ +9PnPH5o/NH9o/tD8ofmj3+v5o89j/tD80fdl/tD8oflD84fmj+5p/ujzmD80 +f2j+0PzRPuYPzR+aPzR/aP7Q/KH5o3uZPzR/9P2aPzR/aP7Q/NF+5w/NH5o/ +mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP/v78ofmj55k/ +NH/0fPOH5o+ed/7Q/NHzzx/9Xs0fzTN/aP5ovvlD80fzzh+aP9r//KH5o3uY +P/o9nD+6j/mj79/80b3MH5o/up/5Q/NH9zR/9P9q/ui+5g/NH93b/KH5o/3P +H+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80f5z6uO7a +O295+Lcu/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f7T/+aP9zx/tf/5o//NH+58/ +2v/80f7nj/Y/f7T/+aP9zx/tf/5o//OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/ +NP/80T3NH5o/NH9o/tD80Tzzh+YPzR99v+cPzR+aPzR/aP7Q/NE9zx+aPzR/ +aP7Q/NF+5w/NH5o/uq/5Q/NH884fmj80f2j+0Pyh+UPzh+YPzR+aP5p3/tD8 +ofmjz3/+0Pyh+UPzh+YPzR/9Xs8ffR7zh+aPvi/zh+YPzR+aPzR/dE/zR5/H +/KH5Q/OH5o/2MX9o/tD8oflD84fmD80f3cv8ofmj79f8oflD84fmj/Y7f2j+ +0PzR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP3/09+cPzR89 +z/yh+aPnmz80f/S884fmj55//uj3av5onvlD80fzzR+aP5p3/tD80f7nD80f +3cP80e/h/NF9zB99/+aP7mX+0PzR/cwfmj+6p/mj/1fzR/c1f2j+6N7mD80f +7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//KH549TH +mz7z0d89673fzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75 +o/nnj+afP7qn+UPzh+YPzR+aP5pn/tD8ofmj7/f8oflD84fmD80fmj+65/lD +84fmD80fmj/a7/yh+UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8oflD80fz +zh+aPzR/9PnPH5o/NH9o/tD8ofmj3+v5o89j/tD80fdl/tD8oflD84fmj+5p +/ujzmD80f2j+0PzRPuYPzR+aPzR/aP7Q/KH5o3uZPzR/9P2aPzR/aP7Q/NF+ +5w/NH5o/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP/v78 +ofmj55k/NH/0fPOH5o+ed/7Q/NHzzx/9Xs0fzTN/aP5ovvlD80fzzh+aP9r/ +/KH5o3uYP/o9nD+6j/mj79/80b3MH5o/up/5Q/NH9zR/9P9q/ui+5g/NH93b +/KH5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80 +f5z6+ODj3nXTDc//Xv7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD80fzzx/NP380//zR/PNH888fzT9/NP/80fzz +R/PPH80/fzT//NE9zR+aPzR/aP7Q/NE884fmD80ffb/nD80fmj80f2j+0PzR +Pc8fmj80f2j+0PzRfucPzR+aP7qv+UPzR/POH5o/NH9o/tD8oflD84fmD80f +mj+ad/7Q/KH5o89//tD8oflD84fmD80f/V7PH30e84fmj74v84fmD80fmj80 +f3RP80efx/yh+UPzh+aP9jF/aP7Q/KH5Q/OH5g/NH93L/KH5o+/X/KH5Q/OH +5o/2O39o/tD80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/ +9PfnD80fPc/8ofmj55s/NH/0vPOH5o+ef/7o92r+aJ75Q/NH880fmj+ad/7Q +/NH+5w/NH93D/NHv4fzRfcwfff/mj+5l/tD80f3MH5o/uqf5o/9X80f3NX9o +/uje5g/NH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//yh+ePUx6ff+fpf3vbgxR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+aP75o/nnj+afP7qn+UPzh+YPzR+aP5pn/tD8ofmj7/f8oflD84fmD80f +mj+65/lD84fmD80fmj/a7/yh+UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8 +oflD80fzzh+aPzR/9PnPH5o/NH9o/tD8ofmj3+v5o89j/tD80fdl/tD8oflD +84fmj+5p/ujzmD80f2j+0PzRPuYPzR+aPzR/aP7Q/KH5o3uZPzR/9P2aPzR/ +aP7Q/NF+5w/NH5o/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj ++eeP/v78ofmj55k/NH/0fPOH5o+ed/7Q/NHzzx/9Xs0fzTN/aP5ovvlD80fz +zh+aP9r//KH5o3uYP/o9nD+6j/mj79/80b3MH5o/up/5Q/NH9zR/9P9q/ui+ +5g/NH93b/KH5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/ +80f7nz80f5z6uHL/C9745U//e/7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH+0//mj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80fzzx/NP380//zR/PNH888fzT9/ +NP/80fzzR/PPH80/fzT//NE9zR+aPzR/aP7Q/NE884fmD80ffb/nD80fmj80 +f2j+0PzRPc8fmj80f2j+0PzRfucPzR+aP7qv+UPzR/POH5o/NH9o/tD8oflD +84fmD80fmj+ad/7Q/KH5o89//tD8oflD84fmD80f/V7PH30e84fmj74v84fm +D80fmj80f3RP80efx/yh+UPzh+aP9jF/aP7Q/KH5Q/OH5g/NH93L/KH5o+/X +/KH5Q/OH5o/2O39o/tD80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH +888fzT9/9PfnD80fPc/8ofmj55s/NH/0vPOH5o+ef/7o92r+aJ75Q/NH880f +mj+ad/7Q/NH+5w/NH93D/NHv4fzRfcwfff/mj+5l/tD80f3MH5o/uqf5o/9X +80f3NX9o/uje5g/NH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/ +80f7nz/a//yh+ePUxwMvfurP/veG7+cPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH ++58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH80//zR/PNH888fzT9/NP/8 +0fzzR/PPH80/fzT//NH880fzzx/d0/yh+UPzh+YPzR/NM39o/tD80fd7/tD8 +oflD84fmD80f3fP8oflD84fmD80f7Xf+0Pyh+aP7mj80fzTv/KH5Q/OH5g/N +H5o/NH9o/tD8ofmjeecPzR+aP/r85w/NH5o/NH9o/tD80e/1/NHnMX9o/uj7 +Mn9o/tD8oflD80f3NH/0ecwfmj80f2j+aB/zh+YPzR+aPzR/aP7Q/NG9zB+a +P/p+zR+aPzR/aP5ov/OH5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888f +zT9/NP/80fzzR39//tD80fPMH5o/er75Q/NHzzt/aP7o+eePfq/mj+aZPzR/ +NN/8ofmjeecPzR/tf/7Q/NE9zB/9Hs4f3cf80fdv/uhe5g/NH93P/KH5o3ua +P/p/NX90X/OH5o/ubf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8fmj9OfTzys4++/olPuCd/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+eeP5p8/mn/+aP75 +o/nnj+afP5p//mj++aP554/mnz+af/7onuYPzR+aPzR/aP5onvlD84fmj77f +84fmD80fmj80f2j+6J7nD80fmj80f2j+aL/zh+YPzR/d1/yh+aN55w/NH5o/ +NH9o/tD8oflD84fmD80fzTt/aP7Q/NHnP39o/tD8oflD84fmj36v548+j/lD +80ffl/lD84fmD80fmj+6p/mjz2P+0Pyh+UPzR/uYPzR/aP7Q/KH5Q/OH5o/u +Zf7Q/NH3a/7Q/KH5Q/NH+50/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+aP75o/nnj+afP/r784fmj55n/tD80fPNH5o/et75Q/NHzz9/9Hs1fzTP +/KH5o/nmD80fzTt/aP5o//OH5o/uYf7o93D+6D7mj75/80f3Mn9o/uh+5g/N +H93T/NH/q/mj+5o/NH90b/OH5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8f7X/+0Pxx6uMpV/3qnpd85+IPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH80//zR/PNH888f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/d0/yh+UPzh+YPzR/NM39o/tD8 +0fd7/tD8oflD84fmD80f3fP8oflD84fmD80f7Xf+0Pyh+aP7mj80fzTv/KH5 +Q/OH5g/NH5o/NH9o/tD8ofmjeecPzR+aP/r85w/NH5o/NH9o/tD80e/1/NHn +MX9o/uj7Mn9o/tD8oflD80f3NH/0ecwfmj80f2j+aB/zh+YPzR+aPzR/aP7Q +/NG9zB+aP/p+zR+aPzR/aP5ov/OH5g/NH80/fzT//NH880fzzx/NP380//zR +/PNH888fzT9/NP/80fzzR39//tD80fPMH5o/er75Q/NHzzt/aP7o+eePfq/m +j+aZPzR/NN/8ofmjeecPzR/tf/7Q/NE9zB/9Hs4f3cf80fdv/uhe5g/NH93P +/KH5o3uaP/p/NX90X/OH5o/ubf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/uf +P9r//NH+54/2P3+0//mj/c8fmj9Ofbzo5u//5Tved2/+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH888fzT9/ +NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zRPc0fmj80f2j+0PzRPPOH +5g/NH32/5w/NH5o/NH9o/tD80T3PH5o/NH9o/tD80X7nD80fmj+6r/lD80fz +zh+aPzR/aP7Q/KH5Q/OH5g/NH5o/mnf+0Pyh+aPPf/7Q/KH5Q/OH5g/NH/1e +zx99HvOH5o++L/OH5g/NH5o/NH90T/NHn8f8oflD84fmj/Yxf2j+0Pyh+UPz +h+YPzR/dy/yh+aPv1/yh+UPzh+aP9jt/aP7Q/NH880fzzx/NP380//zR/PNH +888fzT9/NP/80fzzR/PPH80/f/T35w/NHz3P/KH5o+ebPzR/9Lzzh+aPnn/+ +6Pdq/mie+UPzR/PNH5o/mnf+0PzR/ucPzR/dw/zR7+H80X3MH33/5o/uZf7Q +/NH9zB+aP7qn+aP/V/NH9zV/aP7o3uYPzR/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/2v/8ofnj1MeN//XFK5/48//IH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+aP75 +o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/uqf5Q/OH5g/NH5o/ +mmf+0Pyh+aPv9/yh+UPzh+YPzR+aP7rn+UPzh+YPzR+aP9rv/KH5Q/NH9zV/ +aP5o3vlD84fmD80fmj80f2j+0Pyh+UPzR/POH5o/NH/0+c8fmj80f2j+0Pyh ++aPf6/mjz2P+0PzR92X+0Pyh+UPzh+aP7mn+6POYPzR/aP7Q/NE+5g/NH5o/ +NH9o/tD8ofmje5k/NH/0/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj+eeP5p8/ +mn/+aP75o/nnj+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fmj553/tD8 +0fPPH/1ezR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+j2cP7qP+aPv3/zR +vcwfmj+6n/lD80f3NH/0/2r+6L7mD80f3dv8ofmj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufPzR/nPp4z8tuf+E9v774Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH9zR/aP7Q/KH5 +Q/NH88wfmj80f/T9nj80f2j+0Pyh+UPzR/c8f2j+0Pyh+UPzR/udPzR/aP7o +vuYPzR/NO39o/tD8oflD84fmD80fmj80f2j+aN75Q/OH5o8+//lD84fmD80f +mj80f/R7PX/0ecwfmj/6vswfmj80f2j+0PzRPc0ffR7zh+YPzR+aP9rH/KH5 +Q/OH5g/NH5o/NH90L/OH5o++X/OH5g/NH5o/2u/8oflD80fzzx/NP380//zR +/PNH888fzT9/NP/80fzzR/PPH80/fzT//NHfnz80f/Q884fmj55v/tD80fPO +H5o/ev75o9+r+aN55g/NH803f2j+aN75Q/NH+58/NH90D/NHv4fzR/cxf/T9 +mz+6l/lD80f3M39o/uie5o/+X80f3df8ofmje5s/NH+0//mj/c8f7X/+aP/z +R/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+aPUx//+vl/uOthd/xn/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80T3NH5o/ +NH9o/tD80Tzzh+YPzR99v+cPzR+aPzR/aP7Q/NE9zx+aPzR/aP7Q/NF+5w/N +H5o/uq/5Q/NH884fmj80f2j+0Pyh+UPzh+YPzR+aP5p3/tD8ofmjz3/+0Pyh ++UPzh+YPzR/9Xs8ffR7zh+aPvi/zh+YPzR+aPzR/dE/zR5/H/KH5Q/OH5o/2 +MX9o/tD8oflD84fmD80f3cv8ofmj79f8oflD84fmj/Y7f2j+0PzR/PNH888f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP3/09+cPzR89z/yh+aPnmz80 +f/S884fmj55//uj3av5onvlD80fzzR+aP5p3/tD80f7nD80f3cP80e/h/NF9 +zB99/+aP7mX+0PzR/cwfmj+6p/mj/1fzR/c1f2j+6N7mD80f7X/+aP/zR/uf +P9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//KH549THV57w189+1o0/ +yB+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP7qn ++UPzh+YPzR+aP5pn/tD8ofmj7/f8oflD84fmD80fmj+65/lD84fmD80fmj/a +7/yh+UPzR/c1f2j+aN75Q/OH5g/NH5o/NH9o/tD8oflD80fzzh+aPzR/9PnP +H5o/NH9o/tD8ofmj3+v5o89j/tD80fdl/tD8oflD84fmj+5p/ujzmD80f2j+ +0PzRPuYPzR+aPzR/aP7Q/KH5o3uZPzR/9P2aPzR/aP7Q/NF+5w/NH5o/mn/+ +aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP/v78ofmj55k/NH/0 +fPOH5o+ed/7Q/NHzzx/9Xs0fzTN/aP5ovvlD80fzzh+aP9r//KH5o3uYP/o9 +nD+6j/mj79/80b3MH5o/up/5Q/NH9zR/9P9q/ui+5g/NH93b/KH5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80f5z6uP+Wl975 +V0/8Yf7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8f7X/+aP/zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD80fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT/ +/NE9zR+aPzR/aP7Q/NE884fmD80ffb/nD80fmj80f2j+0PzRPc8fmj80f2j+ +0PzRfucPzR+aP7qv+UPzR/POH5o/NH9o/tD8oflD84fmD80fmj+ad/7Q/KH5 +o89//tD8oflD84fmD80f/V7PH30e84fmj74v84fmD80fmj80f3RP80efx/yh ++UPzh+aP9jF/aP7Q/KH5Q/OH5g/NH93L/KH5o+/X/KH5Q/OH5o/2O39o/tD8 +0fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/9PfnD80fPc/8 +ofmj55s/NH/0vPOH5o+ef/7o92r+aJ75Q/NH880fmj+ad/7Q/NH+5w/NH93D +/NHv4fzRfcwfff/mj+5l/tD80f3MH5o/uqf5o/9X80f3NX9o/uje5g/NH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//yh+ePUx0Mf +eMY1t33v4g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a//zR/ueP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzz +R/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR93v+0Pyh+UPzh+YPzR/d8/yh+UPz +h+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fmD80fmj80f2j+0Pyh+aN55w/N +H5o/+vznD80fmj80f2j+0PzR7/X80ecxf2j+6Psyf2j+0Pyh+UPzR/c0f/R5 +zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD80b3MH5o/+n7NH5o/NH9o/mi/84fm +D80fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNHf3/+0PzR +88wfmj96vvlD80fPO39o/uj5549+r+aP5pk/NH803/yh+aN55w/NH+1//tD8 +0T3MH/0ezh/dx/zR92/+6F7mD80f3c/8ofmje5o/+n81f3Rf84fmj+5t/tD8 +0f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx+aP059 +POZPHvny61/wo/yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5o/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+af +P5p//mj++aN7mj80f2j+0Pyh+aN55g/NH5o/+n7PH5o/NH9o/tD8ofmje54/ +NH9o/tD8ofmj/c4fmj80f3Rf84fmj+adPzR/aP7Q/KH5Q/OH5g/NH5o/NH80 +7/yh+UPzR5///KH5Q/OH5g/NH5o/+r2eP/o85g/NH31f5g/NH5o/NH9o/uie +5o8+j/lD84fmD80f7WP+0Pyh+UPzh+YPzR+aP7qX+UPzR9+v+UPzh+YPzR/t +d/7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+6O/P +H5o/ep75Q/NHzzd/aP7oeecPzR89//zR79X80Tzzh+aP5ps/NH807/yh+aP9 +zx+aP7qH+aPfw/mj+5g/+v7NH93L/KH5o/uZPzR/dE/zR/+v5o/ua/7Q/NG9 +zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//lD +88epj9tf89tbH7z94g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80fzT//NH880fzzx/NP380//zR/PNH888fzT9/ +NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR93v+0Pyh+UPzh+YPzR/d +8/yh+UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fmD80fmj80f2j+0Pyh ++aN55w/NH5o/+vznD80fmj80f2j+0PzR7/X80ecxf2j+6Psyf2j+0Pyh+UPz +R/c0f/R5zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD80b3MH5o/+n7NH5o/NH9o +/mi/84fmD80fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH +f3/+0PzR88wfmj96vvlD80fPO39o/uj5549+r+aP5pk/NH803/yh+aN55w/N +H+1//tD80T3MH/0ezh/dx/zR92/+6F7mD80f3c/8ofmje5o/+n81f3Rf84fm +j+5t/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9 +zx+aP059/Omt/3PlA7+9+EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+54/2P3+0 +//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH80/fzT//NH880fzzx/NP380//zR/PNH +888fzT9/NP/80fzzR/c0f2j+0Pyh+UPzR/PMH5o/NH/0/Z4/NH9o/tD8oflD +80f3PH9o/tD8oflD80f7nT80f2j+6L7mD80fzTt/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/mje+UPzh+aPPv/5Q/OH5g/NH5o/NH/0ez1/9HnMH5o/+r7MH5o/NH9o +/tD80T3NH30e84fmD80fmj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aPvl/zh+YP +zR+aP9rv/KH5Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380 +//zR358/NH/0PPOH5o+eb/7Q/NHzzh+aP3r++aPfq/mjeeYPzR/NN39o/mje ++UPzR/ufPzR/dA/zR7+H80f3MX/0/Zs/upf5Q/NH9zN/aP7onuaP/l/NH93X +/KH5o3ubPzR/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/84fmj1Mfd9193yOe/Kof5w/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f7nz/a +//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT//NH880fzzx/NP380//zR/PNH +888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR93v+0Pyh+UPz +h+YPzR/d8/yh+UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fmD80fmj80 +f2j+0Pyh+aN55w/NH5o/+vznD80fmj80f2j+0PzR7/X80ecxf2j+6Psyf2j+ +0Pyh+UPzR/c0f/R5zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD80b3MH5o/+n7N +H5o/NH9o/mi/84fmD80fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380 +//zR/PNHf3/+0PzR88wfmj96vvlD80fPO39o/uj5549+r+aP5pk/NH803/yh ++aN55w/NH+1//tD80T3MH/0ezh/dx/zR92/+6F7mD80f3c/8ofmje5o/+n81 +f3Rf84fmj+5t/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx+aP059XPfgt1/4pc9d/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ucPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+afP5p//mj++aP554/mnz+a +f/5o/vmj+eeP5p8/mn/+aP75o3uaPzR/aP7Q/KH5o3nmD80fmj/6fs8fmj80 +f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+aPzR/dF/zh+aP5p0/NH9o/tD8oflD +84fmD80fmj80fzTv/KH5Q/NHn//8oflD84fmD80fmj/6vZ4/+jzmD80ffV/m +D80fmj80f2j+6J7mjz6P+UPzh+YPzR/tY/7Q/KH5Q/OH5g/NH5o/upf5Q/NH +36/5Q/OH5g/NH+13/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP5 +54/mnz+af/7o788fmj96nvlD80fPN39o/uh55w/NHz3//NHv1fzRPPOH5o/m +mz80fzTv/KH5o/3PH5o/uof5o9/D+aP7mD/6/s0f3cv8ofmj+5k/NH90T/NH +/6/mj+5r/tD80b3NH5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8 +0f7nj/Y/f7T/+UPzx6mP+57y1Vte/gf35Q/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT//NH880fzzx/NP380 +//zR/PNH888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR93v+ +0Pyh+UPzh+YPzR/d8/yh+UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fm +D80fmj80f2j+0Pyh+aN55w/NH5o/+vznD80fmj80f2j+0PzR7/X80ecxf2j+ +6Psyf2j+0Pyh+UPzR/c0f/R5zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD80b3M +H5o/+n7NH5o/NH9o/mi/84fmD80fzT9/NP/80fzzR/PPH80/fzT//NH880fz +zx/NP380//zR/PNHf3/+0PzR88wfmj96vvlD80fPO39o/uj5549+r+aP5pk/ +NH803/yh+aN55w/NH+1//tD80T3MH/0ezh/dx/zR92/+6F7mD80f3c/8ofmj +e5o/+n81f3Rf84fmj+5t/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8 +0f7nj/Y/f7T/+aP9zx+aP059vPm1X7jrv//m4g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80fzT//NH880fzzx/N +P380//zR/PNH888fzT9/NP/80fzzR/PPH93T/KH5Q/OH5g/NH80zf2j+0PzR +93v+0Pyh+UPzh+YPzR/d8/yh+UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD +84fmD80fmj80f2j+0Pyh+aN55w/NH5o/+vznD80fmj80f2j+0PzR7/X80ecx +f2j+6Psyf2j+0Pyh+UPzR/c0f/R5zB+aPzR/aP5oH/OH5g/NH5o/NH9o/tD8 +0b3MH5o/+n7NH5o/NH9o/mi/84fmD80fzT9/NP/80fzzR/PPH80/fzT//NH8 +80fzzx/NP380//zR/PNHf3/+0PzR88wfmj96vvlD80fPO39o/uj5549+r+aP +5pk/NH803/yh+aN55w/NH+1//tD80T3MH/0ezh/dx/zR92/+6F7mD80f3c/8 +ofmje5o/+n81f3Rf84fmj+5t/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/ +2v/80f7nj/Y/f7T/+aP9zx+aP059POTDn/jd333t4g/NH5o/NH9o/tD8oflD +v3/+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+5w/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aP5p8/mn/+ +aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmje5o/NH9o/tD8ofmjeeYP +zR+aP/p+zx+aPzR/aP7Q/KH5o3uePzR/aP7Q/KH5o/3OH5o/NH90X/OH5o/m +nT80f2j+0Pyh+UPzh+YPzR+aPzR/NO/8oflD80ef//yh+UPzh+YPzR+aP/q9 +nj/6POYPzR99X+YPzR+aPzR/aP7onuaPPo/5Q/OH5g/NH+1j/tD8oflD84fm +D80fmj+6l/lD80ffr/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o/vmj+eeP +5p8/mn/+aP75o/nnj+afP5p//ujvzx+aP3qe+UPzR883f2j+6HnnD80fPf/8 +0e/V/NE884fmj+abPzR/NO/8ofmj/c8fmj+6h/mj38P5o/uYP/r+zR/dy/yh ++aP7mT80f3RP80f/r+aP7mv+0PzRvc0fmj/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5Q/PHqY8PfeVjz77q6p/kD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P39o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/NP/8 +0fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888f3dP8oflD84fmD80f +zTN/aP7Q/NH3e/7Q/KH5Q/OH5g/NH93z/KH5Q/OH5g/NH+13/tD8ofmj+5o/ +NH807/yh+UPzh+YPzR+aPzR/aP7Q/KH5o3nnD80fmj/6/OcPzR+aPzR/aP7Q +/NHv9fzR5zF/aP7o+zJ/aP7Q/KH5Q/NH9zR/9HnMH5o/NH9o/mgf84fmD80f +mj80f2j+0PzRvcwfmj/6fs0fmj80f2j+aL/zh+YPzR/NP380//zR/PNH888f +zT9/NP/80fzzR/PPH80/fzT//NH880d/f/7Q/NHzzB+aP3q++UPzR887f2j+ +6Pnnj36v5o/mmT80fzTf/KH5o3nnD80f7X/+0PzRPcwf/R7OH93H/NH3b/7o +XuYPzR/dz/yh+aN7mj/6fzV/dF/zh+aP7m3+0PzR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/Tn086dcfuOmOd138oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/z +R/ufP9r//NH+5w/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aP +5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmje5o/NH9o/tD8 +ofmjeeYPzR+aP/p+zx+aPzR/aP7Q/KH5o3uePzR/aP7Q/KH5o/3OH5o/NH90 +X/OH5o/mnT80f2j+0Pyh+UPzh+YPzR+aPzR/NO/8oflD80ef//yh+UPzh+YP +zR+aP/q9nj/6POYPzR99X+YPzR+aPzR/aP7onuaPPo/5Q/OH5g/NH+1j/tD8 +oflD84fmD80fmj+6l/lD80ffr/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o +/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//ujvzx+aP3qe+UPzR883f2j+6Hnn +D80fPf/80e/V/NE884fmj+abPzR/NO/8ofmj/c8fmj+6h/mj38P5o/uYP/r+ +zR/dy/yh+aP7mT80f3RP80f/r+aP7mv+0PzRvc0fmj/a//zR/ueP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5Q/PHqY87nnbzndfee/GH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8ofmj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj +/c8f7X/+aP/zR/ufPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/uaf7Q/KH5 +Q/OH5o/mmT80f2j+6Ps9f2j+0Pyh+UPzh+aP7nn+0Pyh+UPzh+aP9jt/aP7Q +/NF9zR+aP5p3/tD8oflD84fmD80fmj80f2j+0PzRvPOH5g/NH33+84fmD80f +mj80f2j+6Pd6/ujzmD80f/R9mT80f2j+0Pyh+aN7mj/6POYPzR+aPzR/tI/5 +Q/OH5g/NH5o/NH9o/uhe5g/NH32/5g/NH5o/NH+03/lD84fmj+afP5p//mj+ ++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o78/f2j+6HnmD80fPd/8ofmj +550/NH/0/PNHv1fzR/PMH5o/mm/+0PzRvPOH5o/2P39o/uge5o9+D+eP7mP+ +6Ps3f3Qv84fmj+5n/tD80T3NH/2/mj+6r/lD80f3Nn9o/mj/80f7nz/a//zR +/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ucPzR+nPv7s+rf88kfP/Gn+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zRPc0f +mj80f2j+0PzRPPOH5g/NH32/5w/NH5o/NH9o/tD80T3PH5o/NH9o/tD80X7n +D80fmj+6r/lD80fzzh+aPzR/aP7Q/KH5Q/OH5g/NH5o/mnf+0Pyh+aPPf/7Q +/KH5Q/OH5g/NH/1ezx99HvOH5o++L/OH5g/NH5o/NH90T/NHn8f8oflD84fm +j/Yxf2j+0Pyh+UPzh+YPzR/dy/yh+aPv1/yh+UPzh+aP9jt/aP7Q/NH880fz +zx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/f/T35w/NHz3P/KH5o+eb +PzR/9Lzzh+aPnn/+6Pdq/mie+UPzR/PNH5o/mnf+0PzR/ucPzR/dw/zR7+H8 +0X3MH33/5o/uZf7Q/NH9zB+aP7qn+aP/V/NH9zV/aP7o3uYPzR/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8ofnj1Mc3b7vhmrd/ +6OIPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH+58/2v/80f7nj/Y/f7T/+aP9zx/t +f/5o//NH+58/2v/80f7nj/Y/f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH80//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/d +0/yh+UPzh+YPzR/NM39o/tD80fd7/tD8oflD84fmD80f3fP8oflD84fmD80f +7Xf+0Pyh+aP7mj80fzTv/KH5Q/OH5g/NH5o/NH9o/tD8ofmjeecPzR+aP/r8 +5w/NH5o/NH9o/tD80e/1/NHnMX9o/uj7Mn9o/tD8oflD80f3NH/0ecwfmj80 +f2j+aB/zh+YPzR+aPzR/aP7Q/NG9zB+aP/p+zR+aPzR/aP5ov/OH5g/NH80/ +fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR39//tD80fPMH5o/ +er75Q/NHzzt/aP7o+eePfq/mj+aZPzR/NN/8ofmjeecPzR/tf/7Q/NE9zB/9 +Hs4f3cf80fdv/uhe5g/NH93P/KH5o3uaP/p/NX90X/OH5o/ubf7Q/NH+54/2 +P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj9Ofbzua698 +46N+fvGH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8f7X/+aP/zR/ufPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP5 +54/uaf7Q/KH5Q/OH5o/mmT80f2j+6Ps9f2j+0Pyh+UPzh+aP7nn+0Pyh+UPz +h+aP9jt/aP7Q/NF9zR+aP5p3/tD8oflD84fmD80fmj80f2j+0PzRvPOH5g/N +H33+84fmD80fmj80f2j+6Pd6/ujzmD80f/R9mT80f2j+0Pyh+aN7mj/6POYP +zR+aPzR/tI/5Q/OH5g/NH5o/NH9o/uhe5g/NH32/5g/NH5o/NH+03/lD84fm +j+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o78/f2j+6Hnm +D80fPd/8ofmj550/NH/0/PNHv1fzR/PMH5o/mm/+0PzRvPOH5o/2P39o/uge +5o9+D+eP7mP+6Ps3f3Qv84fmj+5n/tD80T3NH/2/mj+6r/lD80f3Nn9o/mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ucPzR+nPn7x +mxd9/F+uvT9/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2v/80f7nj/Y/f7T/+aP9 +zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/m +nz+af/7onuYPzR+aPzR/aP5onvlD84fmj77f84fmD80fmj80f2j+6J7nD80f +mj80f2j+aL/zh+YPzR/d1/yh+aN55w/NH5o/NH9o/tD8oflD84fmD80fzTt/ +aP7Q/NHnP39o/tD8oflD84fmj36v548+j/lD80ffl/lD84fmD80fmj+6p/mj +z2P+0Pyh+UPzR/uYPzR/aP7Q/KH5Q/OH5o/uZf7Q/NH3a/7Q/KH5Q/NH+50/ +NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP/r784fm +j55n/tD80fPNH5o/et75Q/NHzz9/9Hs1fzTP/KH5o/nmD80fzTt/aP5o//OH +5o/uYf7o93D+6D7mj75/80f3Mn9o/uh+5g/NH93T/NH/q/mj+5o/NH90b/OH +5o/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pxx +6uPmpz/nZ8/554s/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH//fdf2F/nfQdRy33/LKumgy1IKkMcQuMrciK+eF +FjQIAsfoKsZmIZpabBAx8sbWLgZBbEQbo00QLXYlThkpyHG7Ulkz/yTS+qMj ++tVWtuZFeRGri9fj+YFz+bz6nvfn/T7ny0Pzh+YPzR+aPzR/aP7Q/KH5o/3P +H+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/mn/+aP75o/nnj+afP5p//mj+ ++aP554/mnz+af/5o/vmj+eeP7mn+0Pyh+UPzh+aP5pk/NH9o/uj9nj80f2j+ +0Pyh+UPzR/c8f2j+0Pyh+UPzR/udPzR/aP7ovuYPzR/NO39o/tD8oflD84fm +D80fmj80f2j+aN75Q/OH5o9+//lD84fmD80fmj80f/S9nj/6PeYPzR+9L/OH +5g/NH5o/NH90T/NHv8f8oflD84fmj/Yxf2j+0Pyh+UPzh+YPzR/dy/yh+aP3 +a/7Q/KH5Q/NH+50/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75 +o/nnj+afP/r784fmj55n/tD80fPNH5o/et75Q/NHzz9/9L2aP5pn/tD80Xzz +h+aP5p0/NH+0//lD80f3MH/0PZw/uo/5o/dv/uhe5g/NH93P/KH5o3uaP/p/ +NX90X/OH5o/ubf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2 +P3+0//mj/c8fmj9OfVz7mz99w9++6l/yh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/mn/+aP75o/nnj+afP5p/ +/mj++aP554/mnz+af/5o/vmj+eeP7mn+0Pyh+UPzh+aP5pk/NH9o/uj9nj80 +f2j+0Pyh+UPzR/c8f2j+0Pyh+UPzR/udPzR/aP7ovuYPzR/NO39o/tD8oflD +84fmD80fmj80f2j+aN75Q/OH5o9+//lD84fmD80fmj80f/S9nj/6PeYPzR+9 +L/OH5g/NH5o/NH90T/NHv8f8oflD84fmj/Yxf2j+0Pyh+UPzh+YPzR/dy/yh ++aP3a/7Q/KH5Q/NH+50/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP/r784fmj55n/tD80fPNH5o/et75Q/NHzz9/9L2aP5pn/tD8 +0Xzzh+aP5p0/NH+0//lD80f3MH/0PZw/uo/5o/dv/uhe5g/NH93P/KH5o3ua +P/p/NX90X/OH5o/ubf7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8fmj9OfXzsz37izg/+xsUfmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+aP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//mj++aP554/mnz+6p/lD84fmD80fmj+aZ/7Q/KH5o/d7 +/tD8oflD84fmD80f3fP8oflD84fmD80f7Xf+0Pyh+aP7mj80fzTv/KH5Q/OH +5g/NH5o/NH9o/tD8ofmjeecPzR+aP/r95w/NH5o/NH9o/tD80fd6/uj3mD80 +f/S+zB+aPzR/aP7Q/NE9zR/9HvOH5g/NH5o/2sf8oflD84fmD80fmj80f3Qv +84fmj96v+UPzh+YPzR/td/7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o +/vmj+eeP5p8/mn/+6O/PH5o/ep75Q/NHzzd/aP7oeecPzR89//zR92r+aJ75 +Q/NH880fmj+ad/7Q/NH+5w/NH93D/NH3cP7oPuaP3r/5o3uZPzR/dD/zh+aP +7mn+6P/V/NF9zR+aP7q3+UPzR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/z +R/ufP9r//NH+54/2P39o/jj1cdOXX/vYNX918YfmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP5p//mj++aP554/m +nz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+5p/tD8oflD84fmj+aZPzR/aP7o +/Z4/NH9o/tD8oflD80f3PH9o/tD8oflD80f7nT80f2j+6L7mD80fzTt/aP7Q +/KH5Q/OH5g/NH5o/NH9o/mje+UPzh+aPfv/5Q/OH5g/NH5o/NH/0vZ4/+j3m +D80fvS/zh+YPzR+aPzR/dE/zR7/H/KH5Q/OH5o/2MX9o/tD8oflD84fmD80f +3cv8ofmj92v+0Pyh+UPzR/udPzR/aP5o/vmj+eeP5p8/mn/+aP75o/nnj+af +P5p//mj++aP554/mnz/6+/OH5o+eZ/7Q/NHzzR+aP3re+UPzR88/f/S9mj+a +Z/7Q/NF884fmj+adPzR/tP/5Q/NH9zB/9D2cP7qP+aP3b/7oXuYPzR/dz/yh ++aN7mj/6fzV/dF/zh+aP7m3+0PzR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//zR/ueP9j9/tP/5o/3PH5o/Tn08/b+vfu6R117NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/t +f/7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+aP75o/nnj+af +P5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/uqf5Q/OH5g/NH5o/mmf+0Pyh ++aP3e/7Q/KH5Q/OH5g/NH93z/KH5Q/OH5g/NH+13/tD8ofmj+5o/NH807/yh ++UPzh+YPzR+aPzR/aP7Q/KH5o3nnD80fmj/6/ecPzR+aPzR/aP7Q/NH3ev7o +95g/NH/0vswfmj80f2j+0PzRPc0f/R7zh+YPzR+aP9rH/KH5Q/OH5g/NH5o/ +NH90L/OH5o/er/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+aP75o/nnj+afP5p//ujvzx+aP3qe+UPzR883f2j+6HnnD80fPf/80fdq +/mie+UPzR/PNH5o/mnf+0PzR/ucPzR/dw/zR93D+6D7mj96/+aN7mT80f3Q/ +84fmj+5p/uj/1fzRfc0fmj+6t/lD80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/aP449XHrjf/zupt+9+IPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7n +j/Y/f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH80//zR/PNH +888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/d0/yh+UPzh+YPzR/NM39o +/tD80fs9f2j+0Pyh+UPzh+aP7nn+0Pyh+UPzh+aP9jt/aP7Q/NF9zR+aP5p3 +/tD8oflD84fmD80fmj80f2j+0PzRvPOH5g/NH/3+84fmD80fmj80f2j+6Hs9 +f/R7zB+aP3pf5g/NH5o/NH9o/uie5o9+j/lD84fmD80f7WP+0Pyh+UPzh+YP +zR+aP7qX+UPzR+/X/KH5Q/OH5o/2O39o/tD80fzzR/PPH80/fzT//NH880fz +zx/NP380//zR/PNH888fzT9/9PfnD80fPc/8ofmj55s/NH/0vPOH5o+ef/7o +ezV/NM/8ofmj+eYPzR/NO39o/mj/84fmj+5h/uh7OH90H/NH79/80b3MH5o/ +up/5Q/NH9zR/9P9q/ui+5g/NH93b/KH5o/3PH+1//mj/80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz80f5z6eP63XrjtS1+++EPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0 +//mj/c8fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH80/fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/c0f2j+0Pyh+UPzR/PM +H5o/NH/0fs8fmj80f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+aPzR/dF/zh+aP +5p0/NH9o/tD8oflD84fmD80fmj80fzTv/KH5Q/NHv//8oflD84fmD80fmj/6 +Xs8f/R7zh+aP3pf5Q/OH5g/NH5o/uqf5o99j/tD8oflD80f7mD80f2j+0Pyh ++UPzh+aP7mX+0PzR+zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888fzT9/NP/8 +0fzzR/PPH80/fzT//NH880fzzx/9/flD80fPM39o/uj55g/NHz3v/KH5o+ef +P/pezR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+h7OH93H/NH7N390L/OH +5o/uZ/7Q/NE9zR/9v5o/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nD80fpz7ufvjvH7jjTf+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/ +80f7nz/a//yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80fzz +R/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/dE/zh+YPzR+aPzR/ +NM/8oflD80fv9/yh+UPzh+YPzR+aP7rn+UPzh+YPzR+aP9rv/KH5Q/NH9zV/ +aP5o3vlD84fmD80fmj80f2j+0Pyh+UPzR/POH5o/NH/0+88fmj80f2j+0Pyh ++aPv9fzR7zF/aP7ofZk/NH9o/tD8ofmje5o/+j3mD80fmj80f7SP+UPzh+YP +zR+aPzR/aP7oXuYPzR+9X/OH5g/NH5o/2u/8oflD80fzzx/NP380//zR/PNH +888fzT9/NP/80fzzR/PPH80/fzT//NHfnz80f/Q884fmj55v/tD80fPOH5o/ +ev75o+/V/NE884fmj+abPzR/NO/8ofmj/c8fmj+6h/mj7+H80X3MH71/80f3 +Mn9o/uh+5g/NH93T/NH/q/mj+5o/NH90b/OH5o/2P3+0//mj/c8f7X/+aP/z +R/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pxx6uPKM88++98fufhD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0PzR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP +9j9/tP/5o/3PH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/N +P380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880f3NH9o/tD8oflD +80fzzB+aPzR/9H7PH5o/NH9o/tD8ofmje54/NH9o/tD8ofmj/c4fmj80f3Rf +84fmj+adPzR/aP7Q/KH5Q/OH5g/NH5o/NH807/yh+UPzR7///KH5Q/OH5g/N +H5o/+l7PH/0e84fmj96X+UPzh+YPzR+aP7qn+aPfY/7Q/KH5Q/NH+5g/NH9o +/tD8oflD84fmj+5l/tD80fs1f2j+0Pyh+aP9zh+aPzR/NP/80fzzR/PPH80/ +fzT//NH880fzzx/NP380//zR/PNH888f/f35Q/NHzzN/aP7o+eYPzR897/yh ++aPnnz/6Xs0fzTN/aP5ovvlD80fzzh+aP9r//KH5o3uYP/oezh/dx/zR+zd/ +dC/zh+aP7mf+0PzRPc0f/b+aP7qv+UPzR/c2f2j+aP/zR/ufP9r//NH+54/2 +P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH6c+HnzlC6/5k3+4+EPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P3+0//mj/c8fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/c0f2j+0Pyh ++UPzR/PMH5o/NH/0fs8fmj80f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+aPzR/ +dF/zh+aP5p0/NH9o/tD8oflD84fmD80fmj80fzTv/KH5Q/NHv//8oflD84fm +D80fmj/6Xs8f/R7zh+aP3pf5Q/OH5g/NH5o/uqf5o99j/tD8oflD80f7mD80 +f2j+0Pyh+UPzh+aP7mX+0PzR+zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/9/flD80fPM39o/uj55g/NHz3v +/KH5o+efP/pezR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+h7OH93H/NH7 +N390L/OH5o/uZ/7Q/NE9zR/9v5o/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7n +j/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80fpz6u/5lP3/KmX/i3/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ucPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o3uaPzR/ +aP7Q/KH5o3nmD80fmj96v+cPzR+aPzR/aP7Q/NE9zx+aPzR/aP7Q/NF+5w/N +H5o/uq/5Q/NH884fmj80f2j+0Pyh+UPzh+YPzR+aP5p3/tD8ofmj33/+0Pyh ++UPzh+YPzR99r+ePfo/5Q/NH78v8oflD84fmD80f3dP80e8xf2j+0Pyh+aN9 +zB+aPzR/aP7Q/KH5Q/NH9zJ/aP7o/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj ++eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fm +j553/tD80fPPH32v5o/mmT80fzTf/KH5o3nnD80f7X/+0PzRPcwffQ/nj+5j +/uj9mz+6l/lD80f3M39o/uie5o/+X80f3df8ofmje5s/NH+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+aPUx9PvPcT933+Ty/+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zRPc0f +mj80f2j+0PzRPPOH5g/NH73f84fmD80fmj80f2j+6J7nD80fmj80f2j+aL/z +h+YPzR/d1/yh+aN55w/NH5o/NH9o/tD8oflD84fmD80fzTt/aP7Q/NHvP39o +/tD8oflD84fmj77X80e/x/yh+aP3Zf7Q/KH5Q/OH5o/uaf7o95g/NH9o/tD8 +0T7mD80fmj80f2j+0Pyh+aN7mT80f/R+zR+aPzR/aP5ov/OH5g/NH80/fzT/ +/NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR39//tD80fPMH5o/er75 +Q/NHzzt/aP7o+eePvlfzR/PMH5o/mm/+0PzRvPOH5o/2P39o/uge5o++h/NH +9zF/9P7NH93L/KH5o/uZPzR/dE/zR/+v5o/ua/7Q/NG9zR+aP9r//NH+54/2 +P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//lD88epj3c98tDTt710 +8YfmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+5p +/tD8oflD84fmj+aZPzR/aP7o/Z4/NH9o/tD8oflD80f3PH9o/tD8oflD80f7 +nT80f2j+6L7mD80fzTt/aP7Q/KH5Q/OH5g/NH5o/NH9o/mje+UPzh+aPfv/5 +Q/OH5g/NH5o/NH/0vZ4/+j3mD80fvS/zh+YPzR+aPzR/dE/zR7/H/KH5Q/OH +5o/2MX9o/tD8oflD84fmD80f3cv8ofmj92v+0Pyh+UPzR/udPzR/aP5o/vmj ++eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz/6+/OH5o+eZ/7Q/NHz +zR+aP3re+UPzR88/f/S9mj+aZ/7Q/NF884fmj+adPzR/tP/5Q/NH9zB/9D2c +P7qP+aP3b/7oXuYPzR/dz/yh+aN7mj/6fzV/dF/zh+aP7m3+0PzR/ueP9j9/ +tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/Tn187a/vf+XF +X30hf2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP9r//NH+54/2P3+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+54/2P3+0//lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+ +6J7mD80fmj80f2j+aJ75Q/OH5o/e7/lD84fmD80fmj80f3TP84fmD80fmj80 +f7Tf+UPzh+aP7mv+0PzRvPOH5g/NH5o/NH9o/tD8oflD84fmj+adPzR/aP7o +958/NH9o/tD8oflD80ff6/mj32P+0PzR+zJ/aP7Q/KH5Q/NH9zR/9HvMH5o/ +NH9o/mgf84fmD80fmj80f2j+0PzRvcwfmj96v+YPzR+aPzR/tN/5Q/OH5o/m +nz+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aO/P39o/uh55g/N +Hz3f/KH5o+edPzR/9PzzR9+r+aN55g/NH803f2j+aN75Q/NH+58/NH90D/NH +38P5o/uYP3r/5o/uZf7Q/NH9zB+aP7qn+aP/V/NH9zV/aP7o3uYPzR/tf/5o +//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8ofnj1Md7fuDD +N9/7lxd/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2v/80f7nj/Y/f7T/+aP9zx/t +f/5o//NH+58/2v/80f7nj/Y/f7T/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+a +f/7onuYPzR+aPzR/aP5onvlD84fmj97v+UPzh+YPzR+aPzR/dM/zh+YPzR+a +PzR/tN/5Q/OH5o/ua/7Q/NG884fmD80fmj80f2j+0Pyh+UPzh+aP5p0/NH9o +/uj3nz80f2j+0Pyh+UPzR9/r+aPfY/7Q/NH7Mn9o/tD8oflD80f3NH/0e8wf +mj80f2j+aB/zh+YPzR+aPzR/aP7Q/NG9zB+aP3q/5g/NH5o/NH+03/lD84fm +j+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o78/f2j+6Hnm +D80fPd/8ofmj550/NH/0/PNH36v5o3nmD80fzTd/aP5o3vlD80f7nz80f3QP +80ffw/mj+5g/ev/mj+5l/tD80f3MH5o/uqf5o/9X80f3NX9o/uje5g/NH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//yh+ePUx8s/ ++zv3/Og1L+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH+58/2v/80f7nj/Y/f7T/ ++aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH80//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH8 +80fzzx/d0/yh+UPzh+YPzR/NM39o/tD80fs9f2j+0Pyh+UPzh+aP7nn+0Pyh ++UPzh+aP9jt/aP7Q/NF9zR+aP5p3/tD8oflD84fmD80fmj80f2j+0PzRvPOH +5g/NH/3+84fmD80fmj80f2j+6Hs9f/R7zB+aP3pf5g/NH5o/NH9o/uie5o9+ +j/lD84fmD80f7WP+0Pyh+UPzh+YPzR+aP7qX+UPzR+/X/KH5Q/OH5o/2O39o +/tD80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/9PfnD80f +Pc/8ofmj55s/NH/0vPOH5o+ef/7oezV/NM/8ofmj+eYPzR/NO39o/mj/84fm +j+5h/uh7OH90H/NH79/80b3MH5o/up/5Q/NH9zR/9P9q/ui+5g/NH93b/KH5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz80f5z6 ++MP33fHkp26/+EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+54/2P3+0//mj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/ +NP/80fzzR/c0f2j+0Pyh+UPzR/PMH5o/NH/0fs8fmj80f2j+0Pyh+aN7nj80 +f2j+0Pyh+aP9zh+aPzR/dF/zh+aP5p0/NH9o/tD8oflD84fmD80fmj80fzTv +/KH5Q/NHv//8oflD84fmD80fmj/6Xs8f/R7zh+aP3pf5Q/OH5g/NH5o/uqf5 +o99j/tD8oflD80f7mD80f2j+0Pyh+UPzh+aP7mX+0PzR+zV/aP7Q/KH5o/3O +H5o/NH80//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/9/flD +80fPM39o/uj55g/NHz3v/KH5o+efP/pezR/NM39o/mi++UPzR/POH5o/2v/8 +ofmje5g/+h7OH93H/NH7N390L/OH5o/uZ/7Q/NE9zR/9v5o/uq/5Q/NH9zZ/ +aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80f +pz6u/fNbv3fL5y7+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/tP/5o/3PH+1//mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/NH888fzT9/NP/80fzzR/PPH80/fzT//NH880fz +zx/NP380//zRPc0fmj80f2j+0PzRPPOH5g/NH73f84fmD80fmj80f2j+6J7n +D80fmj80f2j+aL/zh+YPzR/d1/yh+aN55w/NH5o/NH9o/tD8oflD84fmD80f +zTt/aP7Q/NHvP39o/tD8oflD84fmj77X80e/x/yh+aP3Zf7Q/KH5Q/OH5o/u +af7o95g/NH9o/tD80T7mD80fmj80f2j+0Pyh+aN7mT80f/R+zR+aPzR/aP5o +v/OH5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR39/ +/tD80fPMH5o/er75Q/NHzzt/aP7o+eePvlfzR/PMH5o/mm/+0PzRvPOH5o/2 +P39o/uge5o++h/NH9zF/9P7NH93L/KH5o/uZPzR/dE/zR/+v5o/ua/7Q/NG9 +zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//lD +88epj4995Zff+u3r/j1/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2v/80f7nj/Y/ +f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj+ ++aP554/mnz+af/7onuYPzR+aPzR/aP5onvlD84fmj97v+UPzh+YPzR+aPzR/ +dM/zh+YPzR+aPzR/tN/5Q/OH5o/ua/7Q/NG884fmD80fmj80f2j+0Pyh+UPz +h+aP5p0/NH9o/uj3nz80f2j+0Pyh+UPzR9/r+aPfY/7Q/NH7Mn9o/tD8oflD +80f3NH/0e8wfmj80f2j+aB/zh+YPzR+aPzR/aP7Q/NG9zB+aP3q/5g/NH5o/ +NH+03/lD84fmj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75 +o78/f2j+6HnmD80fPd/8ofmj550/NH/0/PNH36v5o3nmD80fzTd/aP5o3vlD +80f7nz80f3QP80ffw/mj+5g/ev/mj+5l/tD80f3MH5o/uqf5o/9X80f3NX9o +/uje5g/NH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//yh+ePUx03XvO1Dv3/XxR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/2P3+0//mj +/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj++aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+aP75o/nnj+afP7qn+UPzh+YPzR+aP5pn/tD8ofmj93v+0Pyh+UPzh+YP +zR/d8/yh+UPzh+YPzR/td/7Q/KH5o/uaPzR/NO/8oflD84fmD80fmj80f2j+ +0Pyh+aN55w/NH5o/+v3nD80fmj80f2j+0PzR93r+6PeYPzR/9L7MH5o/NH9o +/tD80T3NH/0e84fmD80fmj/ax/yh+UPzh+YPzR+aPzR/dC/zh+aP3q/5Q/OH +5g/NH+13/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+a +f/7o788fmj96nvlD80fPN39o/uh55w/NHz3//NH3av5onvlD80fzzR+aP5p3 +/tD80f7nD80f3cP80fdw/ug+5o/ev/mje5k/NH90P/OH5o/uaf7o/9X80X3N +H5o/urf5Q/NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7n +j/Y/f2j+OPXx9M/95P/f3cUfmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aP9j9/tP/5 +o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP5o/vmj+eeP5p8/mn/+aP75o/nnj+af +P5p//mj++aP554/mnz+6p/lD84fmD80fmj+aZ/7Q/KH5o/d7/tD8oflD84fm +D80f3fP8oflD84fmD80f7Xf+0Pyh+aP7mj80fzTv/KH5Q/OH5g/NH5o/NH9o +/tD8ofmjeecPzR+aP/r95w/NH5o/NH9o/tD80fd6/uj3mD80f/S+zB+aPzR/ +aP7Q/NE9zR/9HvOH5g/NH5o/2sf8oflD84fmD80fmj80f3Qv84fmj96v+UPz +h+YPzR/td/7Q/KH5o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+6O/PH5o/ep75Q/NHzzd/aP7oeecPzR89//zR92r+aJ75Q/NH880fmj+a +d/7Q/NH+5w/NH93D/NH3cP7oPuaP3r/5o3uZPzR/dD/zh+aP7mn+6P/V/NF9 +zR+aP7q3+UPzR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+ +54/2P39o/jj1cetv/9jVT7z5P/KH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj/c8f +7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj+af/5o/vmj+eeP5p8/mn/+aP75 +o/nnj+afP5p//mj++aP554/uaf7Q/KH5Q/OH5o/mmT80f2j+6P2ePzR/aP7Q +/KH5Q/NH9zx/aP7Q/KH5Q/NH+50/NH9o/ui+5g/NH807f2j+0Pyh+UPzh+YP +zR+aPzR/aP5o3vlD84fmj37/+UPzh+YPzR+aPzR/9L2eP/o95g/NH70v84fm +D80fmj80f3RP80e/x/yh+UPzh+aP9jF/aP7Q/KH5Q/OH5g/NH93L/KH5o/dr +/tD8oflD80f7nT80f2j+aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj ++eeP5p8/+vvzh+aPnmf+0PzR880fmj963vlD80fPP3/0vZo/mmf+0PzRfPOH +5o/mnT80f7T/+UPzR/cwf/Q9nD+6j/mj92/+6F7mD80f3c/8ofmje5o/+n81 +f3Rf84fmj+5t/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx+aP059PP/oD9/wjj+6+EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+ +54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH80/fzT//NH880fzzx/NP380 +//zR/PNH888fzT9/NP/80fzzR/c0f2j+0Pyh+UPzR/PMH5o/NH/0fs8fmj80 +f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+aPzR/dF/zh+aP5p0/NH9o/tD8oflD +84fmD80fmj80fzTv/KH5Q/NHv//8oflD84fmD80fmj/6Xs8f/R7zh+aP3pf5 +Q/OH5g/NH5o/uqf5o99j/tD8oflD80f7mD80f2j+0Pyh+UPzh+aP7mX+0PzR ++zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT/ +/NH880fzzx/9/flD80fPM39o/uj55g/NHz3v/KH5o+efP/pezR/NM39o/mi+ ++UPzR/POH5o/2v/8ofmje5g/+h7OH93H/NH7N390L/OH5o/uZ/7Q/NE9zR/9 +v5o/uq/5Q/NH9zZ/aP5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nD80fpz7u/uord3zjny7+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH888fzT9/NP/80fzzR/PP +H80/fzT//NH880fzzx/NP380//zRPc0fmj80f2j+0PzRPPOH5g/NH73f84fm +D80fmj80f2j+6J7nD80fmj80f2j+aL/zh+YPzR/d1/yh+aN55w/NH5o/NH9o +/tD8oflD84fmD80fzTt/aP7Q/NHvP39o/tD8oflD84fmj77X80e/x/yh+aP3 +Zf7Q/KH5Q/OH5o/uaf7o95g/NH9o/tD80T7mD80fmj80f2j+0Pyh+aN7mT80 +f/R+zR+aPzR/aP5ov/OH5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888f +zT9/NP/80fzzR39//tD80fPMH5o/er75Q/NHzzt/aP7o+eePvlfzR/PMH5o/ +mm/+0PzRvPOH5o/2P39o/uge5o++h/NH9zF/9P7NH93L/KH5o/uZPzR/dE/z +R/+v5o/ua/7Q/NG9zR+aP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//lD88epjyuvfvnRD7z9u/lD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0PzR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/NP380//zR/PNH888f +zT9/NP/80fzzR/PPH80/fzT//NH880f3NH9o/tD8oflD80fzzB+aPzR/9H7P +H5o/NH9o/tD8ofmje54/NH9o/tD8ofmj/c4fmj80f3Rf84fmj+adPzR/aP7Q +/KH5Q/OH5g/NH5o/NH807/yh+UPzR7///KH5Q/OH5g/NH5o/+l7PH/0e84fm +j96X+UPzh+YPzR+aP7qn+aPfY/7Q/KH5Q/NH+5g/NH9o/tD8oflD84fmj+5l +/tD80fs1f2j+0Pyh+aP9zh+aPzR/NP/80fzzR/PPH80/fzT//NH880fzzx/N +P380//zR/PNH888f/f35Q/NHzzN/aP7o+eYPzR897/yh+aPnnz/6Xs0fzTN/ +aP5ovvlD80fzzh+aP9r//KH5o3uYP/oezh/dx/zR+zd/dC/zh+aP7mf+0PzR +Pc0f/b+aP7qv+UPzR/c2f2j+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+ +aP/zR/ufP9r//NH+5w/NH6c+Hvz5f/67Kw9d/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ucP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+afP5p//mj++aP5 +54/mnz+af/5o/vmj+eeP5p8/mn/+aP75o3uaPzR/aP7Q/KH5o3nmD80fmj96 +v+cPzR+aPzR/aP7Q/NE9zx+aPzR/aP7Q/NF+5w/NH5o/uq/5Q/NH884fmj80 +f2j+0Pyh+UPzh+YPzR+aP5p3/tD8ofmj33/+0Pyh+UPzh+YPzR99r+ePfo/5 +Q/NH78v8oflD84fmD80f3dP80e8xf2j+0Pyh+aN9zB+aPzR/aP7Q/KH5Q/NH +9zJ/aP7o/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj+eeP5p8/mn/+aP75o/nn +j+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fmj553/tD80fPPH32v5o/m +mT80fzTf/KH5o3nnD80f7X/+0PzRPcwffQ/nj+5j/uj9mz+6l/lD80f3M39o +/uie5o/+X80f3df8ofmje5s/NH+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2 +P3+0//mj/c8f7X/+aP/zh+aPUx/Xf/Cbr3vk5Ys/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/PNH888fzT9/ +NP/80fzzR/PPH80/fzT//NH880fzzx/NP390T/OH5g/NH5o/NH80z/yh+UPz +R+/3/KH5Q/OH5g/NH5o/uuf5Q/OH5g/NH5o/2u/8oflD80f3NX9o/mje+UPz +h+YPzR+aPzR/aP7Q/KH5Q/NH884fmj80f/T7zx+aPzR/aP7Q/KH5o+/1/NHv +MX9o/uh9mT80f2j+0Pyh+aN7mj/6PeYPzR+aPzR/tI/5Q/OH5g/NH5o/NH9o +/uhe5g/NH71f84fmD80fmj/a7/yh+UPzR/PPH80/fzT//NH880fzzx/NP380 +//zR/PNH888fzT9/NP/80d+fPzR/9Dzzh+aPnm/+0PzR884fmj96/vmj79X8 +0Tzzh+aP5ps/NH807/yh+aP9zx+aP7qH+aPv4fzRfcwfvX/zR/cyf2j+6H7m +D80f3dP80f+r+aP7mj80f3Rv84fmj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/8 +0f7nj/Y/f7T/+aP9zx/tf/7Q/HHq44mPfvG2G3/tP/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8ofmj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/z +R/ufPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj+af/5o/vmj ++eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/uaf7Q/KH5Q/OH5o/mmT80 +f2j+6P2ePzR/aP7Q/KH5Q/NH9zx/aP7Q/KH5Q/NH+50/NH9o/ui+5g/NH807 +f2j+0Pyh+UPzh+YPzR+aPzR/aP5o3vlD84fmj37/+UPzh+YPzR+aPzR/9L2e +P/o95g/NH70v84fmD80fmj80f3RP80e/x/yh+UPzh+aP9jF/aP7Q/KH5Q/OH +5g/NH93L/KH5o/dr/tD8oflD80f7nT80f2j+aP75o/nnj+afP5p//mj++aP5 +54/mnz+af/5o/vmj+eeP5p8/+vvzh+aPnmf+0PzR880fmj963vlD80fPP3/0 +vZo/mmf+0PzRfPOH5o/mnT80f7T/+UPzR/cwf/Q9nD+6j/mj92/+6F7mD80f +3c/8ofmje5o/+n81f3Rf84fmj+5t/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+aP9zx+aP059vOvrn3vgi49f/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a +//zR/ucPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+afP5p/ +/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o3uaPzR/aP7Q/KH5o3nm +D80fmj96v+cPzR+aPzR/aP7Q/NE9zx+aPzR/aP7Q/NF+5w/NH5o/uq/5Q/NH +884fmj80f2j+0Pyh+UPzh+YPzR+aP5p3/tD8ofmj33/+0Pyh+UPzh+YPzR99 +r+ePfo/5Q/NH78v8oflD84fmD80f3dP80e8xf2j+0Pyh+aN9zB+aPzR/aP7Q +/KH5Q/NH9zJ/aP7o/Zo/NH9o/tD80X7nD80fmj+af/5o/vmj+eeP5p8/mn/+ +aP75o/nnj+afP5p//mj++aP554/+/vyh+aPnmT80f/R884fmj553/tD80fPP +H32v5o/mmT80fzTf/KH5o3nnD80f7X/+0PzRPcwffQ/nj+5j/uj9mz+6l/lD +80f3M39o/uie5o/+X80f3df8ofmje5s/NH+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8f7X/+aP/zh+aPUx/vv/fXr37yykv5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD80f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fzT9/ +NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH9zR/aP7Q/KH5Q/NH +88wfmj80f/R+zx+aPzR/aP7Q/KH5o3uePzR/aP7Q/KH5o/3OH5o/NH90X/OH +5o/mnT80f2j+0Pyh+UPzh+YPzR+aPzR/NO/8oflD80e///yh+UPzh+YPzR+a +P/pezx/9HvOH5o/el/lD84fmD80fmj+6p/mj32P+0Pyh+UPzR/uYPzR/aP7Q +/KH5Q/OH5o/uZf7Q/NH7NX9o/tD8ofmj/c4fmj80fzT//NH880fzzx/NP380 +//zR/PNH888fzT9/NP/80fzzR/PPH/39+UPzR88zf2j+6PnmD80fPe/8ofmj +558/+l7NH80zf2j+aL75Q/NH884fmj/a//yh+aN7mD/6Hs4f3cf80fs3f3Qv +84fmj+5n/tD80T3NH/2/mj+6r/lD80f3Nn9o/mj/80f7nz/a//zR/ueP9j9/ +tP/5o/3PH+1//mj/80f7nz/a//zR/ucPzR+nPm589Cv3/cFbL/7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj +/c8f7X/+aP/zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80fz +zx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NE9zR+aPzR/aP7Q +/NE884fmD80fvd/zh+YPzR+aPzR/aP7onucPzR+aPzR/aP5ov/OH5g/NH93X +/KH5o3nnD80fmj80f2j+0Pyh+UPzh+YPzR/NO39o/tD80e8/f2j+0Pyh+UPz +h+aPvtfzR7/H/KH5o/dl/tD8oflD84fmj+5p/uj3mD80f2j+0PzRPuYPzR+a +PzR/aP7Q/KH5o3uZPzR/9H7NH5o/NH9o/mi/84fmD80fzT9/NP/80fzzR/PP +H80/fzT//NH880fzzx/NP380//zR/PNHf3/+0PzR88wfmj96vvlD80fPO39o +/uj554++V/NH88wfmj+ab/7Q/NG884fmj/Y/f2j+6B7mj76H80f3MX/0/s0f +3cv8ofmj+5k/NH90T/NH/6/mj+5r/tD80b3NH5o/2v/80f7nj/Y/f7T/+aP9 +zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzx6mP7z95yw2/cvvFH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/ +f7T/+aP9zx/tf/7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +aP75o/nnj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/uqf5Q/OH5g/N +H5o/mmf+0Pyh+aP3e/7Q/KH5Q/OH5g/NH93z/KH5Q/OH5g/NH+13/tD8ofmj ++5o/NH807/yh+UPzh+YPzR+aPzR/aP7Q/KH5o3nnD80fmj/6/ecPzR+aPzR/ +aP7Q/NH3ev7o95g/NH/0vswfmj80f2j+0PzRPc0f/R7zh+YPzR+aP9rH/KH5 +Q/OH5g/NH5o/NH90L/OH5o/er/lD84fmD80f7Xf+0Pyh+aP554/mnz+af/5o +/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//ujvzx+aP3qe+UPzR883f2j+6Hnn +D80fPf/80fdq/mie+UPzR/PNH5o/mnf+0PzR/ucPzR/dw/zR93D+6D7mj96/ ++aN7mT80f3Q/84fmj+5p/uj/1fzRfc0fmj+6t/lD80f7nz/a//zR/ueP9j9/ +tP/5o/3PH+1//mj/80f7nz/a//zR/ueP9j9/aP449fHU3zz19LV/fPGH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8ofmj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj +/c8f7X/+aP/zR/ufPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/uaf7Q/KH5 +Q/OH5o/mmT80f2j+6P2ePzR/aP7Q/KH5Q/NH9zx/aP7Q/KH5Q/NH+50/NH9o +/ui+5g/NH807f2j+0Pyh+UPzh+YPzR+aPzR/aP5o3vlD84fmj37/+UPzh+YP +zR+aPzR/9L2eP/o95g/NH70v84fmD80fmj80f3RP80e/x/yh+UPzh+aP9jF/ +aP7Q/KH5Q/OH5g/NH93L/KH5o/dr/tD8oflD80f7nT80f2j+aP75o/nnj+af +P5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/+vvzh+aPnmf+0PzR880fmj96 +3vlD80fPP3/0vZo/mmf+0PzRfPOH5o/mnT80f7T/+UPzR/cwf/Q9nD+6j/mj +92/+6F7mD80f3c/8ofmje5o/+n81f3Rf84fmj+5t/tD80f7nj/Y/f7T/+aP9 +zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+aP9zx+aP0593P/CL975j5+9+EPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH80/fzT//NH880fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/c0f2j+ +0Pyh+UPzR/PMH5o/NH/0fs8fmj80f2j+0Pyh+aN7nj80f2j+0Pyh+aP9zh+a +PzR/dF/zh+aP5p0/NH9o/tD8oflD84fmD80fmj80fzTv/KH5Q/NHv//8oflD +84fmD80fmj/6Xs8f/R7zh+aP3pf5Q/OH5g/NH5o/uqf5o99j/tD8oflD80f7 +mD80f2j+0Pyh+UPzh+aP7mX+0PzR+zV/aP7Q/KH5o/3OH5o/NH80//zR/PNH +888fzT9/NP/80fzzR/PPH80/fzT//NH880fzzx/9/flD80fPM39o/uj55g/N +Hz3v/KH5o+efP/pezR/NM39o/mi++UPzR/POH5o/2v/8ofmje5g/+h7OH93H +/NH7N390L/OH5o/uZ/7Q/NE9zR/9v5o/uq/5Q/NH9zZ/aP5o//NH+58/2v/8 +0f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nD80fpz7e/YOfeeXxqxd/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o//NH ++58/2v/80f7nj/Y/f7T/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/7onuYP +zR+aPzR/aP5onvlD84fmj97v+UPzh+YPzR+aPzR/dM/zh+YPzR+aPzR/tN/5 +Q/OH5o/ua/7Q/NG884fmD80fmj80f2j+0Pyh+UPzh+aP5p0/NH9o/uj3nz80 +f2j+0Pyh+UPzR9/r+aPfY/7Q/NH7Mn9o/tD8oflD80f3NH/0e8wfmj80f2j+ +aB/zh+YPzR+aPzR/aP7Q/NG9zB+aP3q/5g/NH5o/NH+03/lD84fmj+afP5p/ +/mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o78/f2j+6HnmD80fPd/8 +ofmj550/NH/0/PNH36v5o3nmD80fzTd/aP5o3vlD80f7nz80f3QP80ffw/mj ++5g/ev/mj+5l/tD80f3MH5o/uqf5o/9X80f3NX9o/uje5g/NH+1//mj/80f7 +nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//yh+ePUxxt+/Kce+73r +/it/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/2v/80f7nj/Y/f7T/+aP9zx/tf/5o +//NH+58/2v/80f7nj/Y/f7T/+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8ofmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/mnz+af/7o +nuYPzR+aPzR/aP5onvlD84fmj97v+UPzh+YPzR+aPzR/dM/zh+YPzR+aPzR/ +tN/5Q/OH5o/ua/7Q/NG884fmD80fmj80f2j+0Pyh+UPzh+aP5p0/NH9o/uj3 +nz80f2j+0Pyh+UPzR9/r+aPfY/7Q/NH7Mn9o/tD8oflD80f3NH/0e8wfmj80 +f2j+aB/zh+YPzR+aPzR/aP7Q/NG9zB+aP3q/5g/NH5o/NH+03/lD84fmj+af +P5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/mn/+aP75o78/f2j+6HnmD80f +Pd/8ofmj550/NH/0/PNH36v5o3nmD80fzTd/aP5o3vlD80f7nz80f3QP80ff +w/mj+5g/ev/mj+5l/tD80f3MH5o/uqf5o/9X80f3NX9o/uje5g/NH+1//mj/ +80f7nz/a//zR/ueP9j9/tP/5o/3PH+1//mj/80f7nz/a//yh+ePUx3fe9hc3 +v/OXLv7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH+0//mj/c8f7X/+aP/zR/ufP9r/ +/NH+54/2P3+0//mj/c8f7X/+aP/zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD80fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT/ +/NE9zR+aPzR/aP7Q/NE884fmD80fvd/zh+YPzR+aPzR/aP7onucPzR+aPzR/ +aP5ov/OH5g/NH93X/KH5o3nnD80fmj80f2j+0Pyh+UPzh+YPzR/NO39o/tD8 +0e8/f2j+0Pyh+UPzh+aPvtfzR7/H/KH5o/dl/tD8oflD84fmj+5p/uj3mD80 +f2j+0PzRPuYPzR+aPzR/aP7Q/KH5o3uZPzR/9H7NH5o/NH9o/mi/84fmD80f +zT9/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNHf3/+0PzR88wf +mj96vvlD80fPO39o/uj554++V/NH88wfmj+ab/7Q/NG884fmj/Y/f2j+6B7m +j76H80f3MX/0/s0f3cv8ofmj+5k/NH90T/NH/6/mj+5r/tD80b3NH5o/2v/8 +0f7nj/Y/f7T/+aP9zx/tf/5o//NH+58/2v/80f7nj/Y/f7T/+UPzx6mPx9/9 +xud+6K6LPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH+1//mj/80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz/a//yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888f +zT9/dE/zh+YPzR+aPzR/NM/8oflD80fv9/yh+UPzh+YPzR+aP7rn+UPzh+YP +zR+aP9rv/KH5Q/NH9zV/aP5o3vlD84fmD80fmj80f2j+0Pyh+UPzR/POH5o/ +NH/0+88fmj80f2j+0Pyh+aPv9fzR7zF/aP7ofZk/NH9o/tD8ofmje5o/+j3m +D80fmj80f7SP+UPzh+YPzR+aPzR/aP7oXuYPzR+9X/OH5g/NH5o/2u/8oflD +80fzzx/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT//NHfnz80f/Q8 +84fmj55v/tD80fPOH5o/ev75o+/V/NE884fmj+abPzR/NO/8ofmj/c8fmj+6 +h/mj7+H80X3MH71/80f3Mn9o/uh+5g/NH93T/NH/q/mj+5o/NH90b/OH5o/2 +P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+0Pxx6uOu +Dzx8z7ceu/hD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/ueP9j9/tP/5o/3PH+1/ +/mj/80f7nz/a//zR/ueP9j9/tP/5o/3PH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR/NP380//zR/PNH888fzT9/NP/80fzzR/PPH80/fzT/ +/NH880f3NH9o/tD8oflD80fzzB+aPzR/9H7PH5o/NH9o/tD8ofmje54/NH9o +/tD8ofmj/c4fmj80f3Rf84fmj+adPzR/aP7Q/KH5Q/OH5g/NH5o/NH807/yh ++UPzR7///KH5Q/OH5g/NH5o/+l7PH/0e84fmj96X+UPzh+YPzR+aP7qn+aPf +Y/7Q/KH5Q/NH+5g/NH9o/tD8oflD84fmj+5l/tD80fs1f2j+0Pyh+aP9zh+a +PzR/NP/80fzzR/PPH80/fzT//NH880fzzx/NP380//zR/PNH888f/f35Q/NH +zzN/aP7o+eYPzR897/yh+aPnnz/6Xs0fzTN/aP5ovvlD80fzzh+aP9r//KH5 +o3uYP/oezh/dx/zR+zd/dC/zh+aP7mf+0PzRPc0f/b+aP7qv+UPzR/c2f2j+ +aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zR/ufP9r//NH+5w/NH6c+ +3nLfj7z+489c/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/mj/80f7nz/a//zR/ueP +9j9/tP/5o/3PH+1//mj/80f7nz/a//zR/ucPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmj+afP5p//mj++aP554/mnz+af/5o/vmj+eeP5p8/ +mn/+aP75o3uaPzR/aP7Q/KH5o3nmD80fmj96v+cPzR+aPzR/aP7Q/NE9zx+a +PzR/aP7Q/NF+5w/NH5o/uq/5Q/NH884fmj80f2j+0Pyh+UPzh+YPzR+aP5p3 +/tD8ofmj33/+0Pyh+UPzh+YPzR99r+ePfo/5Q/NH78v8oflD84fmD80f3dP8 +0e8xf2j+0Pyh+aN9zB+aPzR/aP7Q/KH5Q/NH9zJ/aP7o/Zo/NH9o/tD80X7n +D80fmj+af/5o/vmj+eeP5p8/mn/+aP75o/nnj+afP5p//mj++aP554/+/vyh ++aPnmT80f/R884fmj553/tD80fPPH32v5o/mmT80fzTf/KH5o3nnD80f7X/+ +0PzRPcwffQ/nj+5j/uj9mz+6l/lD80f3M39o/uie5o/+X80f3df8ofmje5s/ +NH+0//mj/c8f7X/+aP/zR/ufP9r//NH+54/2P3+0//mj/c8f7X/+aP/zh+YP +/X+Lu8tr + "], {{ + {Opacity[1.], Texture[ + GraphicsBox[ + TagBox[ + RasterBox[CompressedData[" +1:eJysvXeUVcW2xnvfe2McI+bs7gYMIKjkppskSDIgkkVyEBUMoIAgShAkgyBI +BiXnjOScY+dAkCiIgIKNWf97X81aVWtWrVp77+acMdY9A7znSLNq/WrO+c2v +ZhXt2K3xW//v//3f//W4Gf/RuEPv57t379CnyV34TbOuPbq83fXNTi917fnm +2292T+n4/+EfFvl/5P+JX3fOurt31l1Dsu/8KqfQ7NzbV+TeujXv5sN5N+Xl +3Hwq9c7ze++7vPWh/LWRP5YW/nfW43+Ne/qPQWV//bDiL+0r/1S/2uVadX+s +1vBicqsLZTt9//T7Z5/odTpxwHcJI44ljM+NzMiMzE+LLD8cWb8/sn1Pwv7t +RVI3F8tY93TOqjJHl1Y4sSD51OwqZ2dUOz+5xsVxtS6PrvPz0Bd+GVQvf0CD +/H5N8z95Pb936/ye7fM/7HS9a+fr7757/e2u1zt1v97+o+utP77++qfXmw3I +b/b5tabDfmo6+nKTLy82nnCh4ZRzr84488qsky/PO/HioqO1l+U8vyqr2tr0 +lI2p5bYeqrBtb9L2Hck7NqfsXJuya2XK7iWV9sxP2TszZd+05P0TKx4Ym3Rw +ZIVDQ8odHlDmyCfPpvYsl9qtYuq7VdLefi6tY820tnXSWryY3rReesNX019u +mF6ncUaNphmVX8so/3rGMy0zn2id+UiHzHveybq7R9Zdn2bf9Xn2naOy7xif +U2hqTqFZObcvyL1tee6t3+beujn3lp15N+/Puyk176bsvP/gDR/PvO27tDtO +H77r7MF7zu+774fd9/+448ErWx/6edPD19Y/mv9t5NdVCb8vT/xzSeG/Fxb5 +d17Rf2Y+caboJ6cT+59KHPRdwrATCaOOJ3x5NGFCXmRqTuTrrMiczMiC9MjS +1Miqw5G1ByOb8Ob3JuzenXBgR+KRrY+lbyqWub5k9rfP5mIJlpU/vjjpu/kp +p+dUPvtN1e+nPXdhUo2L42teGlP7pxF1rw7BWryc/9mr+f0b5/d9Lb9Pi/ze +bcRydH/jere3rr/X5fo7711/q9v1N/iK9L/ebNC1pkN/bjrySpMxPzYe/0Oj +iecbTj376tenX5n93cvzj7+w+Gjt5Tk1VmdVXZuevPEILcqeitu3J+/YlLLz +25RdKyrtXlxpz9yUvd+k7JuSvP+rige+SDo4rPyhgWWPfFoq9aOyqR/IFame +1rFWWusX0pq/nN64fvorDdPrNsmo3iwjpXlGmZaZxbAWb2Te0y3r7j7Zdw3O +vnNMzh2T6cNeknvb2txbttH7z8z7z9HsW/Dmzx68+8Ke+y5tf/DqxkeurxEf ++T94ydOK/zXm2T8Glvu1R8VfOlX6uUnVy7VrXnru1YspLS+UffNciQ/OFPn0 +u4ThRxMm4oWnRlYeiGzBG97yRPraZ3KWlzuODxvvE1/1l7WuDK97rW3mPV2z +7u6Xfefo7Dum5RRalHvb+txb9ubdjA/gRHqhcwfuubTjQaw1VvnfuUX//qrk +H5+XFX9uh8o/vVrtck3w1eiH5Fbn8eeW7Hrm8d6nEj87kTASK47lxlrjTz8U +2bAvsgM/wLaiaZuKZ+JnWFn22JIKYmVnVTk3XSzrj1/Wujyqzs9Y04Hgq2F+ +X/AlF7QD46ubz1dzj6+rTYeDr0vgq9GE88TXaeLr+AsF5utLzlep1J5yNSsL +vt5QfDUDX/XT62FBFV8VXs94tmXmk60zH21PfHUnvgZl3zky+45xOYWm5BSa +SXwtI7425d6yI+/mfXk3Hcm7KUvzlV7o9BHB1/f77iW+HsCG9hP42vAItrVf +V3t8/bWwCJYeWxwWF5vYycTPia/RxwRfE3MFX99guTMiC9Mjy4ivdQcjm4mv +PbsTDu4onLr18XS8f8nXSuJrUcWTWIXZBl+Xv9B81cv/rIHg69MgX+9c7/L+ +9bc+sPjKt/maZPGVF87X2hC+hpc/NKjs4b6lUnuVESvynuKrTd201zVfWI5m +GZWaZ5RtkfFU68wI9rr3s+7+OEssxOicOybRKizOvW1N7q1b8m7Zl3dzOoUP +fN5nDt2NCII9DRsadrPflyX+M7/IP9OL/TX2GRFHeib98qbmq8HF5Jbny7x1 +7qkPTyf2w86WF5mCL/xI5Ft83jsTj2x8KnN16TxsWXMqn8FX/VXNS/ikP38x +v1Vm4puZd3+UJWAfT6SvzL11e97N2GOPZd2KH+Dirgd+3vjIbysT/plf9O+J +Jf4YUubXnhV/6aj4qtr4h4qtxZ8Lrh/7GPsqFv1owqTsyMyMyKLUyGrsovsS +du1KOLTtsbSNT2VhcVeUPYYfY16l0zOrnsOyTnz+x7G1roysc3Xwi78MfCV/ +QKP8vs3EgvaSfL15/f3OYrd8m3bLdh9db9XnevO+xNfg/56vWQG+PmN8vcf4 +ahngqwrjKwK+uhBfnxBfIxRf3+TcPp/4wuJuzL1lu+DrZs3XsSzB16kjd2Ij +BV8X9tz/484HLm976KfND1/d8Mgvax+9vjrhtxWJ2Ff/WlQE7//f2Y+dLvzp +KfCVMBhb6PGEL44ljMPbzo1My4nMzIrMxTtPE3ytVnzt2Juwdxfja93T2WtK +5WKLW1b+hObr62rfT60uFmKc4gtr4eUSTYivloqvTte7vc346iFWJBpf04J8 +ZVZdF4WvecTXVJuvI+DrwyRvRZBRCL5eSm/ySnr9BukvNM54Httd84xyLTJK +4HvGWrybdXevrLsGUiIxgVZhYe5tq2iL20Pfdm7uTScybkfmgNeOd44Xjrf9 ++4rEvxcU+efrJ0WeNrjsb72S8t+q9HNTjy8RR0q/fbZ4D7z/4wljciIz0iNL +ED72JOzFt43ETAcv7FRja18Z9sI1fM8tM4q3yXwAPw82Xuy6UymEbci9ZT/9 +DCdT77yw976ftjyMhcYf/feUp/4cVubXj5IEX8gPa77wY9UmP1Rsc750Z3CN +1AXrjj8aaGdHZuNPPxJZczCyBVvozoQjCKAbSmStKZWHHwMrO7fSGfwkU6v/ +MOH5S/hhsKaAfWD9/P6NKCFpmd+rbX6PDvkfgC+djWA1eym+PvP4alJgvtYF ++UoWfI1ifH3E+Xo+rZ3k62Wfr+eJr6TXM0pRThJpl3kPMu0Ps+5CWjKQ+PqS +MhOs7Lzc25bm3rZa8bWX0m+kKHi92MFOEF/Yx77fL/i6KPh6kPEV+W1Fwh9L +E/9aVBhb679zwFdfJAknE4YgDye+xudFwNf0bMHXPOJr+RHB13q8eWytewRf +h5Cc4/1jjyW+8sAXUnSswjzwVUXy9YPgq5bga7jgK3+gyVcvlU7YfPUK8nVZ +8fV9LL72RuVrjOar9JHeiq/Ocserm9aC+Hq1QfqLcjmaZ5RvkVGyVWaRtpRL +9My6awAtBKLGDNrlVtAS7KL3n5P3HyQPePPn9t+DCHJly0PX1j2KICL2sZlP +iDxtaJnfeiflv13pajPB14+Cr9b4zs8++RGCCLa17MistMiKA5GteL1IvFeX +ylsigtdZhAykAYgXg14SydjrGWVaZT6GkNqd4uk4KhlAOjKZDKSIGbfjB8CK +I3qKJZ5W/M/hpcG14uvFH6s0/SGp3flSXc4V74Gl/y5hKDIWbKfYS5GooAo4 +ENm2J2HfjsTUzU9mIDlBDEVmsjD5JMIolnVK9R++opwfmernL+V/Vl8lJJKv +joyvDyy+fjH4Eqn+OVrKk2Ipw/lKtvmaHuDLy/b1aiq+Xns5vRESErVhar6K +t8pMwJq+nXX3B0j7s+76LPvO4dl3jKXM5GviawnxtYHyf+yfh/JuytB8ZdyO +OlfxdR/4QjlwZcvDqAh+WfcoigIs+h/LEv9cXBj7G1J0JCenEgeCL+Th2MqI +r8nE16xMwddi4msN9tUDgq+dePmMryxss+BrBfG1EHxVEln619XOa75G16G1 +AF+vKL6a3zhfDcDXN3HytVLxNZP4mqD4+rzs4X7gq7Tg6/1Kiq86gq+mkq9G +6TWbZlRFOtEi4xl8zG0y7+tMtTCqnmGUSEzLuX0uZRHrKUU/SPkDXv5JUYLd +g7QcOQM2NFS7eM9IEpCnie+8T4X8LilXX1N8IU8r9c7ZJ3rh5aMCwm6G97wv +sgvvFh/2inLHFqSI4IXXiD0KCTY+5n5N85tlJLfIeBo7cGf6NsD7FAphIB2f +AcpALD0CKEowUf3NePLPkaXAteDrlWqXn3/px8rNLlRo//2z754t1hNLj6QF +K57jiRsrDglxQxRf+BnAOIovX9yo7IkbMudHMAXvKKjlgn7cKv+jduDr+gdU +TcvV7Njjette11v2uf6az9eVJl9cajzuB5uvxTfG11DNVxmfr07gq3Zayxds +vrCgFV/PKE18JbZRfH1MfA1jfM0lvlbR4lp8Hc0WfJ0kvlDqIlXAXkp8PYSc +HDtq/prIrysTfrf5GoR9jPgaezThK2QLeOHE1/yMyBK8dhQFxNdWrD7xdXh7 +0bQtT2aALywBtjiPr2TwdQZ8YSGmiERCFMKj6/zs89VQLQdtd145zPjqqHc8 +WRGH8/VSCF9JMfgawfjqXoH4qkYrQjte03rpDV5Nf6lReq0mYjmSKF1/vHXm +g29lilwCKdmQ7DuxEFOUxPGtkjgypMRBJRheO975z0riEDrD5Kfwnf/+afnr +76Zcfb3qlTrPE19t8J2jCMJHjneOVIGSw/14sUi5EbzwSWObwh6FF4jsGpHi +k9fzm2Q8B/DxkbTJvLdr1t0IqUhsZAhDJEUYPZl6B/IW/OkotBE6/xyt+Hq5 +2qUaL1+s3PxC+Y7fPyPEQxI3RqHcRq1NxdcqUXxFjOJLiBtJXNxg4uHLoqDG +gn6i+OLV9JtBvoZcbTqC83XW4Gt5/HxNcvElshG9mrXTWnG+GmXU1Hyhpm6V +WRhvD2vajcTYAcTXmJw7JlJmAr5QXK8kvrbm3bKb9s90SlGwxMTXHWcO3wW+ +UGv/sOt+wZeQEB8JSoj/zANf/U/64uFYEg/B19dIyEk8BF8ria+Ngq8E8LV/ +Z8LhbUXTkD8gP/f4KickpoXJp+bafF3BWgzjfDX1tjuZrku+3mUrYvAlFd2C +8bWD8bVE8TWN+Bqr+Opf+sjHpQRfXSuldWF8NZOKbqP02k0yqtFylKJy+OFO +SkL8PPvOL5TEsYiyiM1UgqW5SrD8tRHxkc8vIiTEL579vX/56+8nX21Z5Uqd +GpckX8+8hyIIbx4bGvIEvGEUPnir2K/wSSMNwDuUsgZeHdKwj1vnN0yvTbkr +ssSib2Te81HWXUOz75xMIQzFICpxpKlIXZCxIFFB/o8/97ePK/zSofKVF5+7 +VKPexUqvXyjb6VzJbmce63My8XOz+Pr2gKv4WkxpiRY3sKZSPBxUz1tQKc5/ +JNUq2i07YzU/vN6x5/W2va+3/OT6a/2uNxsIvn528fUd56uqm69VQb4qenxJ +NVhW03q3bK/4akwFtUhI5ILivRFfRZCTvJl5d1fiq3/2nUNpWVFZT8+5fU6u +WFnwtS73li15Iv8/kHdTmuLreObtJ0mi9/gKl+ilhHjKEw+Hm+IhF+dXIi0n +vraROA++jmB/43xhFbDLLSC+ZoKv585PqSEKYbkWyCWQq6MW9paD8/WmJ+d2 +7mry1ZfxNSrA1xybrxQXX6ao6/FV4dDgcoqv8qldUwRfb+oVUYpTHYQJJdEX +1xJib5I4RpLE8TUJuSvNEuwYSrDUO/Hmsa3hncsSTOxjXz/515fPCIn+g+Rr +rcFXdcFXUtvvn34fRRA+cmQLKHLxerc+no6oIYJXlbN4h2NrXxmqMkMk1YgR ++PHwteBnez3jmdaZj3bJurtv9l34NsA7YAfpeTk3I4ZiU0X0xPr+NfYZwVf7 +ylfqPnepev2Lya3Ol3lbiJaiKYDiaxxqAaSm1PlaR52vfTsKi+ILlbVXfFU8 +ObeyLW4MVhtm32Ykzuvm19tqNT+83qHn9Ta9r7f45Hozg68fw/iSUnB8fI2T +fJW3+erC+GrO+EJCUg2pNfFVgvi6H3y9T7IV+BrC+JpNfKG4Xgu+cn2+qAV2 +i9kCYxK9J3FETAmxCIkbXDycSOLhN8TXQmfza2ci8VVM8CWbXz5flc9go5v+ +nEjUUQiPtfhqRL2S1xVfHU2+PvQyCgdfY8HXBcXXqVh8RW9KCr7KHOnzbGoP +4uudqmlv1vB3vEZKcaquJHohIbYjieMjyiWGk8QxnQrh5bTLbaclsLtg2x4U +JdhqKsFmPS4kjsGiFXWtHX3qz70q+CrZFfkDKiCk4kgOdyccRFYmgxfe4fia +l/Al68wQbwwBAj8hMtjGGTWQvmIrRp6DImJQ9p3IbRbm3obvAZkqNtiLu+7/ +Ze2jKAHANfgSf2idGj9WayjEw1JdqPjqfyJhBHW+kBwuxCpjC0V9vSvhoN/5 +YsUXgil4V+IGEw+bqW4La35hNTvZfF1rFl+rJYSvpZqvFI+v0YovoQajmq6g ++KLV9BqaAb7KkmZVtE3mA51oz/yIyuoh1Hn5iipr8LVQ8RXSYpYtsLu9Fpgt +0TMJcUERSzw0xXln88vRXF5OzWUsxBzB1/dI1D2+agu+hspaWC6H4ovKYSE3 +GXz1vCG+1gb5coi6ZlNS8FUutVtyKvh6q0ZaB95ipoq4Bkn0noTYhuRcKXEM +tUuwW7fyLhiliNjZsK39RF2wP5Yl/juPSrDhpUUp9AZKIRFKwBfytFOJA/Mi +k5CeIT3YXiQV7xNvEjkAKp3RdX7yMsPm4gPG60L2hW0ZRSJ+QkTY5hnlUB52 +zLwHmzCi6tcUwvaLRtht5/fdh+XGFvrX+KcFX22qCFGlahMhbiApFZ3lQVhx +Z3KIGErJodf5UsXXeVF81RSClRY3DPGQZSO6udzm4+stnFaB8FTfWsrYfAk1 +mPMldku5mi8Jvl5VBbVMSCRfj6GmRnb9HsnCfbPvHGxaOBYqC0ewxWy0wPbr +FpiS6AMSYkA8tMX5VE+cl80vR3OZmTdOafOG5mukroXVdif4ah2Nr5Y+X1d9 +vr6KzlecopNtqkkWFo63qgu+ZAusMWuByY6klBAfQDmMSPEJuTh0Caa7YLuj +pIgrKEWcXuyv0c/+/mn5/Lcr/fRqtUvVXxF8lfgAyQM2NGxieLfYsvBJo9iZ +Vv0CUmuRGb4q3hi2I0SHbm+JF4WfE3EWnw2SWPyEKNgRXruQo2NcTiGkrIAd +PwZSRKy4qLK/KgmoUfRdrlXnYuXXLpTrpBwjMjnkyuF2JP/bC0tblJccys4X +K74ujzLFDb+aZuI8N2/EkIIDqUhyKF8LAnyRG4e6LVKtQrZfVfFVV/DVRPFV +W/FVrkXG08TXQx2prUl8GRYpl4VDtJipBQa+bv3OaIE5JHpfQlxYJCAeeuJ8 +liHOi+bXfq/5ZfAlmsuSL2ouB8xRotHvm6MUX70ZX+93NjJ2URF7ilNUvhbE +5MvY9JjoZDX9PYsUazFziT5JSxxvkDHpY1WCfaW6YMtJaDJSREtFXB3Bdy7d +gL9/Vu76eyk/N6l6qcbLSNVQB32XMAy7GXYwBA6kZHiNeIFfUWaIAkeWXSht +8KLee0d8t8+Rm+vF9GbYB/DZIImlQuyhbll3A/xpJCQeyrsJKSL+dBTaf08o ++VuvpKuvVb30/EsXU1qKjluxnii6yTEyCQuNVaa28mYk/0o5NJLDOZ5hgIqv +WldGkhtnIHMeeuIhifPvu61uYXwFljIGX7MNvoTbbRDjq6viqwPx9brJl0z4 +BV+kCT/cgfjSFkRukbIsHI4Ws5ToJV+WRG9KiMoZNcolzi8mcZ43v1hzOcS8 +wc1RyNVHWuZDVQ57KyLtNCxjZ3xRx4TzNfkG+FrAi2JL1A2YakQLjEn0ImOX +HRMpcbzLSrBxtNfNUSniljxhApRGjuOZt2N/w+ZGjWYycggVkVLEoWV+7V7x +aosql2u+IPgq3h1vHq96f2TbliczELyQYE8WmeHPeGN4XSht8KI+JLjwivDR +VkrrjN0A1eKL6U2RyuLnxLaMIrEtaYmjs++Ym3vbtrybgTlKAyz035NK/Nqz +4s8Nq1HF1+77p98XymHCEGorTzc9vaKtvIXayqKnWU4nh7rz5blJtRrct6m/ +W2orKW9l2lKwuVXW/+ZUPbWUteLla0ZUvt5RfOndsgFZfDVfSPgNi69lkZqs +LFJLVYt5u9UCyzJaYOd1C8wl0SM/N8VDLs7PT7ebX+7mssu8cWHS8z8a5kPG +V58W/oroHe9tztcnvCMpF+Wi5qt+gfma7SqK/aZJZb/F7Ev0Df2MQkochduS +Y60HpetDlNFXp4gbqRA+RFn60exbLa/vr6sT/lpcWKiIXzz7Wx+h5l2uVfuH +5FZni/fAtoYiF4kZXubC5JMoXZFUi7KrkadpfNhJvKLOZEfHF1te9BQ8xF7y +EKv5WkbFlpnFEGGxFU/IKbQy99a0vJvOHrgHaw2of/2w4pUXn/uxShNhJy7e +nZQNO3h5nkNSNtZRW9lKDlXn66dh2rnBxQ0mztutTGeqj6Wcrvk6VncJ42tT +TL4mi6U8oPkS3UylBgu+WLYvd0tpoQdf2kLvWXy1BTFokQqzcLhbYJZEzyRE +KR4SX+MC4vySVN78oubyTtZcxiexWpk3FlGXxDBHmeZDw9yr2iU+X918xSkm +X/Wi8lUxyqLIpJ2KYmVBfJ81JW1TqMoopOJ0vyzB+tB2J42IM2gtlpHWtI0K +YdkIO57hCmHziv49seQfA8vld065Urf6xZQWSNWwpx2OrEVWtrzccXzJiBGo +bpB6fdLcARfeDMpGB2IZQCwJ2/LbpCXiC8Gu+11aIVTcf095Chnp5Vq1Lia3 +/P6ZdxG8qPKSno0FaWSY94PXE54mvII882Zy6HW+hrLd0rK6yVamQwqW5sNh +5lJOP1N/5g3zNR58JQX5IrVK8dXC5Muy0NsWxOEhFo6teTdbLWYsrt8CU6dU +mESvXb6J2FSZeOgU5/3mF7Y4r/llNZfDzVHafCj56ucyz/OK2OerH+NrtLko +N87XeLbpyaTCF3WfNyT6VyyJgzKKh95QXbDPWIo4O1d46VdTLbzLD2FeFYb9 +7ZKw0wsvx58Uwv4cVQop4s8Nql2s/NrZJz/CC8fnjZ0K0R9Bf3jda/h0PzXh +ekPB1az/dYq8QpnBj42wK2sxFcWSWmU+jiQWWzHSG3wMWHTw9UunSpeqv3Kh +3Btni/U4lTjweMIY7KLMcLh1b8KeXQmHwTgZtnO0sjGPlEOZHJIyf0UfhdBW +t48D4qFRSltbJZkPsZQNg3ytyC4AX/vHq9NGki+vm5mc+g6pVaKaVkfAwFe9 +AF/FWpMFsTOzSGm+nBYOs8V828lgC4xL9ExCDIiHQXFeNr9Yc9lh3jhhmTe4 ++TBo7g3l6yOvY2LydakgfO2suGNL8s71KbtWp+xehkWpJBdlX3BRrKI42DTh +EkcxeahBGn37qRRxEqUTC1QjjEKY5+U4TkIikjRpR7y2XpwZEZ72SSV+H1Du +WpsqP1ZrCL6wleGVYoNCUj2yzlUOl1dz9RAfqugi9RdVDPlPxPkaFI/4nLAz +ILOViiLJHcko3rtl3T0+pxBCGBJU8HX1taoXKzUXvWzvIMxk5pbfjLREGg43 +e5WXDF5S2TijqmkvGxluWnGsVosspd/uaqYi/QJ8TQBf5zy+5p74L/gazvjq +YfLlH7E0j6h4q8ktvh8HLFJzaM/0LBx2i1mdstQtMH5Khbl8hYS4pLASD7mz +1zuZku6fTHE3l4kvr7nsMkeZ5sMQvt4zFV2/Ixm26YXwVV4syr4AXwsr7ZmT +svdrxpe2+H7Mk3aX6MSb/tK0JlbkQ1URjyRFdxotxxIVwnZSLpFJCyF6YcJR +f6/U6vHm5bHHv8Y8e71r8uXnXwRfqHARMvAZ410BLnyx+FzxrcouErIsvBDv +/C/5Y3WLHD8/WZTfqyL6d+3xOSHyIuziK8L3gx8StSFiKOqvy7VrnS/zFhmx +RppwbUJNjT2TMsN06SZdxY/ykbIxxUgOWSuTS1VWqm8t5UAyHw6/0kTzNSU6 +Xwej8eVaStXNfNfki2f72kLvW3y5BTFokYrWYjZbYEKi3/bgT0qiFy5fJXGY +4iEX53XzK9hcTjOay9wcVZXMUWHmQ26e54e/1HE8ryOpFyUaX8fi4mu35msK +O6LiWRDJwtFNb3qBori2OsgsS7DHqOnvmdYGKNP1ZBbC1lI6sYfk3GxpB00v +JByJXiFGiC0Ttts/Bpf96dVqqL/2R3bgS0ZowP6DN4NEC1UMvlKkWO0/Yoc7 +pLlozI8iyyWVptzhgWVUrogtwgxkNfEV4cvBt/HXuKd/SG5FcI1WaeFS1NQS +LnKTHlFH+aiaLudVXsqKc0Erh5YU7DgnGxDnA+Yo//BXgxmKr4UeX9XXZFZZ +l6b42hM3X/1Nvt5WfOlqGtl+XW6h1xZfaUHsZVoQwywcwRZzoAVGEr0pIZpj +ASxxXjS/eHN5l6u5bJo3PHOUYT60zL3MPO8rTk6+aNP7X/MlLL7aIhVYFL7p +8aTdF3XfZU1/aaqZrjKKVUrRlR1JH7Ejohb2EsUNj6D4BWK/9qx4rsQHeIfY +hbDz4J3wsKVyQnI+MGVAnQXwvZTc6yWFGuwS+Csg/rbOfOB6t+STiYOxskhE +SbBadSiyXlq1ZTZitDJRSpfnUnDIOaOGsc8ZWXMeDHOvz9dJ8PXif8dXuQBf +XA1m1bS20BsWX25B1BapKBYO2WI2WmD8lAqX6ElCDBPnA80vR3OZmzcWpATM +US5zr273OxbFsemZSYVZFGu+5KGG8lsCfO1y80WfpbRIBec2WIsS7VyeFJ20 +qEtNE68pKVfEyyik4pR6h9ju9olAdpl8HX8tKnKx0utIt5BfdXvbO5MoPefB +bd/zxE45pzwM/BsbrMZQ9ELGi88sKfV9/KUqpL6Pf46EEwuHHRIB64AwCWyn +QxBen2XrEwVYyqFhPlLtPDTFecc5dPYXeRV8zVJ8Lc2Nh69AKT3c2ioDS8mO +qAgLfVXT4iuyEW1BHEwjTfiUG27hsFvMGYovrwWmT6mQRM9cvqZ4ONU8maKb +X6y5XFgtytPMvMEm2wTNh3pRbPO8efhLb3rCsab5GsH5OlcAvnaCr+WVdi9S +Izj0tj+ygv9B8nOv/NyQca6BLUpRa1FibXr+okhdF3Ux1gUZI7ILLBOST3x4 +LfuIvzVSwRZSbdMH3/TfnfXWaceYK03LoqknvjRsGqOSDg6rcGgIvjeU/PhP +bCPYUvCVIhrOrnwWBG0rmoZohWwQ1db2omnYJFFEqyamn+fzOtrqs4T5BBw+ +N/c5PjIf6sOVM04LvuaZfK1Pq+jztd3B194wvnpyvgJHLPlSyoZm0SgWRG2R +siwcZovZaIF5Ev0m0+W7LPGEW5wPNr+s5nIM88ZXnjmK2iUBc28YX/7wDb57 +x+ArS/NV3udrQ8rONeF8DTHP5XktMC0hMl+o4VsjX43R9x9o6rq6LpaIydb/ +AUotsDTILnLy/oOIlp53E1L6KTmFUPFV2pCKzRwbO/6motjEM5JSYvoU8bcG +WXIqgtztyVe5lHQbSRm+t6kE2gQEaHom4J/g/5u8YxM+XWQFSCHmVToNgrBk +AAprh//cUAJkibC1unTeyrIiySe4qIlpmUhlHhLUqaj4svqYXJw3fNrcHKX4 +8oazLTxWZ2luzXj5slJ9nSH3tEZwBLZKy0LvsCBaFilkI9FbzNYpMKMFpiT6 +gDhvNL+OeM0vdnK5SNqWJzIM84bbHEUVcZ2rDnOvdTjFPRyATjSworggfG1N +3uHky5g7JM8N6RaYJSG6+pKerit1J10Xy31ProtsTS6iKLaGlmYLUbaLFmgv +/Sd+i6oZ/00k/yj68EM+vyoLXxo+OXx4+GsKpiaeF8NGSGRTZJEPdtFRCs34 +q60Qn5z4282nv+BskTfunYUvUFgudy3Hl1l5fRr+nQglwGHC8z/Oq3QGK4V8 +Y3WpvDX0CLLKHF1RTuyQiylyzaMkhDJD1WRRJje5T/I839epXH1Mv/nFzRvW +nD2Pr6OCr5U2XxXj5Uuk+myEVOdwvrjFV1gQOzILop7SZk250XxRi/k/Vov5 +7AFXC4xJ9CHivHHyizWXw80byhw1rXqI+ZDxJZMKvyPJDi87i2Jb1JV8LbH4 +OhzClz7C7M9tYOcafN+a7vubfZPnWV3s5xVyXWQIG5Z9xxeUJU6lpZGIIbtY +RYFsfe4tWKON9J/4LXZFwPVZ9p0AFkET4Cdt34UsF9v4K3O+w9/u1W9O46n/ +zSlghX+CL1D8ZReJjxBJVMXt2xCYiLJvqcZcgb9myu5l4sEvdq3EB4kvM2nz +kRcWH20y9iLeKl748LrXkOyhqsJKYb2Q0iMbxH+CuCUVvsM/XAC4Kkm4vMxw +kgpessnimQR0cmjmIVyn0uK80Vz2zxnRnCI9HICGiyq+MmLxFVZKe25tmeqz +EQFeN9M8omJYfC0Loqym9ZSbUAsHazHbp8BMiT4gzvPm1yrV/ApvLjPzhtMc +ZSftYYdTXEWxQ9SNzdcuk6/FFl/euVd1riHQ9/ckRLMursbW5YnWmY+2I5Wj +G4UwVGGf09KMJXVXIoZEcQF5AEATYtkK+k+sF7IOVGpIKfE/B7b4ElBHAIry +2/ZXW5uBvw6CMkDD3w4PfgGs6i7Jq7NMkIUw99y3GdQY2oVvT6TBAG3HBrBG +z4bkHRsrbt8qvszNR/C54kXh7SErwNtGXgdSkFpgjZBpIFSBKTwLiSz8E9Rc +swmur6udl5nhBOXAkcFLFNFWctja3CdNncrXgQ2fGzvH5/F1vAB8hUpVfilt +jAhwpPqGxdeyIFoWqcU+X4aFwz/FrFrM/qC2LQ9bLvoTCaO1cz6XxHnV/HI1 +lwOTAZR5w5tsY5mj/MmiBSmKfb6GavXMwVfdML62a75WmHxZvmuv7891XVYX +67zCs14ra01xap3c14lCGJamD/XChlB2IRGbQrXYTKJsHjGF/8SvwR32RiST +oBL/Evyb8SeiQkd2hx8YiKEWA0HgCDTJB18dfovECfTh28NfswL+mlsP4m8q +KpRtuxCvk7bvoP/cBfTwZVbamIr/ycvzj+MzxoeNrx2vGi8fBRTiEdhB+geU +ABSWbC49CFtYO+T2MwiuKTUuTiR7tlSoKHh5Sb4UqWRy6Bdf5j6p62hfp7J8 +bjfIVxQp+BM9QoqX0vwIGLfQa4uvb0EMt0hxC0d4izmkBbYi0RTnZ/CxbOxk +pddcDpg3aPJGBZfoVMth7u3L9j19OCUKX00LzNd+xte3jC92Ls/whX5s6bpe +XuGviy7BpEovU0SUxg+3pxDWlYTET2n3G0qF2FhKFCdRIJuecztWCpshfgHo +8M/BYN/sO1G+AVUs+nNpHRFG8YOl7F6CYISPClUk/i4onaquS8dTZV1aZcIK +X50kqwr7bbmth/Ap0nMIv03ZeAT/k5ors/DF4utF0Eftg2wN+RsiDgBBpjfh ++UtYICwTaJpZ5RyWbCaRhcRDwSWWDyR+WeuKH7xI2fAWkSWHRvFlriOro+0+ +ptJCveEAgq9lgi/sLfjLIviWv0G+/BEc6ojl6+Y6VndafPmUNm6RWsT40hYO +r8WcGWgxB1tgJNFr8TDPF+fdzWVxcjkx1ZgMUNowbwTMUab50Gmedw4HcPD1 +g8HXXJuvSnJj9/nayPji58oduq6ui611qZfegJdgJD2Vo9TiSVI5vBCG3a83 +CbwSsZG0RuOIJsSyifTg1+AOOSQiHVYTeIJW5J+o+Eod6SVSRERYIUpsRDBC +GCq/9QCQKU8P/eKAF7CoUsN3iL9ylXXp+BrlAwzxT/D/wgvB5/rKrJOIEU1H +Xkb5gxeLfQyvHXQMfeHamNpXsDqACChNf+481gsPfoHfgrvJFLkILlE+8+Dl +KRuUHCIJQZJvFF/mIYi2Vh4yxN1r8IZveHxlFZCvseaIbM7Xm9Y6uiz0nsWX +WxCH0toFp9y4LBx0ijnYYrZbYAmu5pc++bWGev3uk8vxmKO8jn+9uEVdfmLI +akpaphqLrw1hfC1hfAX7Jn5drNbFkjhkCSZLY5kilqLuf1Edwt4noeNjKsQG +UqKINGMUKR5j6MEv8FughxXsmXVXp8y7QSgWGvsqPgMhsBwaAvaFDAjEdq6r +uGOzECgo5aMMUCSBouASf6/NtZfl6LxRP/gtXgVeCF4Lkq6G086K4DXk55af +iIII7xk4IPQMeikfGQUKMRA0qcZFUIbFAlZTiKxJCq5xAi6xfCN05VXPU6j8 +5JAXX0rc4OKhvY6GDix8AvKc7I3yFWy1iNNGLinYOqLC3QKGBdFpkaJu5i3c +wuE4xaxbzOoUmN8CW5lA4uE4fqdDlOZyqHmDmaOmKHPUaGfTxGmeZ4crXXz9 +qJv+sfnatosUNsmXHsHB+/6OvEKPbkBAUUcbhMQhpSek7pRaVFED9EpSdSxC +2BtUIHejHopE7DOSO4ZQsjGcnqH0T7B8KLveEcHrQeT/ABbrjnS0fGpX/CT4 +qVAhilRWyICr8VEJ4ULIF/rZQJrhWiF6LBaiR92luXXowa+lKvLyvBP1Z51s +MP0svuGmoy4jMUOShm8e77lXG5HXIQahHEZUAmLja17CNjiRPVi18V7kEmuH +zHDYCyQbquBlJIdqET9wihskHobzdU763Dy+FoOvnOcVX0mCrwMBvrhV29nK +9FotbESAcJOyIyrcQl9a1dH38lZL/G6BY/4p5kCL2WyBuZpffKyofXKZO2pW +xmOOCjjW3IdT2HAAsynJmv7/A7543s7XRUj0TELUEodO3f0UUakcRRDCkMC/ +mXn3u5RjSMRQi/UnyhDLBtF/ImzhH2IFsY4dMu9B7GueURb/Qqw7/iyUfkhT +KxwcBvbxQyKKIaeVSruQ33euIaFmtfjGxD9ZjtwYHOGzfAnPAvGf+DVeCHIt +RATkXXhReGN4dc36iToIe5fgq614+VgFhDAsCvAZW/sK4tT4mngu0XMZv8Wu +iLRQwFXnKnKPIUo29IMXZSAyOezZwU9CZJKvTThtjOaXKw/RdTQbjq34OlxQ +vkQr84jBV3irpYpp8fUsiL4bJ9vrs8yKbuHIDlg4rBazGnQT4Gsuay5/azaX +g+YNe7KN23z4SkGaks6m/43wpUcgki9U+Gp0Xeyvi2yBKYneljhkCaZUep0i +lmYh7P4OlGNIxHpQLdYnW1CGiqwvkdUn6y4sH2Ic/msIXmATWyhyTqw7cphK +aZ2FxnL4s6SDo8h6MT2FesSicwfQdi9BaiT+FuJZhG8MEOENiNYYPfiFbJnh +zeD9CLi+vIhggaiB8gevtPP74j0jl0PcQQDCWiAkgR2szhe1fwJlYArPWEGW +EDQ0XFLWGFTPCF52ckjFF0/yO2rxUJ/8ks1lvY62TkXnjArAl8OKo9dRtTL5 +EUvPrd3AbmVKi+/9lgWRW6SsKTcOC4d9itluMf+6CnyNPWY3v/zmsj65rMwb +9uSNoDnKbT4MM887Dy/3DQzHLgBfu02+rL6/vy6qxdxbemKTfQnRK41ZCSZU +epYiliWBtzhVYY8gh+9Ihdi7lCh2z7oLgawXgdaLyOpOkatz1t3tRPAq3CLj +mWYZKfgX4l8OkPExoABEpoqQitpQupvET7t3Fj4nEc72zKNnLn1dsxpOPYsg +he/Tf6adFWRN+r7xVxeajL0oMsMhPzfrn49MG9Gki+SrvYg7WAJEIuR7CEzD +617FAo0myuSDX4+itFDC5WWGOng1EfKvTg7xL+TFl1xELh564jxvLgfXMcjX +hnC+djv50laB3qXViADXETBjCn1z3yrwALMgOi1S9hQOh4VDn2J2tZijNpej +mTf4ZBuXOcowH3qOa6d53jy8rJr++fa+N9E41BCLr00GX3ZewVvMWqLnEmKw +BNMpYgo1UEphgRDCUCO3znyobeY9byjE3qdA9mHWXfLpRv+kM2WGyCfxP0H4 +a5pRGf9CBEf8KSA6KfU9lIHlDvfHT0WIjU/eP0lQhliGtFaw9jXFX/x2mrRO +iWcyPfjFxPMgC69IpIWjLovMcOAvCF5te4msG18+UjgkDNjZEICw0QEZbHpD +BWLXRtS9imglsCKy8NthGi4pa+jgJdMPnRyy4ssXNyzxkDe/uDnK3yd9n1sI +X5ui8sWtOE6rQEuXVYBbEB/s6JrS5rRIBSwct3MLhz9olE5Z6hazPvnFm8sx +zBvmtSlRzFGG+ZCZe0MPf3FTDefLN4UWiC9Ld5LroixSrAWmW/9M4rBKsLoy +RSSBN4mFMKxRpE3mA+0IMWSAXYiy9wgr/Oc7WXeDOywiUn0KXk+hggOnki9E +SVTildK6lEn9AD8JUh38YPjx8PEQZRMEaOoR/pP9E4REMO4HvBDvAVZfXmwy +9scmoy8JYzDgGnQNHza+cOxXKIhQGYECwVcrUT2BFBHC6uUj90MUQwIPyvSD +3w4huACgzAxFz0sGL1I2/ORQrqDqsEhxg4uHZvOLmaP8dfTyEM1Xtdh8cav2 +l/HxFWah9y2+7zALYkyLlGfhyHZbOC5tE4NGeYvZai4HTi6b5o04zFFyMlsU +86HbPM9OlBt8jQrwNSucr61R+PIsvp5Fymsx99fW0CS/NBZLQy4OqwSrJVNE +WiAZwkpSL6xI68xHkcm3o0QR9fLbFLA6E1n4LcGF4PVoy8zHkRyigsMqgy+Q +C4TxZ+F7wJ8OzJElEmJDkg4OrygoGwPQ8CGJn1k8+PUY0aX9Qj4/yl80HX0Z +uxC+YZEWAq7+4h3iI0dyiJoIb9jnq7kgZUADEcKwLhKxoewZQjWXgEtlhn7w +km0vnhyq4ssXN/QmKYto3fwSzWVtPiRz74zT1jpKvrCO8fE1ke2TnC/7iKU8 +ouK0+AYtiAOVz81pkXJN4Yhl4Vht82WaN9ZFN28wc5Q5OSpoPnSae4N88bp4 +sJMve10svioIvuQVYMF10RZEaeHwWsxMohdb33NpHaXEobonXgkmU0TaACtR +CCuDEIZ4hGVCYKJC7H4kiu2Jsjfo6Ui/Jbgexn8H8Q5UIv/HKhNf9ZG9oNbD +xwC0kaOCdEKsP9gnyoYlHRyBKkM9+PVwOsNyRRxmkQ9+O+ynZghbn18VwaK/ +CBwof/AyUQ3h3eL7BwiCr9bi/aMEw1pgRTRieAar53NKC324ePBSyoaRHKri +SzujguK8bC77Q4qkudfJ11rO1+7ofDErafxWN86XYUEMTmm7EYtUwMJxXfAV +Zt6wxs6LyRsFNkdZ5kNm7g0eTjEOL98AX7HXRfIlLVKyxey1wKREzyRELXHo +EsxKESuSUC9DWDFkGlSIPYJ6uU3mvaCsHT1tKS1EEtIqM4GCVwlQifAn+QK2 +iI/YY1HxgeuKqe8ijD6b2hOfCjZk/GzIfORhyfKHBtMjzk4KjgZfRdIlnsH0 +fH5NkIWPuZ8HV7te4n2iIHrnXfGSu3cS+kZv4gurgLUAOEjaUV4BJQD1OT2S +LJEWviL+v8gMUTX7wet1UcEJb0A7X5mXxZchbuhNMuygqDLPe+u4MDpfm8PX +UfIVy+qm+LIsvmEWxAJYpIJTOFwWjqB5g00G8MbORzdHOSezqcmHzHwYZu6N +wpedtzv2vTj4WqQP/HoWX+NYvWydyNRdLI0pcXglGEsRa0iVA2kehbBnSehA +lliUEHsUNLURlN2PB7ghcqFAw/8XGGLPBJX43yq+6uFfDopR7uF7qJzWuWLq +e4RYDzH79Egf/GwADR8P8lh68Iu+4qPFy/GffEkW4gVenYQL3zm+drxYfPwo +kcAC3rnmS6SIDSmEScQkZZIsDhdlhjJ4ecqGlRyy4kuKGx17KvHQS0JUk0U3 +l7m518FXeghfq8PykAoxraTpvoW+oW/VLq8tiM4pbVGmSMVtkfIsHFHNURsO +eHzFYY5yTWbT5kNjcq+8qDfkcIptuh4VkrcvjIcvOYJD8zVdHaj/QreYqXXi +SfS0NFJC9CUOVYIZKSILYaWRyVOW+AQhhkQxQpQ9Qg9+kYACDf9f/Hewptg5 +FV+1ERORfCJE4jNAxMSfW4kQw0+CeCooQ2A90puej+npDe7A0WvswW8Rs/A9 +t+ojAgfeYYee4lMXwes9kRziPQMHvHORH7YQqwBkkPWJECYRe4U99QkuWXbJ +zFAFL54cYvmQfujiyxA3LHEeRbRqLhvmQ8nXPDXchq1jOF+L7DzEzZc+Atbe +tGq/FLD4+hbE4JQ2bpGSfEWdchNqkWJ3fhXYHBWcHKWvrXRPPuTmXm6etw5/ +ufly5xUx1sXja57Jl7RwUGuSSmO9NEpClBKHXB1RgimVXqaIUuWQIawshTBk +icUJMSSKRRDIWgmsEugXgOtxCl4lASP+J9g/wRdCIf6FWHesPkIYwiWgrpb2 +Jr6NZDmaJrUbYhlAA/vyQZBFnQiOWvYRBY588FtJVtveIgHAa8R3Dri6UPBC +fYRA06OD4ALRx+OrKaWIDUWQAkoiUazvk+VFLsoM8d/kwctIDmXxpTrLsoI2 +xEOe5I+8rM1RPl+zveEAeji2a58M8jWT85XEdKqgVZsdUXGeRvctiDdskeIW +RMcUjjVhfFnmKAdfAXOUNyvvKz2ZLcR86DbPB/kawg4NjXfn7bH3Pf8IM7f4 +jjFbzFyi7yK7/1riUCWYUOl1iqhUjoqUyZcmoaOEQuxxCmRF6ClKcD2J4IXk +8PWMMpwvBESERfzLESURK0F0dYFYJ9SA+DFAGX4egIbCsDw9+HVS6vsIEPiG +/aeXeG8gC982cmxErrcILrxYBBeZHPY0+UJI6teYQphGTD8Elyy7vMxQBS/Z +9pK5PQo6XnxxccMW5wcbTUzP3OsdTmF80XDRaHztcub5o4NHIfgRsBALvWVB +vE9PQeQDi4JTpBwXFVkWRNcUDpf5UJujXNem6GuJokyOisN8+IHr0FCgbxLQ +ncy83cWX7vuvd/DlWxBHKmlXphZiaZiEKLJ3Wh3ZZUYCL1X6F8nr+zypHEKo +VyGsVAsfsSdBGWH1OP0Cvy1OwQvJoearWqOMmoiG+Hdi6fEBIFACZyCGKIY/ +HTEUlGEfBmgp4nmHni74LWqcDuaDV/cGhS185G9zuN4WWZxIDtuLusnnq5lK +ERvS04Cwkg+DSwcvIcsbySErvlRnWa6gLc4PDJg3JpyX5l6Tr1yDry03yFcp +gy9+RIVbfP0pvvFYpP4rC6KbrzDz4eEok6OC11Y6Jx+6zYfm4S/v0NBA3Tdx +8xWetwf50kcstcVXWWsodZdLIyV6LSFqiYOXYGaKWFWHMCV0PK0QK0ZYPUm/ +AFwl8P8CgyARK0v1V1UsNLZTShHrI4S9mN4UX0LttJb4Q4F2daIMmzBA4w/+ +Cd5VJ/l0p+dD8fbeIrKwU0m4kLYheUMKJ4OXEDfaCEYQiXy+GjPE9NOIyi6d +GcrgFUgOreLLEzeUeOiJ817zi5k3mPnQO/w17/iLC4/K4Ww3xJd11EgeYeZH +VNown5sxTcWcguhPafucTSuaac60jDFFKsSCqM2H8lqHwLUpNzY5yjdHOc2H +bvO8ebjS0TeJqy5mfPkjOOYrvvTRPK91oltgqHRMCVFJHEYJZqSIyORVCCtH +WaKHGMkdxcWTUZwyw5KUHPp8NRV8VacU8QWsO5JPQqwZioU6ArHWCGSgDIzj +x6iunhriaQ+I8Mb4g38iycLLlJELmRvSA1F5dRS7Gd45ok8fF18+Yo2833qZ +YVPhNpTBSysb0rahiy+vs6xm2hjiIW9+Bc1R8nCK5GtRgfhidbRxVNY+wqz5 +4rc86Cm+fKClnlbUM3CR5TdxWxDj4SuquddhPjTMUXRLlGMyG598GDQfBg6n +tLL6/mbfROtOL0flS11RZPE1W/ElrGvKwqG3Pk+iVxKidEm1VSVYU1mCuVLE +FBXCRJZIhdjTBFQJ9ZSk4PUsACS+kB8m438oSjCRItZpkP4SIiPiI7JQ/EEI +l/gTQRn+aICGLwRPTfpPelojo8ZLsx5850jVUA0hZxORi+Dq3pEFr1YiDPl8 +NTUQ02QZmSEPXmZyaBVfWjwM4YuZNyy+5nvDN7zLHaLxtdylUwm+4jnKx47K +ui1S77osUt8wi5TbghiHxTdg7l0YMPfGMh9Wsq+tdJijQsyHoYdT9HCb0Q5d +tyB8rfD58i303MJBS3Okl5LofQnRlDgac5Uey4QAhDSPhzDKEjli8nmagpfF +V2WsMoIgQhiSllcJsVcEYo2Qi+KPI8qaE2gt9IPf4kHUwPPeO+zp4sUskIWs +AMGFw+UHrxYiHtl8NXbD5QUvqWzw5LDjdVl82eJGdz8DUeK83/yiJCTA1xwX +XxsLyhc/KquPgOkjKsFpYLXMaWBui9SXcV60Z1p84+NrUfzXfrmv1YtqjgpO +zAvjy+5LxtJ1w/lawvgS1lBlkdKphWyB9aDJ7Z6EKF1SiBchJZhOEa0QVpoh +5j2vm3zhv4zCDVkKQhhVYbWx7gqxV7HTEmWNkZS+KJ5m7GmKHwPfNl4dnq7q +6Sax0mS9QWkhg8sPXs0FOEI/5Hw1ZnDJzJAHL5YchhVfKABt8dBrfjHzhhCB +DfO8k6+U2HxZOjA7KntEHZV1WXxDLIjxWqQcU9q0xbcAfAXN8zEns52adwPm +KG7uDZrnB7j6/k6+VoTxtYXNuV3CjoBN5RYp3mJWEr3ILqSEqCQO3WX2SzCW +IgqVwwxhpYmmZ0hRfEbBVUryBQxVCSZDWHXKEj3EXk2vpyhrQKAJ1vSDf4J/ +Lr7wTvS8qZ5O3iPJwvaFRM6DS2aGFLw+UcGrb1MPpX4BuOzgxZJDaduwiq+g +eGjydTVgjhImN334y+ZrXXS+FofwxY8w+xb65+yj6JyvAlikfAuibfF1WOjt +IyouvqJNPoxqPozfHPUhOzdkDW0IHiqP1pcsAF9zTL6+8PliLTAl0XsSIpc4 +zBJMp4hS5Ughx68MYTJLfFZSZvJVBgyyEFZFI9YovTbCoqLs5foEWv30+q+o +h36Lpx6CCAgSHFlPBxFfNFm92qjI1dIMXio55Hz5cKngpZUNPzm0iq+AeOiL +83bzyzBH+YdT9HAbGj5v8rWf8RVFBzb4Mo+oBC2IespoXBapiaZFKtTim1bI +OWVUHwFzXau3LLp5PjiZrYDmKN986DDP92Wpuze04WI8fckAXxsMvrwjKtMY +X3JpdIvZl+iZhNjW6jJHSRHNEKYRe9bkS4ewZI4YoiHVYh5lQBgPseY99E/w +z1+QqZr3tGdPO0YWg+sTBZcfvBhf/TRczuAlk8OoxZcUN6R4aIjzAwPmDd88 +z4ZvKL6qr7kxvkZyH44+ohLFgmhZpOSFAk6LVNiUNreFPgZfzsNf1rWV6da1 +lYvZZLZ4zVFqsqg2H/pDUZxDG75gff/pnC9b17X5UiNGA3xpaXeEsnD4LTAl +0QsDQHWbr8a6BGsg+AqmiDyERUFMhjCOmKzFahBliGW1KJzVYU/tRuLBP68p +E7beBFEv9vTWWJlhKwpc/U2+jOBlJYe8+KLOcph4GB9fpw2+lnmXO4TytXN1 +eJ/FGvUQ57TYyuaUNnuK1BfKImVZEMOmjFoXxV5hU7Jdk7H55F5mnn/c5Ms2 +H0Y1b0QxR31gDEVpFjYUZXKMvmQIXysdfHGL1CHNl9cCkxI9kxCFiyOkBPMa +zTpFjBXCdBUGxHQUE7WYDGSgrImIZTUka+ypQbmoSEdF2iYzN0rejKclYRUk +KwSuaMkhUw694kt1vjxxIyAeusR51Vz+4kebL3kjzAI5nC0mX1H6mHwEB7fQ +a4tvNIuUNUWql32nW6EoF1nyIyoGX9tj82Ud/op1bWWsyVEh5qig+dAeihIY +OvRqeF8ynC9+hJnfSactHLrFLLILrA6X6E0JUfOlSzBniljW5OsZZxSjRFHW +YoIyimUCNJIWqxJu1ehfXpWeKkRxJe/7b+4RJJ4W6nmdYRUHWWF8fWIWX7rz +ZfDFxUPunA9rLqu7zBqwu8xuiC+jj8mOMDss9JZFynUhTgyLVPAiy33BIyrg +y76I2c2XPrxs8uU4nBI0H8acHOU0R4Wae51Dh6L2/WPxNTdgoR/JLBz+5aoV +fYleHrSUEqJT4pAlmGw0axWxvIuvZyyt43WvFtOUJTHQUujfJh/522SCV8RH +v1CStZLr8f6/Jln9A2Q5lMNmfnLoeXqD4kZUcR47pMjw4+XrWNx8OfuYYwOj +VCyLr22RauCwSFkXWd71ueJrSsCCaFrofb4CF53H5EtOnndMxmaTD6OYo6KZ +N7p3cpgP20UZOhTka/5/w9dkZpEa5vN1RF9e/J5Dok9rzl0cTOKIUoKVYkK9 +bodxyrjoIUGTrBkP/QvL0X9H/Dv9wyOKC/n0bapo4tGKY9Uo8HDEYokbPUjc +CIqHWpw3m1/MvGGYewvGV3gfU/sEjFEqjC/LIlUAC4fLImVbfI0p2TfElzy8 +rK41F9cuG+Z503wYeq1elMlRoTcCmEOHgr61QN8kNl+7GV/7GF++hcNqgRkS +fXwSR2UnXy1sO4c2dTzN8kYZ0SRr/mPqJM9I25U8SOJ73U1SjIehNKCRw8cb +zbbhFDcs8VA6D0ObX8q84eLrFZ+vPBdfB8L4qhTwCVQwRqn05BZfa9p5nBYO +yyKlLYihfKXeIF/Gtea+ed6YfKgns8nm8vgCmTdMc5Rv7h14zTHUSw19/V/x +xSyIA3iLWbTAqIHikug1X9LoK/nSEgfnq3TAK1XC9fi4sX40D3kllWG4mDy/ +KedjGMch9dGSKI/rv8YNvXHx9WaIOM+aXw6+tDlKilRx85Vk8uXyCVijiiwL +oj0FsUBTbqwpbc6LmGPztcbQ5+PgK2DuFeaos8Fr9eI0bzhu3HAO9Yrqq7kh +vgyLlMWXboFZEv1Lgi9DQmxEEmITJiG6+CphmOqDTwaep1qoR/zWO+Qiz7k8 +ro5FJ7TOfFTPT9PjMjzW6psnJZ1PA5tHnmpGc25wZ1SU5pduLjPzRsB8aPNV +e1mOi689br72mD6Bg85RRe+H3CYAvpxTbiJhF+1xC6KbrwyTr13x86WHA+jD +leraZWPyocMcReaNfH6tXnCyjcO80SdkqJc3fCPUVxPO11o24mYek3a1xdey +cMgWs26BxZToo7g49HEVEYAImWLsXNgTIc/jiqbH1CHoxNaEFY3NEfPf1AhQ +NaiQs1bPHqYxkJ/9r2+eU+YZpnUmJW5xnje/WHNZVdBO86E8XBkXXy4fjjHK +Uh+xNCy+zCLlsHDIKTdRLgKzLFLmFETzCBgfEfBf8UWHv+hwimU+dF2rF3Lt +V2CyTag5apDpCw331YTztVWNoHfzleziy2oxR5XoY7qk/ONgLb3szjvXzPDR +YwTwFKYnUQElh+Q83DrzQRpFdY8eXsqmWDPQOGtqGJQDuvqBxLKhyVczB19u +cd4cuxHaXA7ja7Y4xMf4ygrnK8wnYPnc7FFgwds6Ai1mewoHt3AELYimhd4/ +wuzkK+Dv5Xzp4VFbfb7o8Jdp7vXMh8wcFePar+BkG27ecJh7R8f21ehzQ4yv +nXHxdSCUrygtMJcLsUaAL31QpWQLH67HWVSSKCUwlOS8KQD1UGtvvNu9elpp +J1r9zjR5W11xIi6/kxOth4rnF8manBHqxM0HzTnKhg8EiIev90n7DTSXA+YN +Mh/afJ2SJpyXFsbBl6EDWz6ccc5RYEGLVF11G45jCgdrMWsLB7dI8SmI0kJv +8XUynC/zfGUoX/JwJTfPW9dWTn/ufEEnb3Dzhp58aJgPR1xx+WpO/o/4Guey +SPkWDsmXOqUSpQUWyhcbF8BrKCs8PUQRygOqDY0kRajq4GF1t8TqHRpl340K +hC/ZRUJ0L8NVDzSPNQFaFNYGmvKIDmE2Xy0CfHVyO+fdzeWguXf8hf8FX/4I +xLBRYKVMC8eNtZj5FKnZ3OLr8+UdAbP5In3jpwLwtUvyxQ9/cXOv0xzlvpYo +VnPZNEf9HPBdh/f94+dL3EIy1bL4mnxJC4erxex20VuzApJoVoAex+Hrfgqu +CMWph1Ti5zHVXjH1BiWBGit9IYu89gjZi7i/taa4CG9sbXEXHr9XiEALD2rm +hN6BYdPYXnPz9WEYXz3ERRIO84bDfOjgSwzZKzBfQZ/AkIBFyp4iFc+UAD2F +Q1o4uAVRWny1hb4gfI03/fNBvvYbfHFzL01mM8xR5rVE7uaX1Vy2zBtRh+aF +9SXj42t24IjKiIAF0bdwRG8xR+VLTmwrSbLGkwwuXVLdx7F6g0KVvhhCYyUD +Vg+6RKw3tWaw9HSRq7glebyg7DK7F09cR+4CjSh74Rc+Xp4jNqCBayCbk6+Y +5g3LfOgw93ITjncIogB87Q76BMYwC6LjNpwbbjFzixS3+O5iRyz1iBuDr21B +vibF4osOL+vDKb456tQc27wR+9oUYzJA2I1Rvvnwv+bLG40yP4QvbUH0LVKW +hUOeAqsbF19yXFtpNa6tmJrr68HVRg2ob8+ilYVVVxawetGdsyCrH90zOyj7 +TnkXOadM3utqhzMq0EZYlOlAphJFHsKMab3hfEUxbzjMUUG+ZgT4Wi75yigY +XyGjwJSFI6zFHHaRyiO6xSyncPCL9haoi86lhT42X475bPp8JdcPBV/ecAA6 +/GWZewPmKGHeMJrLBWl+2UMpC9L3F3MbovG12MVXwIIYYpEiC0eB+CqlkkMZ +vOTQbAmXV15psrqo8qprIGD1obXWZA0m3RipwtTqPwQou+RRVktT9hO/NW+4 +qTfqS1IGOqdhNw/nKx5zVMB8+F/zFayjTR+O6dN2tpjNU8xWC+wJ7H6yxWxa +OAwLorT4yiMqUfi6zPlaHYuvBAdf0tzLzVF2c5mfXH4t/OSy27zxi2Nonqvv +b/dNQvlaHuDLbfEtLfgyLFJOC8crgUE3ehbia/4sRD0xuyjphI9IuNoz4YKT +pQPWR+wGZ07WUFrx0dl34G3PqHZ+WjTKeNJoaiAcMX1VCk8RrYHYzrFRNBU2 +eHLZZT4M8nXWMwl4h4zi4SuaTmX63EwLR6px25TVAgsMovdazB/QtsYtHN+w +i5g3sSNg/gipGHyNk/OxY/KlD38RX9wcZTWX/ZPLBWh+2eYNh7nX65vcKF/K +WjPNxZfbglhQvmg/LKPGZRdTwetRqrnu5RfwBcnSqWDfbCERf2aSNYo6nljx +mVXOIWHAO5/+XHTKeCwTlJmIsUv0XLelOGf2+mPZ3o1tPjQX8Yb5iqEDO0aB +GS3mAp2y9E4x6xaztnDMUxZfeURFH2EO4YvN7/XvJ5rG5m84+NoKvuhwimfu +9c1RIc3lOE5WvmXeyBZzaF7cui7na7XJV/AImMPiK3e/kCkB9qA2Nss3Sd2o +8oxKDouSGo/M8D4Nlyy1QuQLm6zhRNYXRBbK7Yk5hVDwzqpydmZVQdkMTlmN +HyaF1GVOxLwsUYcwnSKyFliMsYeByRu2+ZCZ50URzZqYwuTG+HrezZezjtY6 +8BRzFJjfYi7FbpuSCnDglGVwULbfAuvNpnAwvjyLrzwCFh9f8v5Kj68cNZ8t +wJcYvqEPf2m+uDlK8RVofgVOfjmbX84b2Zo6+v6n+PCNgvMVPGIZavENn8LR +uJ4/i57zlaL4KkUlc3F1ryWC1wNtqebqpCKXJbkH6yxJ1kgiayyRNYEu3Z6a +Uwh72txKZ2YLys6BMpkuoiiTlCGQKcouKSX/spQ+OGJDWZYoQ5h/VaX7QqLQ +saLeWOwwc695+CuUr1Xx8BXM892jwAK3TQUleuegbK8FxlvM2iK1hFno5RHL +bDmiLZyv/LX6/uWJav68m68dii86nKLMh8knPfOGmLzhan41EnyFnfwKNr9e +cw/NuxA4XBmzLg7hyzjCbB9R0XyViWrxtfnKCLuuSCaHMnjd2548GBwuGbZU +QijIGkjDz4coskYTWeNyCn2VU2gSFdrTc25HLbAg+RTKXuxscyqfQXI+y0sX +Pcp0ujjJIeP7iA2r62WJg9g95sZVy4yvj6LzJc2HenJUP8vcG+TrtG/SjsbX +XrGO2x3rGNZncd42Va0AEr3XAtMtZm7hWKwsvsTXzUf8EW0GX3r+4bX1j/D7 +zel+PQdfcjhbkC9tPjSay7r5pU9+NYtx8ksPLbeH5gWGejHdyV6XKnHxtYDx +5UtPOnVnFt8YfLG7VOrQCA5+lwq/0bIIyYYieHUkg1OXAFwybH3G5EFean1F +CeFk6nIiS5kpF7riSexsoAzF71xBmTtd1IFM54pATHmrRHfMzxLNq8z7kcvX +uJMoHr6k+TDMPE8ilddkMfg6Gg9f5ghEP893jSoK3jYVv0T/cEc1iF62mEdT +i9niaxsdAdMjAtx8yfthia/jCWPj4EscXqbDlb75UJijhHnDay47ml9xivPu +5pdt3mgQklc8J/hKC+FrXXS+Knp8RbfQR+dLnq+sTDtheVl8tfQvPdfBS5Rd +0uPUncElqy0etnhCOIkSQhm2ZueKLGUBCoEKJxYnfYfiVwWy0yKQVTYCmdY9 +dK44XiWKQEz2oFWWKELYwHpmitjMl+jl+WXnnV8hk9loEWPxVS8KX5stvjYl +h66jpVPxUWDeqFinRM8HIVoSvW6B6RaztkhJi28YX3K+jeBL37/M+MqLh6+n +PL6Wgy9ljnI3v/TJL9fYQ6c477iRzR46dMa57z33bShfbF249Xo64yuGhb4a +u8uS8RW86KGyvmuPNsNilG8gOXywLbW63qbecdcAXAMdCmEhGbammGFrfu5t +C6kKWF7u+NLyJ/D+XYHsHAtkQOyClhZ1ojhGITZChzCWInKJw+ArcKF57Mls +jsOVyiTADhkVhC/bQh9lVJEp0TsHIQbvivVPgX3CWszSwsH52kNHmBVft4Xy +tSby20rwNUYeYc6JfJ0VmZMRWcj42ubxVTh1Kx2u1OZePjlqGl3rIMfOy5Nf +tjjPnPMxxPmB9o1RXt5u7XtiXXJviC/HEct4jqhE5UvfZSnFjZIqOXy0TeZ9 +7UkzlJnhhyRoWHDJnFBXW2FhazFJxCtyb11Z9hj2t2XljwcCmVeRMQH/wjQH +Yl4hpkOYlSL2a8wkejW817+WqECTD70iWonAPl8no/BFPpyDiq9tHl/2EbCo +o1T826YMiT7cRW9I9EjgZQtshLJwSIvUCs9CH+ArjfG1Q/FF5ijJ1zGbr2VB +vrYE+Ao2l0fX+ckW56M657k43zxkqJefV0hz1Bw23EbztT4GX/a6CL6CRyz7 +lY42BTHIl5wPoO+KTVLihrzrPJGSQ1F5yeDVjcouqcNzuHhOGKy25qmwtQxk +UQsG739lmaMogZcTYksIsYUCsVNcWvR7ZISYrsV0IaZDmDADyBTxVVviKBBf +7sMpXARWJgFjHQvMl3vUA2uBaYneGzQacNHbd+0pF72Q6PUpsM9Yi1lbpOQR +lT1qBIeTL7rf/BGPrxXg6wtp8Q3n6yDnS5jntTlKNZelOD/aJc4779RziIf2 +yeXLOm83hw6Jwynq8LLPV/LGIwZf25188XXhR8CiHVGJyZcS5yuQ0vsM7YQi +OWyT+UA7qry6qMywFxkzpKDB4dJShhQJv6abtRG2kBMuos4LVnYV+XOQn3z7 +bO7qUnmrJGLlji9DrljhOyzHwop+rhiG2AQxIOWSzBKV0KFUDkoRvRJM89XS +dad59Gu/oh/+KhhfuxRf+ggztyC6W2Bl2W04Fc1BbbEkxKJ0l6Un0Q9gLWZp +4ZAWX3lE5VAoXw8KvpS597cViYyvGdmR2XR/pZsv73CKMkdhKWdVOatPVmpx +3umcdzp7+Y2HoUNRrHWRh1PU4WXOV/lQvlYE+OJHLGMcAQu567yevshS88WK +r6KUHN6rg9cHpEp9TMKUFDSGmnBZOeE8lRMuo5xwNa3sBvKXogQGYmuAWOmj +YbmiLsd0okgN6IuTamitww9hnsohU0RdgjGJvmB8DaAk/7/jq5zBlx7BoS2+ +sY/KuiTE6INunm4lJMSHtETfX51ili3m+cqCuCU3lC95/7LP1+rI7ysSTySM +PpYwjiyIkq8FxNdqzpccvsHM86Z5IyjOc+c8dx5GFw+tG22iDW3QwwEUX5vi +54uvS5QjYNH50hfFyuaXFg9l8VUYK9WWel48eMmya5ASNCy4ZlBOOJvlhMtV +Toi0ZCPBheIaq7Du6WxsdGtK5Xq5YtyITeZZogxhMkWUN/nqEkxLiLrFHMJX +6ORe64SR38T08xCrjo7Fl7bQxz7KxwaNGhJibV9C9AdxmBKicNFriX4IazFL +ixT4kkdUovG15SFxOIXMUb8v53xNd/K1S/L1pMcXFnRpeWHekM1lfXLZIc47 +70xhY23CxUMmzpvmjQBfmXHzFcwrYh4Bi85XXdoANV9S3ChBnRSRHLannpcO +Xn2oazlQSfFS0LDgkjrhIgWXzgk3UlqyPe9mrOym4plYiPUlBWIiVyzt54pm +OWYgJkV7liX6IYyniJ/VVyWYkhC1hcPnq0scfAUPf+l11HmIqqM5X5V8vva5 ++HIe5bMkxH5MQuxqS4ihgzh8CbELk+hHZ3st5rmUqK9RfB2kETdBvi4xvn5d +JfkapSwc8gjzArpfz+Zrs+ar7FHfvEHNZSnOa+e8IR7G4zzsE/PGDW3e8Iei +1Fmmhtt8m1HZ4+sQzX0lX2goXzqvsI5Y2kfAKvqphZsvmt9bk4nzQtxomSGL +Ly857EyyYXeSNbBeA1TZNSrbEzSccC0lqWo1HTgCXJtIs9pBk1X25d2MhRCI +PcUQY+WYlO45YrMtxGr8MEmFMFmFUYp4zUsR63v3SfVtqiT6G+ZreChfrxSA +LzmiTR4B0xZfbeFwWrWNC7WVC7HT86GDAtQgDpIQ25oS/SjWYpYWKWmhl3zl +BvmSwzeUOer3ZeBr5HFlkVJ8LWV87dF8eeZ5zxwlmsuq+aXFeYd4GGUsgD3W +xhYPzeayMkdJc684/OUNjwrjy6k7BflyHwEL8NWa8VU/wFcS1cjPUPFVpHXm +w5QcCjdUNxm8WGY4kvpc45SgEYDLLri2+XDdhGXd+ng6colNxTI3EmJ+OVZG +lmM+YgsYYtKpqLNEJXSIEDaS5hH5KSKTOLwWmOSrYyy+nJPn/SZmIM83dWCP +rw2Mr22Krx2KL3WUz7SSOqcx9wq4EOUs+jCJQ7sQPQnxYyXRU4u50GyycEgL +ojxiKUe0+Xzt8/j6SfJFzeU/BF8jlIVD8jU/wNeh7UXSJF/I+aU5iprLovk1 +tfoFJc6rsVFq7EYUZ1Q08XB4uClUmQ/14Uo5nM3na1sYX1p3knzFdcSykkrd +XXy9RLtfTSXOVyCNVxZfia0zH5TJ4Xu0E8rgJTVDVXaJPpdUC2PCtZMaLvvz +bjpEtm3sddseS9vyRPrmYmJc3oYS2QHFw0BMKIqyL0aFmM4SVQiTKeJV2WiW +Kr2UOLREL3dIMX/+TX8RLb6i3Ozg5yF2HR0nX+yIpW3hsK0C5R0X/sYpcWgX +opAQuxoSPbWYycKxSlnoD6gRUg6+Nj98jfj6bWXCH0sTvxN8jZEWqezITDdf +Rb3DlZ75kJrLuvmlnfOGeKichwURN64FTTUNLdOaNkfR4a8C8mXVxWFHLLsH +LzpXfDVlfNVRzWUtHuri6z6ZHHZVlZcMXjoz/Ir6XFIt5HBxNWNLrldwcbiw +Z+5MOGIilskUjxDEyEOls0RsiVLoQFY/VnRVROE8lBorugTTEqJn4WjvLaKb +r3gPV6o6munAUfnabfLltApEkRALJnFQ+uG5ELWEKCX6yarFvJIsiPIIWHre +TaF8KfPGH0sLf5cw/HjCF0cTvorC1zbOV7ljvPmlxXlDPKQCOfqxyhBxIyAe +6qakbvozvqqvIb42pFUEX1vj5EvVxUbe7h8Bk60TOSIgJl9SnCcBqhT1KEXx +pZPDD6iTIoPXEApeY1TZJZvIs2PBtZe2ysN0ID0z7z85ef9Bug7EdhQWiG2V +iBXniOX6iJGiKPpiovVMWWIVL0vUIexLFcJEivjiL14J1pB5R1UFLe2jcv6h +wZd9cwodrrQOf1l8aZ1K9lkcfGEpBV9JHl++VTtECnZPA1NW0pguDi1xPKld +iD1Jj9IS/UzF13paGjdfu3y+ZPPrzyXga5hqgU3JiXyTGZmXHlmSGlll8YWE +HyvIzRtSnFfi4RXtPLTEjbicG05xY3xAdDKbJvLwV9x8LTL5chwBM48w6xEc +Nl/1fb5qqDFf5Wh1ilPx9ZBMDqWy8TEtkwxeo0mQn6DKrlk5nhQvBQ0frrxQ +uHJzb96TsN9HrGgayjFP8XBGMYlY8kmvEKty1hM6KIRJIVEK9TJFlCXYAH0l +vbLfaHt2kK/AzUQhh7+MOjp+vrwRAeFSVXiqb0ocMQ+CKYlDzMrWEuJgJdF/ +TRaOFYqvfbQoYgQ94+virvvFcABpjqLmF/E1lCR6j68sJ190eFmZe4/r5pcU +51EpK/HQEDe0c+PD6Me+ol0nGlIUM77k8EPwVS6UL9438XQnZQ11HwHTeQWT +dvm6vKLMh9LAVpGJG4XbZD7QgZLDbpRjfKKCl5Q1dGY4kyRf2eeSNVcQroN0 +ji+drG45pAYfzb4FK7InYd9ugdjhaIiRoris/PEldNJcFmIyS/RDGAmJUuWQ +jWY51dxrX6qzsb1b3yhfo2Pxtdj34QT5quDxpaTg0FSEbZXmafRSZqulhuO6 +ohddEse90oX4CZPoZygLxzoqikP4EodTft70MDWXhTj/5+LCJxOGkEQ/Tlmk +5iq+1iu+Dmu+sDFK8wYX5w3xkLKLoHOjK7+Q6EOz6d8vRNxwJxWWqPvf8GUd +AfusQHw18makVFFnYJ+mBiWKr3vfoARDKht9WfCSmuE08tug7Fqg+lxS0OBp +4b4QuJCN7IvsjI2YUhQ9xGQhlnJKa4m6CkNuL1WOkeRt827leFVJiM2NDqbF +l85DAnzZh1Mak4mUD99QfRYnX3opg3xFW0pyIQ5np42MpYx6UIW7OB7DDvkm +kxBHKol+Hlk41lK7BLtfquQry+PrvMnXr6uFOP/XIvA1+ETCyGMJX2q+MiKL +g3whyVfmKK+5LMX5iWrsxjBL3AjvLNvHUoKLYoqH8SQV8fEl+ybfBBclWBfr +O27C+aqlruFIkuIhGWxE8fUmKYc9SNkYoGRDGbxkZijLLml/WqXUwjC4sIgE +162A60TG7fsj2wix3YTYAazOjsTU7QHEZF9MujuWlvezRCuETTRSRK8E0xKH +MZuoQyhfbaw6OngzkeRrmqEDc5+APMfnLaXFl72UXgtMnbLUEiJ3a3sujlLe +aT7vQrc4SjDp4ngYO6R0IX6mXPTTRL5xOzJ5YZHKu0XylZMnriiy+VLN5T8E +X0VOJn5OEv2XRxMm5URmBPjai01y62Pi8JfkSza/5MkvUzz0xQ37WEoBOstM +3LDEQ3tRTL42O/laH7Io06IcAdNHmOPgC/teZSUePkW2w4fbK+XwI0rgB7Lg +JWUNnRlKTeNbkqQ2O+D6jw9XlgcXlpIu7Y2GmJI7PHfHyrJHvUJMaYkshGmV +44p/K6IebC4letVi7hHM862ltEw4I+JfSs9HGmsptYVDT3vgEmLQLaDdbtFK +MLPLXEq6ONqTS0pLiKiap1ILbAlZOLAT7qEjlsgrGF80fEOaD9dGfiXx8O+F +RU4lDiIJcSy1mGeQhd7na4/kSxyu9M0bsvmFPRAboOc8pKXxnRu6s+y8bTms ++DLEDdMR6onzqrlcgEWx+FJ9k1hHwAKbHj/doK8ZfU41v0opceOBjiw57EdW +Xh68vmaZodQ01qs+l1lz+XAdk3ClF8I6njpy56HIRiB2wIWYThSxGYrWszJQ +8SxRCh0yhMkr6SdQ+5JSRE+lNySOkNnmDr6im+cn39hS7jT50i1mS0IMShxW +l1m73WKWYE9JF0cXykCkC1FL9LLFvJksvk6+rmwV5ijZ/PpzSeG/F4CvgcSX +bIFNV3ytBF/7Pb7E8I0NHl9iMsD8lFOzyTmvnYfY+vixFHfxxTpf7aJ0/HXH +JCgeUvNLm6P4oiQVYNP7JnBExdJ1ewZ13VoOvmqqvL28dK/RAb37OunkkJSN +oeSxkcFrutIMF6uyax3Zn2QTWaqFVlpowXX68F2HI+sIsc2E2A43YtR6lgYq +odgbWaIOYd/rWxHH0yY5SjsE9GzY+PmKpgM7t8rjcfPFLYirWAtsLutmBtUq +y0jvVdPkFmhrnWU2SzB5UEW4OKRLSkqIUqKXLeZNxNdhi6+9ii/ZXCbx8J/5 +4OszKdErC8fsjMgimy86nAK+sBNqcX76c+e181CsS/Tii3e+olTEbnGDifPU +/FLmQ3l4WZvWYvLl7Es6LL4BvuzTecxao6/xFdeMtiVlXieHg8gAYAUvmRmu +UprG1rxbpENDSvHR4Tp78O4jkW+jIUZyh3Z3EGJeISauvKkgr6Q/ZYUwmSJK +ld6bvRy4OyBs/Jc2uTWPae7lfczwrdIspRVfzMKhJHotIfJq2ijBYq1mWAkm +Dqp0yrynK0kc0oU4QUn0K8nCsYv4yjb5+hF8bSG+1pA4v7jwP/OKnkocQBLi +aGoxu/hKPCIPf2EnXE7NZWyAM6ucE85DSi0850ag+DJsh/F0vvRxcnVsWYob +uuPvN7+W5dTU5nkaDhCVL55UzAnpSw41k/YPrQGVDr4y+Ok8746A9t6MZeGu +6U/KxkjK3nXwmq8yQ1l2bSEzgHRoRIfrDMF17sA9SN2jIOYpiuTuMLQOlSXy +ECYvvpGzK/Vs8yF8/Jc1Xs95QjYwvtLRZ3H2MW+Er9WmRK+qaT8b8UuwcrZh +2zAiWmct5Y1FrAR7tAO5OKTEISVEKdGvoIXbSUdUnHxdW//IdfC1XIiH/84t +ejqxP0mIo44ljCcLxyyTr307BF+eeUM2vzzxkJyH0rlhdJatzlf4mUp38eVn +7EpxssR5Zo7SwwFi8bU8vqQ9Rl/Sebq8uSceenfcyAFE8prsoXRN23gVvOaS +VWOZygylprFb2Z/SpBQfBa7995zfd29aZDkhtiYEsYM+YkpO1FmiFDpkCBMX +t6mLsyeRiqhKMCZxFOTuNqdJ2zYfxseXsAps9fmq6OBrkZnt693SYcjhhoHw +Gx9qWSVYO+Xi6E9JyDgl0S+nknkHVcpZYoTvrd9pvnaALzJvrI4IcX5hkX/n +gK++JCGOpBaY5GthmsfXduLLM89jD8QGKMR5JR5q54buLOvOV0GU+fDiS2fs +umOiTuR55kMHX7tD+GJJu+77O482GNMb4rwDUfYlH9B3tH2q7uAYS93/aSx4 +rVSZ4TYlGEpvYabuc4XDdWHPfemRpSZiG1yICY8i1zrk4JSVSujwQlgV7+6b +mHePxsVXXH1M6ROwU30XXwfUEcvtvoXDV6u4hMhX02toOgWrWEYpaUSUXTBR +gr1NW2Xf7LuGksQxNacQ0vtltDdup3ye+LqF8yXNUb+uFuL83+Br9mOni3xK +EuIIaoFNIQuiyVdhwRfSDGouS3GenIfKuTHcLL68jkl0W1Qfx0XzfufL2vFm +nvQUJ1J0ZXO55soseTiF8XXQb/oH+DJ8NTEsUsGMItbRIXHsq3CbzHv1Hb79 +1B2I48iwQcFLVMfLSTPUmaEUDA24sk24DgGue87tv1fC9cPu+5FdhCPmKYq7 +PMQ8rWPDU16WiBUUQocXwk6ru0dDrg9w+dxsKZhJVbHNG9PNVH+hl4roUrqA +fC3gqxkowewzs+WjpYjaKFVFGRGfoBJMdJmlxDGGJEQp0a+lvfEArRrxVUjx +JcyHorm8isT5BUX+mfnEmaKfKAlRtJgVXysUX/u3g69iGcK8UcYT54V4SOKG +PJaii69+jb3lkMp8lGm9rrPkRrvEIW54ipNqLmvzoYMvLTptCPDlKIqNKb7u +6ShOvmqYTRPhW5O+mo/VHfRywLIOXktJfZKaocwMpWCYYTo0XHDdJ+FChp8Z +mU+ILQlJFKW7Y/+uhENI7KXWwbLEPHm3lAxhSuVQ19/UtK+XisYXW9BWwfHL +gcmitk/ALqVNvrY4+bKykYWGi8MowcKuxYkrRZRGxNaZEVmC9SYXB7bKiSQh +LqYW2FbK6jMkX+kBvkic/2d+0X++efLMY31OJQ4gCXFsXmQSWXwXaL52g68i +qZvIvIGlIXFeiofSuSEyCq/z1dCYNq+v+ipAcsjlXKe4YSq6jK8jFUL5Wuv7 +QnebKxLaYuZHh/wB5qopiXSiXpjvWl/QNlAlh5OoLp5jBq+tXmboaRrZ3mX0 +UeHaJeC6tP3BrMjcKIip1vOe3RIxVYjJLNETOlgImx39+tEwtSr23aN2c5mZ +N0JLaQdf23aRhd6VjdBq+i4Oo6CWCUkwRTRUxMClKnWa+CkiEv7CbakE+4h2 +yxEkcXxNLvrV1GJGYp9OVzxovi7tEObeX9Y+Kppfiwv/O6/oPzOKnXn8Y5I4 +hlILzOJrx+6EA9uLCvPhWiXOC/Gw6rmp1S8goxhLxZdMJwxlPv7k0FbmzeLL +a/erHY8yCp2xa76Sw/hyFMWW6ORuMaujQ97RPHb0NciXHo1iTy+X05XlBYiy +8lqlZA2dGaYpwRBwHVMODVVzOeC6vO0husY3CmJbsCvSrb57pZzoFWJ0ty+S +EHH9jbp+dIF/g4B1Q0fgnJGTr3gmqPDm8gyjlGY+7Sh8aQui5isocegSzOuC +BVJEa+xD2KUPPEV8plXm420y75clWD9ycUiJYz5V0MhA9gq+hBjl87X9wZ83 +PZy/VjS/hHg4p+g/04qffaLX6cR+JxMGUwtsYk7ka8XXBskXcgzsfqL5Ve6Y +Fg+lc8MvvvReZyWHMZVDY7tj6bpffOkV8Xc8xVdGVXW4ssKWOPniFg73FKnS +9gBz0fQ3+fI6Jupcnhzt9aA2rfVnyeF0dQE9XeB7q5Q1dGaoy65jWbcCru8M +QSMA19aHrmx5GAtEiM0JQ+yARCxBIkaFmMoStdAhQ9hC7xyEf0OitpIGC2rN +13sBvozxy8EJKgGp6mUtVS3NjYsvWU3bq2mVYF5CEkwRQ2zb1r2Wfoqozqog +538EaypLsCFK4phHEv1GajGnMb4ueHyJ5rIQ54W48fjfU546++RHp4t8ShLi +qKMJE4iv+Yyvg0gwUCBT80uIh3Mqe84NpOuj1V4na2GZHIa1lZ0HGRzJoU7X +jeJLi4d2xl55g8VXlKbJooBvzZ7CYTYlue9a7nVCnw/ITbLjf782Xctz5dJU +I29nk8FrPckaO/3M0IKr0KlUr4ms4LrfggvbY25kepyIKa3jkPB1PJZGWmIW +3YDjhzBSOc6656jUd+Qkkq8uiq/o45cd4rxbqtKtTM2XHAWmjoDx1bQlDp2Q +WCo9VxH7BduawbLaTBHLUoqY2F6VYINow5ysJMQN1GImC/3NJzhfG6m5vCxR +iht/TypxtlhPkjgGnUgYeTThq5zIDM0XMvldCQeFOapElhTnpbghnBtUfI2s +QwOjpDIfWAhnIzLkFgBTmffSCSqHVbtEihvBHa/yhlQnX7Jp4hKdTFHXNSVA +roWe7qXKYS+XUPkh58s7NMSvBhivrgZYwILXduomy8xQlV23Hs+8HXsgg+ue +YOT6ieC6uuGRvMiUAGILGWLfHo6sPxjZdCCydT8p9lSIHd5eWGSJm4p5Qoe6 +BMe+ZGp8wO0mLRwGX+p6jje6h989ap+stH1uWqrSUrCTL52N0GoyCdEuwZwp +otFolpdrs8kPb2qVg3kRX9ApYguRIj7WJvO+zpTzD6A1nUgLit1yHe2Th+mI +CvZGzdfVjaK5/MeyxH/mF/nn6yf/nlDy3FPdSeL47ISQ6Mfn2nwdwrogr1hV +WoiHUtzAXofia0ztK8NpIUQioZND1VbWnsO3nNM29Fr4bWUzOfTTCVvcCGYU +4XzFEHVdvjVvgLlqMXt3ZOuF0HzRRpeiNrrCzBEq5NzR5tVs8lz5ljyx6e1X +maHWNADXSQ3XAa/P5YTr2vpHUSMTYtPiQUxpHQd0lkiX4MhLSP1LtPUcZu3W +9rfNpiZfellVQe28G9EpHr7KdktzNTVfaYyvgyZfWqLXEoeZkPgpIlcRDS+i +01mqG2HKi8hVxCdRVr9JRsS+VIKNp4RkEZ1S2U6NS4+vw3ed13ytjvyxVDij +/ple7K/xT58r8cGZx3ufFhLi8GMJ47A3Kr42okxGgbzlCWp+lTm6hMQNXnwN +I2XeSw79trKYtqEPpMSlbEgjDdXCfnKoiy8lN72wJE+K89aOF8KX2TQRFimr +BWb71soH7hjVFinOV32br6daZyZYJxrGBq6el8FrLy0KywxJMEy9A3CJO9pi +wfXLWvD1FcpkhthMJ2KHBGJSThSFGPIQL0skoUPf07GE3YOjTxv5hhzl1g7j +K9D8cl7rYPtwDClYtzIDfLlW05I4+IbJVERqa6qTfdq5basclp2eOXNqMBUx +sQOV1X2oBPsy547plJCsJon+IFl8j2fcflrzteGRX1cLcf7fuUX/mVr8r7HP +nCvZ9eyTQuL4LmGY4mue5AvZBfY95O1CnCfxELnE17L4ItuhUOZfEffAGipT +QNlwjChnyobRVla1sNzuePElxQ1DPGQrEpUvW9R1+dYcA8xVi1lvdE6+yvBe +CT+RJ08MyXuj1qngdYC6yVms7DqZdsdpocYLuL4PwrXZgAu5/bGEL4+FIsZr +sbWy76wKMcoSi9A9HcV1CDvGR8WahlJleFN89TT5kndnt+sVdnebOUGFi4es ++WVKwQZf5mrKFpiUEGVBrRMSZ4pIsrDvLNWdTXa4L3A1GFM5tBdRpoj3dqGV +HUgl2BSSOFaSRL+fknxkIAZfq0icn/3Y35Of+mv0s98/8x5KsNOF+55MGIq1 +w5JxvnYkHkHS/u2zwtm7sOLJ2ZVF8SVthyPM5FB6Drmy4ThNad8vr5QN3lbW +U8pZcijSdVs89MwbITueoylJfJlHX0MGZZsSvWgxM62pEeMrmQtN3G79lete +7L2UsWf4mSFpGjHgekTAtQ5wRZDY032IYwix8YTYZBdiS7F8qZHVhwViUuvY +obNEKXS4rurQ01TUafSXbb5k2v/uO6qmVjk/naw0nb1jAhNUTPHQzdd6524p +W8xMQjRKMJ0izjIbzVrlENsmO3zkFuotcVhunq+LzbOYTBG7U2aCEmwSbZvL +lUSPPP9YgC8hzs96HMXXnyNLff/sO+eKdz9T5BOS6MdivbIEXyuRwGNRdhQW +zWXsdVLcUMWXmRw2tUvgYIvfHbyUJ4opG47kUKcTnrjBml9iRTZG4Stm02RK +iMThSYhJqe+jFpZaUzhfIkvvlOlNRNHHhb5RysZakg1l8EqjjELOLZeahlDj +NVy73XDlK7h+W5lwImEUzfuyEJsRROyIQEzKiaIQoyxRdJyNq7TVVaSzK3vT +9rzMvy5Ne1CZv8HXux5fhjgfn7jxSkAKrmWtpsHXfp8vIdFzwYqXYDJFnB1I +Eceqw31cqI8SwrRQ76kc1HwpKVPErrS4w2jnnEkSx3o6xZxBFnpskpKva+sf +wRr9vbDIP988ieLrz6FlzpfuLEqwx/qcTPwce2NuZCr4ShV8bcaKIKPY+JQQ +D6W4IYsvbHE6ORQSrlI2xGnK8BsqA/PxjOBlKBt2ckjFlyFuiOaXXJGU2HxF +aZqESRzSRa8lernLtaYsQghNJl9PtM588K1M6QW9U3pBp6iJyvrSeXlpr5Q1 +vMyQyq6zB+8RV0ftdcP1yzpxja+G6/fliSiTJWLHPcQmREVsjdQ6RCGWsGt3 +wn4U1GKapXVbR7LX1qQSTEiIuq1p80WL+3ZXL+33xcPP8rW40cQau2GJG/OY +FBwfX6oFtpkl/HJBeYroy8JK5XCGsNBeMw9h8sQlNcJKtRSN5vtkivg5Le4M +kjjW0p6JDROpiOBrn+Tr0d9WJAhxfnoxFF9/fF72fJm3UIKdebz3qcRBWLU8 +j69VByNb9iTsE82vEp64IYuvSTUufimTQ/3+VdvrvwxetBY8l6DkEMvB0wkm +blRV5sOKm2VH0uJLNv03BDJ2R1PSdnEoiUNKiNQC60C9EpGlE191FV94/0+0 +yby/M4mH8izDeP/GDf9Gm4Nkhcohx9pxr+wSgiHgOh+Ea2MArhUCrj+WFkYa +T4iNJMTGMsSmBhBbhn2SaR0ySzwoQ9hGNnBvMTWaZQk2kdlynHy9w5tf/O62 +2MWXWwqWVgGejTC+pEVqBxOEWQm2axlLEfWahoUwWYXxW+xtR70MYVqob5ZR +WelXj8oUcQApw9g851Pav532TMFX6p3IQBRfJM5PLf7n6Gd/H1DuQrk3RAn2 +RC+S6EeDr0ziC0kFMnYsBBIJFMKLvOJLKPNecljP64/0aeF7NozgFRx+4qy8 +TFme9jrKJYzk0Egn/OaXm6/oGfvCkKYkd3H4Ekdy6ruyBSYtiC85+PL2t4/U +WbwJ5jhK68b5YzIzVGWXhOtiGFyrJVyJvy8TcIl5eomfn0wYohAbTYiNC0Fs +MSEm5USdJe4TQkfRNH1hhxx4Tp0Xsb5eZzPIFyUn7yu+kJm0I3HeFzccxVfA +h6N8blarxcpGTL52K75YQmKkiLzRHDOEuW/xCAlh1ZTKUaQdpYifZt81nLpg +c3JvXykkxFsOkYUDu6XmC9vgP/OK/j35qT9HlP790woXKrRHCSYkjsQBxNcU +LA2q4wORbbsSDm55QoiH2OJk8TVVJYeiPyKVDRa8PnCZPwNzNgzZMDR48eSQ +LYdOJ7R5w7tRNMiXO2Nf4vKt2QfB5EFmKXFgCbREL+2gSNE5XyQxCXFed/kn +sVko+jobeR3AUS8zFPZdUuMdcCGHD4PrLzGPaKBCbBgQO2EgNslEbAEQk3Li +4chamSV6F2onHqGZRWommDp2NEOJwyPFEgvxivMl2i5k3niLiYdG8cUu9jWK +r2+M4itGNuLgiyX83oJKzUqliGLP5CoHD2HcLmX3wsxT6r6QqEKYFOqlyvFg +Z0oRUV+Po+J6GS3uAWqBfQe+9vt8/Tu36N8TS/wxtMxvvZJ+qNhGlGDFe5xO +7I/EXvKFvH1/ZDs2us3FMrDFLSt/Yh4VX5NVcojid0ADaj5KWZ4FL6PnZRk2 +jJ6Xo/LyghdTNvzlMNIJITcpvo4E+XJl7KuYxLEgpAvGr8n+hEkcnoRYJ63F +S+lNVQks+Xq2VWbRtiTO96GXrw/iLWWzKI+QkJuXc4scV+6VXULTuP/irjC4 +En5FwbUi8Y9lYlLKX4vFsK9/FhTBMiHTOJk4SCE2ghAbQ4h95UJMKPakdXhZ +ohQ6+J0d+lj616iva/hbqM9XG1Fce3xJcV6JG3bxZZ5Al2tanxdfStyQ4mFM +vir4fAVLMK4iapXDEcL8XpinX9mORGXn0C44GcJqkVBfgSymiW9k3vMhpYhf +UBdsEUkcWFys7In0QtgtL+1QfM157O/xT/8xqOyvH1a8mNLifNk3zz314enC +fRVfC5BR7Ivs2Jl4BFscUnRRfFU+M/258zI5HKqUDSHLs8or6Pw03IbanIYU +Xbt5g8HrGxa8WHJIy2GmE9QuSZZ80Yk8ky+esVsVseVbs6Y3+CVY6dTuwiVF +EiLx1fJFwderanPz+Gqn9KWhtLlNZ4Ma+F02x7JulZmhLLsu7LlPwLWDw0VN +LoJLqhkeXIsIrvlF/p1XFMt0OrEfEDslEBv8nSjHRpDiMYbuSbQQm0sXui0h +reNbmnmOLFEIHSqEqbFgSd9hC6WTEeDr0mipX1l8kTlKi4fI/L3iy+h8mcq8 +24djiBt2tq/52mrytX2rUYJ5OYmWrZwhbJLh+PUcib5WHzh3KQ6tvJDWnAmJ +NUioL01V9r3v0SoPJ5V+Hrk4dpFEj4IaG+aPkq9lif/OfuyvL5/5vX/56++m +XKzc7EL5judKdiO+RlL9tRDpxL6EXduLpG4okbWyzFFsbjo5HFlHZeZNvTdv +B6+wsQxa1tApOu95BYOXUja85NBcDi03JW8K8OVXxFvYcqwO+NbmhM/i0F2w +/5+1qwyv8lrW/4pr21PbO7hbICFCcCst7k5xiru7W3B3dwjuLhFItiTZwYN7 +gVCK9d+dmSXf+mwnnHOfZ/+8zz3lm7zzysxaa2gQWrA+JNExoqcRc+PG7noK +vvJ3oS3rcRRuLCHxsFNclHdZXDQELlgoQ9owvEKjrvN45EQHrkOOvyW49jBw +5cc8CsC1pQAU7l6BMan5xxLEJpJWZBCbJXN7WvCQENtIF8buoDdx9guVeE7/ +bIc4ORvG5y9LEF+vVHyBvx7aNQ1aKBt+sXBDmK+vSub15sug9nX4uipWENWG +aZCI1DO1lGO7NmvGe9vYLMwm6LDYmDIvwqELExRWooP3l55edNmgUhaTBdhP +EYcrBW+hh5757MJ/3hz/GfG1sdCn+aXfjw1+2z38WZWmT8CClRpwL/9YwBfU +xePYCVoCSnC2oAs+PpqvsLsgDpdXf7qg9kuWbFiQVx+LTN64DTXrpS7WENu8 +upkXkVd9SV6qOFTbXUbxJSJE49Bkm51EFCk9m4IxC9Y3wtWrGo/oW8GXJ3zV +oM6G+OrqzTsoMY86f9wjTpHLh2ww1iBlKDONZ+fxOmVcf1LBdUCCiwwXB1cB +AlehL+sL3ys08l7B0VAsIrIJih3joaJY8FAhtlXEiTgRi3OcjnZcYBQmbgZD +ibgjVBdhMZXC8dUJ368cSMMvHm6o5staHJqWtLdmRO1/Lb72aykHd2HquhS7 +NyAjKtEQdHCjrVIYGO0/qJFOIIm4NhmrDC30GkWI967lBjXyWuJrXpn3Iyu+ +6RjxvFqDJxU7PSzdX+BrNZjiq44Tl53R8PFBPEBnA2VO4hBlwzQ1VpKy/E/7 +M63qORRNGepjDWXmZSYv0BJ1gLyUcsh2J/FVgeMrw444AxIRF30TRjALBvoB +LDB8eRIPTRu5f1PwlQ/wpYa3W8QRoYviFi9GXlwZ0kNs0OtenMW3eiW4RA6v +SzMQXFsLgJ6HkgG4vqwter/IcA4xIDIOMdWOqbm9BjEvQmwXGbFDhpdx2MsC +eHiWbi5aWwX3c+ar+GpL+OrGw3kWbnRiky81mTe86mtKDn83qn1uvoTat8cX +b5iqBdNLRAOFaRu/ZpXIz61YjcO4Sqxh3CVgQSKjsJ/+pC0dECorknNsJwsW +QxEiFBfK+vrEz+i/Nhb6GFn27+EVX7eNeF7jtychHR6W7ncv/xjQGD7HGtAS +YIQvOuNAPID52lXx9qaI+yurPVlY68Wsuq9xZ8NAXnJnZlB6ylBmhmZlKFW6 +6ryUXqeUQ7Q7khN+8aWW47B+aLIjAykieyMAp2DMgrEIEcQ5KYeaLT3hlB/m +A+c7lPbT5iv7n6fJ/LoMr7Ax20WB4cvTP/510gguXZpBhgvA9WVD4S/rinxZ +U/TzqmL3iw0FiN0vPOJeoVF6rcjsmEw8VIitS3JsopcTwYhxlRiNQUeMdnkR +Xf6wNTx1XRUUKvNrv5zx6xuGr9FtsdBgAaCLYrghzRdL5rXk0Hqs3NAyCjar +fXLTbNoi8SUEicGCZYTCVJVoyhK12wMMR8P4OEwcRwKv3VholXBGYV2ol04h +ow1CBQp9KSVzcso3dxJyQmX/OvnTP1GEr7ll/x4W8rp15Rc16j0JbU/4Av6K +hFpAlwMXfMEZj+ar/I3toSgOl5FmAE3OM6W2XJOzTN6oDC0PeSl78qTP9cqQ +kddmXWyI5EW9TmoJpRxKu9PjKz1HvM8mRdQNmkWKiCl9BWHBoLNRhNgc2lpT +dy2Jr+5ePCI0lb75Okrmj9DOhrgiL8std47UeK4MWWBI4PoJ00IBLi0qNGhC +ANfaIl9WF/u8svjn5SUeFB/8ACBWdBhBTNWKzI5NFYmHJcTY4/UHruHjAmeu +OC+x80dMIu4N4is6K8AI1EIjYMRX73d/9keJwsyXJg4NB5Ztkg1LcWhw02En +4k344g0TL+LQJKKhpgrELhtUImWJTJ/YGzE5cdafWJfjzjq0zsEo7Mc+NAib +m4QSca8v61nakoISP4r+Dqz0+ygnVA3xNVSHL+iEIC2SHBvg+0NzO5c/AczX +3qCbJA4fL675YraevLSBfl9jZth6bEZsl/C/a1P1ypA1OoW89jLy0sQha3eV +WLvT5IQu0bVR7Afs92oMKcd0liKWjWcSsR90NhDnFHG0gG9OzrcSw1cPL05G +piflWkK2l51vvSSeiELySsgpleHz8z+8PKMHl0wzpOHSNCHS1pdVxT6vKP55 +WYnPS0o+KDnwQYlBD4oPQYghkQHERum1orRjcxWIrabHEzfT5ZZ7SSWeoPPp +/P4iWuEGC4YRB2kVga8WuPwGtQYXABa71wBuvrg4ZP2TjZUtDnwpyYYmDknt +RxnVPnfTRnzJgkoLJjWJSmG8rCaVqBoxGdfL05eWFwj0M2yciqCjJpU7ECgM +7MAwKjd47R2+bCfIgkGVH8TkhcpCq4TaoT404CvfOCgHdDn4+CDOoa0dKusD +cQgffAUKBkFeLWhho5NeGSrb1BZ7huoJSgvbpVOGOvJC56VLNgzlsMdXRhyx +HYXJlEOTiMEJA8K5BetQz92ygbsR9LQWngjSDIivkYm4/Ckn++zwglfc34Wx +BipDyjTO/PiKjpzowYWGS9OEm4QmXF2U0dbnpSU/LS71aWHph6X6Pyw5gCA2 ++L5GZACx0XqtKCE2Xw+xLWTEoq45jsY6TkOh6Ygf29LBoBi8wCryAogvKjf2 +0i4UHvYl86WJQxT/2D/lbTYZJi8Uh5r5MrhpM75kxME0iayppDC1rGqWqMb1 +hqxDPeCsXePGljqY3TZNZKq18oS09ZQECuubmGc8zTpBIh72ZY2miANa6POz +P7w76ADh8WleGZ0+LNUfut8N56JEx1YQD9DWoKftD7wO4hAM75Kaz+fUQfLC +2LA1TvNZrNFPKMOuFkft/E67DLbLoAxRpRucl05LaOXQtbs4i3LYOeJ0KIzv +SlHKARaYS0RmwX51taaIvm4LT+XW2NDy9/Rqnncb3Y/HDrcm0+Gguwm57sfl +Ze9rsDRegktGhcJwkSYUUYZKW58Wlfq0oDRU7WGZvtAMH5YaoBDZUBORkVbk +dmwOgxjNxVaLJ+x3syfs5RVG7AqI3RVvb650b3XVx4sAX3URX+NaIr7ACAzo +heFGDxKH7Zk4nKSQ13yL08pW5CXXNmwKSm7aAl8YcTDNz3qmnsK0oMNoxERc +bwkxQ5w4Rl4tq56rZVkiU4lU8fLtvIWAwoZTR11NcuU8WTCwAM8u/CftsAOa +JBQL8w2Gr5AOUC+QFtedS0E/xDlOgfk6VjIJxCF8bVALC2q/RPJqRF+7HZ5D +YW4X0yRxD4PVJclW4DJnGhJcLDNUlCGPDfXkxcWhKIc1vrRynPn/oLCR5bhE +7FmdLNjv7qZge0GTw9dm+BqdlHsOfW021r/EL+9il+PlVh+XN4BLM1w8yijE +oww9bX2aX+ZjZJmPc8o+Ktv7YZk+hLL+iDIisgcWRMa1ogliayhO3E5Z4mFG +YfKU354glhU/XlQT8TWJ8AXtFLQKlBvNlxCH2EUtyMs6NjSTF0VVBrWvpVV6 +fGkRB9ckWk1Z29SrRMyv/nuIidvR+USM7Z2K0Pj3pp6aLT1hbT2lO3h/7peY +ZyINwqCjnvRlcaXglhTo/7dHf4FWCf3w/YiKr9uw/LDjgxIDQVSkOFaA/41x +nIOGBl8b1AK43aU1nnHyaiZGId34OSD1EiGLG/6/GlzY5QzKUCUv1BJCHFqV +I85UDkO7M1OYTZDIT4SxoB53pWjQjBIRPjjIcmho8LVBMLT2VGjvLQD4GpOU +O5LPRHAtjZ1svUHk9SA2LylDzDRen8D1Jw4ukWbI2bEf2gK//HF2uY8zyz0K +7Pmo3J8cZUhk/TUiKyaJjEIPWvNgiYcCsRXJ/BVF9ooHUhi7xYjNOreFpYJi +Wczw1Qi99oiO2E7RfA1EodKRjZWh0KrzMtxzqC7hcEFiEVWpBWVq36agqubX +11RTibJzfhXELG7CUW9fqYwdtUttPvdsTE0VVGJwO2+RLkRhs5NyrkvODool +lizYo+jvoMSoRpaUfD8qmOfzFTtBDwTFDs0twXEAGtqpop795W9sDU9dVe3x +glpIXhMb46dmynCg2JMxHgLyDy4/shDARYeUtczQpAxlr8tAOS7rI470Ql27 +WVhcpKCwcfDNwfyCbACJCA0NLBgIhmaeGvCpwfACvsYm5Z6fnHMDzfTP0s6G +evMke3ntLwVcGBVKw8U0oeq2jMgq+3FWuQ8zAj9MK/+4fPdHgT0eBfYSKOur +Q1kxlnuI9J5rRbBjM+gVYAaxlfTQ9lbopeC1Y/Eg0hW+q0Nx8TrE13OOr9YY +HoIXgHbaYxCJw9FsJ0qSF828dJfMp8oo2G7OokZVUhwyN20oaBDHF9ckVhTG +yqoaMTPEpBfTxx26y6ZmmCEW5lJXd1rQajf33R28zj6JeSYl5VqanGO3LxtI +RJ8vMxgBkCh4+fzyEu/HEL6q//6k4h/Q+m47Z4M4B9sL3QzE4e7gWxsiHgB5 +za77mue0MkfqrZuDWIPLJtDIKLiEMmS1YL2Or20Icei3HOZ2Zwh1/RwgYusc +i5UgcSJNSYYGJ/SPcPUC21vX1Ro8b1N3LTC87byFAV/j6PKTzT5sZReVY60P +YjAzfHEWb3Z9YwaXHG9x2jIIwrIgCAWyAj9MLf9hcoXHQV0fV+hGKOtpQhmZ +MiYXBZEJrTiZXnmbTbc0L6GHcjbIi5qjHRcuOK+B4wYLxkQLOO6Zv76BpgqK +BYo+sBdWHIxAp5F68mL32FjdA2CYs2g11TdMfUHjWUHp/o2rtIIYq+BL9sxz ++raJZU0PYoZE0bw9pbusQ71DjEGMRjPtmBGj0QyoxBIdKUgEX7AxOftxXxZ3 +yjdQcXABeARsVbF/xgW9aYf4ehzcBTreTec8r2MrmK9zBVwHy6ZsD7sLPhec +19R6GGswZciGIGyDmh8Pt3z4Sd2NV0/9YxTPYyVrWSjApTY6M3mBOAw/QeGG +v3JctKewDKpEFnTMYi6sbAJSWJirN0hE+NT13U2glcF3BqkA+BovpPgxvJkc +kw1+7eRlupacnq1JE+BC/bCdckJOWzTbQkGos1qArI+ArOkcWf9MqvDPhKAn +wZ0fB3WxQlkfDWVa7iGIjGlFDBVni7eA2VuKu+LxLNLpS84Ytq6zq+LtDZUf +cHw1wax4aNc0KDqIQ6g4kFcrzXlRrGF+/lV/MNag9mvonZdO7VsXlHtq0TMv +6INEO4ipcYca2svR8zoxejbuAOtf+uAQq4IQ+4OsdzOyBtWZdOlFLmx5co59 +vqxgCm55sj+9+P27gw4o6z8TKrxpD/iqD5WCRnfDucjt2B3tuAhWd2/QzY1E +XrOECB/ZUb8ho4JLOZ5gubtrHCJTFK+khXbgMihDI3lhuJFOu7NS7BfsVKL5 +vYClIbEL8d6AqzMoSAQKG1IxoR90M5CI0MpAjbfwVGrnKd7DiztpoBN2Kgtp +eKY15tun8s3QwwJcO/N92Y6aUKMtVRDaIwv64fuxwSDmQW+khzKQiwM0uciI +LN84gtg0ATH2nP0Wl2MvUpjzEk08k/YE36LSP5/x61voq6PaY9379kG58scI +yocZedG2IVeGdA5Fv2p4S5cD7/WZGqZH2xNQC3rKEl82NbWFmIw7WH2lSkk/ +8ZCHMeVjH2XJekuI0QIPS7eqkEr8aTBRGEiXMylZwBQ8jP4WXAC46Q+Ty7/p +gPuHj8v3gI8PzjfBcRDE4ZHSyTtCkbzm1X415XdUhpjQdkGRIMGlnU0wPEkz +X79baDZcYoisRfEmWWgEl6iFRl5KOYKty2Fod35CJ3sjxrNEDDqCrk5DCosf +USFhYLjrTxDkYHgbuuvDR27rKdndm3cCNbE9vmznSBzeZHe6XgZlyB+U/xvA +tSeApxmbKcoAt7WiOBeEzGqZkTVRQ9b70cHvR1V8Etr+SUiHJyEdEWjBf4D2 +0FBWvocOZcyUsTEZEFnBUfTW20RauZ+tPJezg47TnrnovHaieOK+Cjc3VboP ++ALTPb4Z9lVwBCgOh2JEzGPDmaQMZWa4ynDIS2wI2AxZLNSI34LKnmmiMDPE +jmsQ01Y7VK1omj5b7FBFmi4TGyoGNBxipF7qQncFldjFm3ccVf+AL2tCSqbU +a7nBC0CVoYhvOlV6Xq3ho3K9oLOB573qOHG2oCuq/A3oYOBwp1MHA4WACrwn +7mn0HOTn4I/xvKSkLRyFbDDQltSEWAJNP5hkYTUVXCp5CS0B5ivo9FX7dmep +2M2hkxliqhFjKnEyzcKGhiT0rerqBhIRLBjohDaeMl29eUEkrEzOEeXLehl9 +bqY7CXhg/Jl4sIYeXCNwbaWVJ9CEjLaY1WIhhvRZUwSyxhOyxhCyRlZ8P6Li +38MqPg1r+zSsnQ5lFQFlRGcVAGjdHyPKenKUlZYoQyLDfSrUihPouQH5ouIm +t2PPVcfxy87oU0U8+wOvb650D6TLtHpvx7XAcANEC4jDzsOJvCa9xV7KMkP5 +MKXu+ppb/ieYmhrJcEEFvlQKs4cYFdekFVUiU0IP2x2P+ab7joaxgKsyhxgY +8MZN3bXJgBfql5hnZlLOLb5sF1Iyg0QEC/Zxd76Ps8u97VzpedVGD8v0ue2c +4XVsA3F4rGTSjtA7K6s9mVPnNSjDMSw+ErN7BJfNqR/jSX91N0OLMjBQsqQt +WQU/4DL2unTKYdXutEL4h5iWdXAjFhdJKnEiCAZoZZVcvWq6OoEUhy/c2hPY +mfC1mnLauBQ8Rwnk9eTS9/jaNXvNEGUhGi4cb60RtMWsloas8oAskoKELE5Y +iKy/hwOyQv4eEoLnYcNbPw1vw1D2NLSdPZ2pKOOmDIms8AgckAWMl48C042X +eCNEjOP8WbLeW8MRX+C7wReAboHSdx8iyIspQ2a7lj8ygcticdRO6luQl2VB +9T0Ta2oDsRBriB02OzK/cnGlckNppFwzkJtyIWgQegLEfuUZV83WnqAO3p9H +JOYGg3DYlxWsN5hufKJofpm3XQFfjeHj33TOczmiLjjjoX2BvV1Q6yUow3Et +MdNg2SwDl2mr86XF7aDpCMIbKrL0tIVVUAuhgItqofQ6qdVt8BVt0+7OZBhi +ksVY1rGQskRQiePKJAwDCqvm6goSEXQ4+NyO3m8BX+t4iJTptjsHu+0EbNc7 +eooXX6sBwwWaEGhrWQkUhAZkTS6vSUE9Yf09lJA1KPTdwNB3A0KfRbR8Wgl+ +rfRAa09A66hzZ9KalfvzIaGMy8Uiw1ErCojRox7rwHrHOU6CRAR3sC0sFfAF +fwBj2mKi9ecAJC9sqqAMZ70i20WZBoFLvfXaMtAwhVQKuNIvqEVNCWIXTRBj ++sSOyA7ro0X/KFPlonZxX/lrE9iyXEUeI3cmFmtIGqYsqMQpSbnAhUWnZLp3 +LTeIFmih7HwliAdwuyAOTxbzgvNaVuMZau/mqL0Hs8HHYD74wDRDf1OQeC4Z +E6Qmq7Vjkg3/B2Rx2jIwl6iF2uv+y3an9jpegsOWq1MqxOhTgxGbEhg/GqQC +fOHarvYN3Q1besLB5AK+NiSjwwVxmEpH7fCpNfZUDQPXuiIYEi4pCVYLg/fZ +hKypmhTkDssIqxAGq3f9Q9/1DUvrE/ascrNnEc2fRbSwARowmqobGZ0JlJXp +g3KRiAwfHcg3TrxLtTzRsTnecfCy88rxEonwNwD+a3IDHHeCOOw+mGZeE9NA +GTaP1MAl3qNUznbpVrKT9WUV3dIELr8FlfiSNbUoq57IMoIyO8VohTL9yjed +ChwWxG14F/BiALHmnqpgxPom5lmQnPOILwsImFenfgKhktYrHOoFnzrJsQG+ +7YHA62urPJpT9zXYLvi2AC7wXN1ZcDSGNKF2GOGZ+nqaiqx01aAdsoy0ZQJX +uFIL2euwHGe+vt2dV+KO8+YB5V7TZdoSYrPgI4NUqJjQDz4vSET4tu29+SZQ +77qYgrfKP4z59sWZH6GD/bOPwLW5IGrC5SUwIQSrJZClpYJjSAdKWA3W2Opd +Pw6rtN5haX+GYb2qNIGW+KxyUwRa5eYK1lrpsKYCTdAZDqYRZX1RLoIjKzyC +Pf0G6oWdq411nAULtjPkzpKazyc2xkW4Pv2wtWJfhaY694UAl4jilSuh/NOW +UeebwKUrqMCX2jOVmioQUyrrF2Us+jj2lenHOtM7cWylB4kMtGJoQp+qOKxp +SxCrAkZsZGJuaLPXUjKBOwA7ALV7VqklGF5wuKcLu0EYLKz1EmzXqPYErn44 +Uuw4UixzThdnwPXvEhpOR5qCd5FgqD5LfH+Fs7QqGAohJbomJHS9zoSvMzEG +U+xHtJvS3cOmi1PYk2HrmReDVgZqAaQC6ARwYXVdbZu467TzFh2flGu7LxuY +rzsJOdk58fdRTnrEsCCOt8BtzS/9cU5ZDVnjLWD1bpCgqn5hCCuBqbSe4Wk9 +wkFsvO0WDn6Zfo2fVWlsjTUDqWlAIzoDlJUnlIFcBCIDrQgQc0674VyY5Nh4 +zXHkfL6E3cG3Ftd8AQIGxCFIF9w2hL46h8C1/CGbc+kfxb5u57YsaUtXUAVc +poIqmkSrqVpWtbJmlElfZqCz4zZJPjvhYj6nud6Esll8qydhWHBC/8quHqBk +AGItPBEdvL9MT8oFLuxufK4Pe/JBQaEKt52z4xyngbxWVH86pT7uabBIls0T +8STXVDGsN5xBWCtHWuZNDAtYWRKWTg1aIUuthQAX9TqhJSS+9O3OADHVi9mh +7LiGsovG+3CwoUWv4RC7OgN0QlDCgOquzg3dDUB+j03KvcuXzZvyzYPYvK9O +/0SX/OfHS/5XFgfaQqs1k5AFUhAIi3QgwooiC6Qqv5gCm4y/LpUwj6pe/3m1 +BvRriD8Nbk0E3JppGlJijaeOHTidVeiKirFsbyAy1IoFxtxxTqft7l2XndH7 +KtyENjumTVq/PnjmCDPDOS8RXCsegkoRtKU9upEOZ5mQpfNcenDpC6r2TAkx +e5RpXGYJNLXQ6Rq0vTbXUq0O1SLlmUHXJoNZACIDrVjT1bG+u3ELTyUwYiuS +c3hSvnl79Bd2/2GKY8W5/AkbI+6D7RrdFtPCXgNREyJtTbYadsi3PjdZ72Bw +nWBKBS3YSgcrrII1smQtBLhMWkLiywwxOyLLAJcZLtbGbrY2LGY5CEVQ4yAS +wl2967lbtvSEgjCI8mW9npQVyCvtsOPTrnzseVDMMWaVQ2RNqIAOaxSFgSyv +kPJPwdRbjikNUG/+qPSmE/wi3nSMwHlljd/wV/13+tWnX7pwQ6yhWWOkBowW +0gHpLAhRBnLxQfHBALHbzpnJjrVxjlPQaefVfjWiUxqQV8vx70C0NFv8BDoq +0tamO+oDDfywCT/goPosoxo0ixAbcKkFNdVUKau+spZASwdrIX6wpvNoe/RX +mMqjTGw2in6hXPzIign9q6FfaNrSEzYwMc8BH/wlfM/ux3Y7dkdVuBFZ5y9o +WQN6YRiLtDUJ/SxDVtOlxieqVRHIPrVRAaaHKfb9dSUwwUrlLF0t0imHsRam +Qpil+zm9Lzul+DIVZTuJyOALrwSIBV2dViZhKFBYU3fNwYm5wdiCKvjrxM8f +d+f7sr7w5yX4PA0hiwhrBMIKFWB/iSkFUAJNGqAITfhrH/GmXcRr+LWNeN0m +4kXNX/mvRj3x+80CdJLjGNwY4jSsAam1wcixYidEWbleD0oOvFdw9C1npNux +92jp5Jl13wzshcqwxayX0Feh9FB3eujwhnqXsnkfw8I1C+OcwWoq5MULaoSY +RmR+gIZVtuK1jMHtgpItG68gkGea6BVvoDPMlmcDysokDAPLwPrtlKRc15Oy +sPe/Ljljltd4xvQAmFlsWTP+whh2saa39VfYqUe0fOlbKitAGXhKhym1v1Eh +ZC1ko0uvHLHpdTy1BFbq/fwZq61gibLNqBVjFwVdnQoqEbpWT2+esymZwdX+ +ExWAb9MsKP1heiAia1QweCuEVb9QjCkYSSmAIjRxbjJA6XWbyq9b068V/Vri +70Wt2vSro/1q1hU/Ab2aHHoK7iToEHGC2pojqYW1BQ0DihGIDCAGYuZMIfek +hmldhwK4XoHLbrQ+FZAFRTc8AaCtYdgoQPoZS1nRqpRqNfUF1WpqQplaXDPc +LBEnW+slG4LTRf1WAzWDPd+pGIelIXGRGDAmDKrl6tjKE7LFl+3fLQVSA8bv +CLkzpnUaaMI2YwlZC57BV0VYsdGGbUBkEapX9QOl9NCkVcFUCOtaGMHlp+Nl +sOnZqEfDIWiks33wbeHDgh0Dq1vF1b29t+C1lEwgub+sKwK0hcgCwhpEVPVn +GJJUNwQUoklAScORBBEh6K8W9Gsufs3o17QK+72oXdP0q6X7cQDqYagCkOGO +gQ4Qh3BriglkaPvH5bsDxGIdZ4b/kQaeC4wAdFSou/pKsrpLYynjzQxlWURR +R62UVtW0QJlSXxPcLGptCT219FfSRZ8CPUt5c4D+HnYR1taBbgRhE5rQByAG +ZgEs7djWaR1HoswGHdh47T3U2OoLnvozBQaBbRJ4CQZJYECQAUTmEqiCwbYW +6ZTDshb+q2Due2bEyQm1uAX94gH4qqDGwec2dde6k5ALwPVhcgUkrL6EqS6k +9NozHBGIJIIMwGlCv8b4e8V+jcSvofJrUOVlnWr6X/WM/Wpov9rwU7DJYAjo +A9xVawBAS803bkNy9pf1qoKlUm52skgk7OoVpPtdNVRN/uzRlPGfsdZ+fkG6 +nyUwM06L5j+Pc+JK9uPAcdB+AWgAMQBXs6WPoU0BN7Hb3eVis1xfMehnO+Xm +HyAZ+v42hfj/qEXGP76fr60fq9FDLdDNXtatBn+Q/24qCAoQ6AlwhPAh1GgY +aUC/+uL3O/tV1X6/ab+Xhl89m5/+/0z9/6D7/8z+t+T/NPsvacj/2xDX8J/a +HOEP//HwT4B/yLawVPhHwT8N/oHwzyQhfZn+4dH0xyY+iMUfJ/+MGfiD/58K +av4Lsf5DUv7S/P9NBpv+jOHH/sLZX7v842dYAFAANAAgqNCOomYD4AB8AEQA +JQAUwArABRADoKHauXAIoBeCn/RsRfyqFxCY5FAEWhlyo8VHjjbC3H9PUP6G +v6rt/O9dzm8h7L+/+VOzL3wCvzB8W/iw8FWhycOfOvxBQtuH5g8UgN4H6ACF +WW3SbMgUL/FXQ8cjGaUeHWFxaMifpDliPcaAnA0ZMzKWbI6kydgTaRTItC3Z +vU5kA3uEA+EC7QL5AgUDEQMdB1+dDtSMBH3xAJA1UDb9bZwhxJ3/uj+PM9ZI +zGCtTVWzAUt6AFExIuuogoXwksCUGKHGxRQaWR6UbSDeQMIBiEDOAY5A2oHA +A5kHYg8kHwg/kH8gAkEKgiAEcIE4BImIEEvog6IxZgkISJxf41fdh8LywmH6 +tsdJcJ5C04df+CxTpOI7X+B6lehS+eCX9So32h82basQaw9Jv2iyr4VFCdSP +Lz67+OD0telTKz0qESwJGBNoU2BSWsx8BYYFbAuYF7AwYGQAaGBqMLsDg4PZ +wu9geQh3LH+oKwBYh8NQ/gxmyuS2pBHTgNOcw0dDUCsRjLQhadqOoNSBUpQ/ +CFDdEFNgDBFWg0LBKoJhBNsI4AILCUYS7CSYSrCWYDDBZmL7xb+Hg2A/0enz +Pwb+l6D7M7BA3JUMIM62rGop7YtoAyIVQcd1BeUIMoLIzTIEFUccSlFJtRma +9vig7gCo37bfqL/1FmCq0fq7jVffb7rsUfMFz1rM+KvNWBxvjWmdtiPkTmrA ++H+3FNjiywYQq+XqGJgwqMK1SSFxkaExSzF7hA+LWNuJy5A4dDuA4QlDHH5k +BrqTIQx3/Gur0EsXfZcN6MsYLdqiTEGWXS2MdB9miaZj9M3FB5efGj/y3mTs +Vztu1N9yq9H6VPiqLWa96jr03aSGaWcKuVMcKwBiD8v0fVyh65OQjjjVrdQS +I/EqTZ9VaUJwaygQx0HHcYfQq6cEgHVNGOQw1BCkgUjBkQqlToSmzgSorpXe +dqeZda9wUIA4dxsUCoT1flQwIOvD9MBPC0p/WV/kn6iAJ5e+P5uSuac3z+/u +pkEJA4KuTg2NXQR/DOGXN9Nfwl4MUfHPQALtpFJ9te5QcSYsjQSnq7JSWXNN +zdX0p9zs0WQJpSqHPQJNXj2aEmtGaYCqswcZ6ted16Hov22/CR21webbDTfe +abQuFWG1/GGzxU+az3sOyGo5HuP3fn3ejWmTtrzGs0vOmIel+32KLHM9KcuU +pFwtPaH13C0ruXrhjdDXJlWMmx0auzAsZjkBbQOuPl7eLrC2j8YBHG78U1/g +cNMjTgOdHnH8+wvEWVKe/6ZnATFjOWwYyigJ1I+vYcojZEAi/9TUuLBrbbnV +cAO2rGZLHreY9bLdGLxndWbdN0dLJ7sde285IwFiD0oOfFSu1+OgrrgvEdoe +p7qVWuFCBWCtcjOMx6s2fo4/gTgVdBruftOGXAJ6FlDiaKrE0aQACkkKMPVn +eFpvpCocvQGshoQAYb0fTciaWh5o6/OSkl/WF/64O99fJ36+G5/riC/L4MTc +Td01q7s648VZV6eFxC4Ii15JfwZbNZQhnZnkjQY0VUaqvGaorw5lxoJmuJqG +Dmkl8PwCSjAU9E8ElA5TN0D7QdEbbLoNdWewarLyAejA5guftogkZE1Kaz8a +B8cDeiG4Iuv8FVXhhtux+3GFbv9MrPD04vcHfFkHJuZp6QmDrlXN1aViQn88 +6IQomxUSOx91I3ze6LX0hTfjIPvSDtSQKtboaxPWdOyWMbhdNFXBHmgWELMo +h9E06YWf1taE8JMCmxa36LNHaQL7V9IDvzM9sC4VPi90LZDZ0LJ6DH43olPa +vNqvDgRej3OcSnasve2cea/AmAfFBwORPQrsSSj740lIh6eh7RBo4a2R1DSs +NWW7FhxuHHGM5ixAp3GTBBRDUzcFUL1Q+3FM9cNFkb8JVn8Pr/h+VMX3YwFZ +FRBZs8p9ml/m8/ISXzYU/rQrX9phB5iF60lZo3xZRybmZv023NW7XPwo8GII +MWi2V9aGX9lEnXaXEWWCzgw+wkY92kFMV1MoqJ62FGTZCA+WNshWmVFM7RWY +Iu0nqErCithqzb0mq4iwljzmyJr5quXkN63H4bITaMJBPd+Nbps2/de3GyPu +n8ufAGIGNAy0MpDcnpRvViTn6OLN28JTqb67cU1Xx3DXn4EJgwPjRwddm1wx +bmZI7LzQmMWhSGercRELpSMB7bIE2l7SkOyDH7LDWmhGsGYNNLUWhnJo5GUN +LpFI2ClAVQRqhCWRtQOQdRNVAdDWmntNVzxstuhJizkvW05622kE1wMLa73c +V+HmZWc0WNrrzqV3nNMBYveLDX1YasCjsr0RZaAYgzsTnXXAgyQgHRForZ4x +rEU053uDfuBGHKcBCjGFo+o0C0wRVQ0MxVV8gNWwinjsBQhrXBC0U0TWzMCP +c8t+WlTq88ri+FjVzvx/H3C+Ov3Tg9i83pRvdvmyjU3K3cZTtqG7AVAYqMTA ++LHBV2dQp12mGIcdYZd2iwZ7UG8c0kWZVlkDxCS+tIIq4NIpkOMWrVKFlbRR +BidlQVW7rtejQv+2TYHVxjsN199ttFYQ1vJHGrJmvWo59XXLCbjp1GUYLusO +6vFudLu0KfXTVlR/Sp329G3nbGinIBg+7MkHquCwL+v0pFwdvL+08EQ0cDes +7Wpf2dUjOKE/noy+Ng6sLnHZPFDj9JFX0ndeH4bdbIuO0S5JoJlIDTXkcV2X +00pgUu828RQvxxm1HBJfFuAyc5ZBB+oSIRVZu7CPcW2g6m0E14uWU9+AMgTy +Gtz93fjmaYtrvtgdfOt8voRrjiNJjo03nAvvOKfdyz/2fpHhD0oMAh2OR4nL +98Azj8FdiM46ItBCGdDamLDG4NZUwK2xhFs6mBpAChBgNZRgBTpwVPD7McF4 +IHoSIuvDjEAQhJ/ml/68tOSX1cXwJdMd+d9HOV8f/xnI605CzriUTNt92cYn +5WrnLdrEXaeuqy1YhrIJQ8pfmxCMbZZBDHrsemqw20V3NdtzP1xmJDJ9TVV8 +KQXNkAhxp2upzLBSRWD9zbdBonAduPYeR9Yyjiz0WSBapoMgfAu01XEkHjDp +0+/d4B7vRrXHd/GgzW4LSz1d2O127EkNmAAFhdL8u7UAuNprKZk2JGcHYdDO +W6i5pwpArI6rbVVX19CEPkBkIBIqwBe+Og18mQllks62Mo9mYrQDRkbjZu2E +FaMZPbKtqDC0O4W8LMClL4Q/zlICIm5m6WujNoDvDOCa+6Ll9NetxqGZhW87 +vDM+9b6k5vOdIXdOFfHEOs4Chfkcq286590JmJoKECs84kHxIUBkKBcBZYGE +sqAunM6sgEZmzRprElMYUwj5h1RlCSsgrAkKsmaX+zSvDNIWaMI1RfEZ7h35 +/9kX8PbILy/O/Pgw5tsbiXh542Zf9glJudp78zX3VP3d3aw6+oV+eLcP9wsL +qPSrEGLYXbeRI9tN5Zbq5Yjiy8wBiJp+XDbVVMOXZUHDTQU16xAjYe1L1oUV +u5i3whITYd3CFgrI2iiQBVLQiKxn0FRREE5903JCWtsxqAm7D8YDkgCukR3w +DsM5dV+vrfIIyOuy80qSY8O9QiOhcFAv+NSvTv0E3xaM7YLknH0T87T1lIBv +CxCr62oDnxe0YlDCQHysNn4sfGRQ4xXj5mAr01DGROOGcCOd7SY6Uz2anXT0 +jzIzxGKM5SB8qb3OAC7L+EKUQLQ14Wp1lnYtCO8HDFzNmZ+dSH52MF5YB992 +coO0pTWe7wi9e7xEInzbeMfBRMfm687lt5xzEWL5xsGnBq2IRFaq/8MyfQhl +PfEumqCunM50QDNgraWCtWYcVv0lrEgBSliRDkSHNZ6k4GQNWR8jy35aUPrz +kpKgCb+sK/LvlgIIrr0BaYccf538Ccgr9Vpuny/TmZQs0GYnopL5qaUnvCEp +mQhXr/IJg9AsoIyZrUBsHQmYrcIm7LUjMu7B/bGYoWemX1CNtuxDdRtkccLi +yGJS0IAsUoNY8fnPoKOiIJz+uuXkt9BXofTQWkG69GXg6oh3rIHtWlbjGfwN +nCzmveo4cd25DJoqtEQQG3hRwJFf7l3LHZ2SCXrXlKRcYMRAfjfzVCeIta7h +6gxfuCJqxSFAZCAVgq5OBbVQMW4uferFtijDz07NjSch6Ro0Y9T/Fe1OlAN6 +neq59ODKGG1xcKH8bqyCax4J7ylv2ozFL/znABSHY9qmTfkd8PUMhMGR0skX +ndfiHCfdjt3JjnXXnUtuOecgxALG3ys4CrVi8cEPSg7EC2fKCpSV767QmQRa ++6fwswKaxlZmWEnCQmSVx2s9AFmzyqHVml/m0+JSn5eV+LKq2Jf1hfEBnR35 +P+wNeHcQleHzcz88jP72tjuHOyXTcV+WdYSvjt5vW3mCG7vr/epuVc3VNSSh +bxlyCqRh5oTGLjRBbLs+8jqoZctGR2aAmLGmGSlohAgGDVLka5GlcZZUg8sf +Nl36CFP3BSQI57zktDUxDTRhh1FouHoOQnCB5xrRCa+pgT+ABbVebqj8YH/g +9QvOeJcjCtQLKBZQ9WCT4eO/jwp4fPm75JRvwIUtTc4xIjF3B+/PrT1BTd01 +G7gb/YoQ+6Oyq2dIQj/QingZu0Zk0M0iQ/BrL6H0QypG/yjzx2UhGRLttu1O +ExJifcUGXAa3JTXhzfokFRpJcC2nrw19DLT3tNctx+NH7j4Ev/DQLnjJ0tR6 +iK+t4fcOlk05W8AV4zif4DjodWwDlXjDuUiDWIHRoBUFkQ1AU6ahjIlGorNg +gbKQDgQ0HaOJyILCwJGkA0cH/8MIawLeRIrXuxmQtRDd1ucVxfGpvg2FwA58 +2ong+vuA883RX16e/hHcwb2reUDAgPk65Mu6mvDV2Zu3tSewqbs2SMSark7g +wiokDKRUeSJmHXGRQr3IvGtLhiGmK6usqS2+TAVVdb7eQZuS9nTV4PrURgxZ +UOgVDzVByGmL3NYU1ITQVDuq4Or5bvgfeMEa2K45dV6vrPZkR+idYyWToh0X +ofS3nTNAqKBf7lwJxcPufNDEbnmyX0jJvMWXbWZSzn6JecCItfKEwBdu4G5c +l0OsR2hCX5AKpBXHwKdWiIzJxaVhMSvoRU6Wfkhf5l8x2hCZNcQMptiqHGqv +47WwAZf0uTu44TKBi/LYBfS1cdLxti2RV6+BKA7hC49rkTat3lvA1+ZK96B9 +gQW77Iy+6jgODjfJsSnFsfKGc+Et52yC2IR7BcagViw6DMQDEhnIxdJ99Sjr +jtcYBnV5rNIZAxqhzIKwpBREZAVqyJpHyFpMbgtoCzThpkJfGLj2ILhAtLw6 ++dOz80hedxJygji8nJI5ypd1ZXIOwFdX1DBlSMM0quNqV9XVDSisbMJQqHvQ +1ck87IpZHKZFyhlisRDz4oepZ2asoBbgUmnLlGDc1CcYWGKZujPaarb0sUJb +5LamoSaEjgqGq+NIDi7wXAN7vhvWGa8GBdsFynBxzecbIx5Elb9xtqALxCFI +l7sBkx6V6/W8WsM3nSp9mB74ZVt+EOGgwBNSMh3wZV2enGMcqUQwYi08lZq4 +69Z3NwEvBhCrghDrA62sTMJQ0orj4WvriUyVi2v1KMtI+pEOxOzbHQa5TEsY +yMuwhmEFLj6aZ+ASstA07IA+RuTVbSiPZFF7N8MvDP5rU6X7+yrcPFE8ESRi +rOMMUdiOZMf6FMfym84FtxFi0+4GTEzNP5a0IhEZk4toyiTKemHMyEWjBZ3h +AIs5LE0KksmaHviRIWsOIWtBaRSEjLZWF0VNuLkgvvvGwLXfmXbY8frEzy/O +/vj4MpLXTU92T8o351Iy7/Flg+pPSMrVHatfsrmnSkN3fZCI1V2dwYZD3VG9 +YNFZ0kWzUeyoMky2g9hR3b4HldVU068raFVT9lvLhrbSEYSCtpotItqKxJAQ +e+nU13jtjABXVwaufrhOALplVHu0XaAM59V+tbrqY3Be5A7ioO4pjhVguqFb +Pq/W4E2HCNDq/24q+PboL9DHUpIzg8MFFzYnKedgVIk/tfGUg48MOvx3d1MJ +sTBXn6CEAWURYiNBk5NWhA8+S09kywWR2cnFvfbjaTuIXbRvd5pWV3qd/T7G +XmvmopEHfnmccy2lb85SWWhlE9OAvP4YQd62z7sh3TCVhQ42g/AFHWxP8K2j +pZLO5U+Idl666jjmcuxNdGzxOdaC273pnI8QcwLEJmHiURC0IhFZsSF4UXzJ +AfhenooyzZp1Vd2ZhqxJVsiKJGQtKoU5BqOttaAJ0XB92Q7gygey8D0D1/Gf +QRk+vfCfhzHf3k3Aw7bXUjKd8mXZ6cu2lPDVw5unnac4dFco/W/u5rVcHUG9 +YJCYMCQwfjRVfAaVeyFt0/F5Tbgu7thjmo6pi6wWNcWeaSjoSUNBXX6kvgou +6+Cd1VdPW9xtSU3IwfWm5aS0VuPwEHcnBVwgWqDu0FfBF4AynFX3DUgXKP3e +oJsni3pBHIL1BlOAm6gVuj2vXv9N+wjQ7SAe3h10PL34PUjEmJRM+4jCQCT0 +SszT3lsQfC7ohEbu30GK13G11UNsCEIM7ZjUinMowFcdGc89xLBMEpmlI7OD +mCWFmfAltIQVeSXWsM3hRaChLsAsE7ZrDinDKW9ajefzRBCH/Xu/G9oVFcLE +Jmkzf32zpOZzsLe7Kt4+XMZ3urD7kjMm1nE63nHQ49gFKhGM2HXnUgGx6Qgx +tGOgFYnIig5FuShMmX+U2SHrIyCLWa0lmGNgSLiaNOFGNFyfAVy7BLgOOd4c +++XVqZ+en/8BTDeQ1y13DrDe0SmZjvmybPNlW5ycY3xSrp6AL2+Rlp6wJu46 +oF5AIlZzdQ1z9SZ3wCjMMBJdZdrw2a1PFGVor6lEIREv+sPXifhw64LqGqYV +uMSwWE610G0JcDHaUjUhzwnJcE3UwAUV7zEIFQsUfXB3zDTGtMG+Cr57Qe2X +QF7bw+6C9T5XwBXnOOV1bL3pnAdlBe3xvPrvb9pFQD+ERvc+KgAs2N34XO6U +b477smxMRgoblpi7I6lE+M5N3bUauhtAK4NPXcPVubKAWLmEIXh1sIQYtjWc +kSnR4gq6rdGsFe12P46Yol1rxW5ZjjAoh9LrjI1OFMKUFt7WElo5rF8ghvVE +XuBwO9FIET41iARQ4PCdJzbm+Fpf+eHOkDsHy6WcLOa94LwW7bhAFBbldWxP +cmxIcay67lwCELvFITYZ7BhpRSKyIsMfaHJRoqy3GWUcWdJnIbJ4iCEEoUJb +oAm3Yg7/UYDrHYDr6C/gBV6c/eHJpe8fAHnF57qRmBXE4cWUzId8WUG6LEzO +OY7jqzAZ8FpgwZgBj3D1opWDoURhE7VJjc6IbRQzGsu5mKoSNQqjnik1PxY0 +WCtogqmgmhqpaZKFxk2MzSZNuErVhACuZ9xwmcDFPBfIFah4v97oCNB2tUNl +OLlB2uy6r4G8NkQ82B1861jJJBCH1xxHodbQRUH8g94AfL1uGwE2GbTEhz35 +oKfdj8vr82U+n5J5N+mESUm5+iTm6eB1gkps4YmAVtbA3bCeu0VtV/vqFhAb +UwGj+ykcYnGRAmKm0OOKIfSwg9hxa8Wutjv7cqi9zrCpq21vbuMlwI3o9cqO +GVeGzzCeBfKajM6LDz5ENjv8D3zeEUTCzLpvwOGuq/Joe+jd/eVvHC+RCBLx +svMKUdght2NPomMreF7KOhYLiM24GzAlFewYaEWNyKRcZNGHAWXoy7RscHZZ +HmIogpBoqyinLdCE2/IbwAUu4K8TP788g8rw0RUkr9vuHGAKQByeTcm835d1 +Q3L2+ck5xyblBnwJ6VID+mo9d0uQiFVc3XnAhS4Mt3pwqQOzRKYS0zdidhSm +t2BaQaU4zJiVzgC4VprAFekPXN0H4yCmXx/MNMB2jeyAyhCaKpjuBbVerqr2 +eGt4KhT9VFHPFeflBMcBn2MN9E9olSDmn9f4DfE1Khjvbd6VDwzvo+jvbnqz +xVJOuy45++yknMMTc3fx5gWpAJ+6uacaqPH67sZgeGu7OlR3dans6olnxywg +Nl2DmH87Zg0xNXSyUOys3aVfDl2v06fxFraLlOEKoQwXiMGiIK+Oo/ABYhCH +8LVRJwgRTvh6sbbKo21hqfsq3DxaKvlMITd0sxjH+auO4wmO/R7HzkTH5mTH +WnC+AmJzbjtn3AmYyrWiQmSUexhQ1kegrOfHmeUw7zUgCwThiuKcttYXBjfN +wPVpZ/6Pu/P9o4ALSgy26xkqw+8fxOYF53XDmy0p5RswBSd9Wfb4sq5Nzh6Z +nHMMx1eB1p4KzTzVwBqAboGmChKRlg0GlKEgkShMBB2xizOsEo+pNeWHef3i +SxOH+oKa1b5RFlqOt9IDFws0oJd2H4LlhnY6oBfaLq4Mm2GsMacOkhcTLWAK +aC5zDnoplBg654MSA5+EdER8tYl4P6IilmlHfvj+oBluu3K66GszKQ4urB9S +2M9tPaVRJXpqkhFr+qurNTQ0+OARAmJlLSA2KyQjiYcRYgfSD52MiZOpHEdd +qvMykJdfZfhQWzZj5EWxYTu2EjPk3Z+gw5nJ7YCzxUkNEV+Lar5YU/XxlvB7 +e4JuwdcGq3s+IB4aGlHYYZdjH6nEjdDcUhzLFYjNFBAjrVhgNBJZYUZkJBe5 +KcPog6FMtVpcEDLaWoO0heMtpgm3C3DtE+A68svr4z+j7Tr3w5OL3z+M/vbe +1dxEXvgmxaWUzMco3FhNvmA0x1f+1p7yzT1VWboF1rs6bhr0rJjQrxyuzI1k +LowojG0asCzRMBHbaU9h0lmrmkTDF0urpDg0khc/4KAXJNJN/3fgorSwwygE +FxiBngMx04ByQzsd3jltVDuqeCMir9ovV1Z7srnSvb1BN0EcXnDGg/nyOHaA +0YaCQtWehHR4UaPe69aV/x5eEY/Xbcufdtjx7MJ/UuNzg9sFibiXRo0zicK6 +IoUVgq/dwlMZVCIzYnVdbWq6OlV1davk6gWyAcNbjDv+R4jtM+3YmCnMUA4d +vgzi0Hyamwfy25SzcjKQX0FpkroVM+01W+ZsP4pvxWCI1AvDjVHt8WtPZviq +9QJ8Lnzt3RVvgwUDiQgN7ZIzVlDYQbdjt9exDcS5z7HaCDEMFSdrWrHgKE5k +RVUi46bMH21xTYiGC9OMPRbgenGWK0MwAkBeoFWg1ldTMp0j8wUddUVyjllJ +OUclSnwFQsUb44CmMXRUKDdJxD4V+L7cGGXNQN1KJQrjLsC8OmU4BC01iRW+ +TtgX1HKCue2/koWYFlIUPxbBBY0UhErPQZrt4sqwNcYajLzAca9ljiDwOjju +S86Ya45jYARuOBdBtwTh8SS0PcfXsBCoGpTm3UHH87M/3I/Lcz0JHxE+7Mu6 +yZd9QXLO8Um5+iKF/djWUxIMbzNUib+C5wUjRlnHHwSxP0MS+om52EgRd6hC +0RJi0osZEkWDIza0O0M5JL7i9fgSWsIfeekzw+WPLMhrAsbycgLSl40X2QSk +BeHr1zcLa71YVe3Jpoj7oBb2l79+tFTS6cLuC85rjMLA9rocUR7HrkTHlmTH +eooTJcTm3nbOosRjCk7HAsYbiOy+JLKSA6BqRtpazWiLNOFWxXARuP5WwXXy +pxdnQBn+B5VhzLep15C8oNBeEoenfVn2U7ixLDkHdNSRibl7IL7yKb67EVmw +DlBrkIjBPDoe9f9BYWf1EYeCL73aN5CX5WIbF/ybbHczjIHGDA1cYAHAYnca +gYXuQbarbx8MspgyHN0Wyw1yBchrfu1XK6o/3RiBifGhsj6wA1Bo8NpJjk03 +nQugT0Iz1PA1NORjZFnQFX8fcILzfRCTF9oaGN4Tviw7aNo4PSnXMKIwMLxt +sKFVaupGlVjf3YSMGGYd0NbCXb1BOYD5ZRArr0FshsmLWe54bFfmYppK1FPY +GatyxBranVoOucFbB4/2+6zIK9VIXqrzmvy2lRCHIBh6kdXVcqQWmCMRvlAt +wAffEXoXLBhKxGLec/kToK0RhZ2Aj+9y7AX9QEZMhdgCCTFNKxqJbJgkMh1t +gSZcT1GGqgkRXAEquN4c+/mvkz9BZZ+fx8wQlCG00DsJOaHKPl+mBBKHx31Z +QK5sTM6+hMo9QsNXWSp3bYo4WK7VhZZRzZtyhpVvPxRmia8LdviqBAVVxKFG +XkZlqNvXbcQMNQZWD5rowCWjeDFEnvy25YR3rRm4WKZBtqtPX5x2gTKEWkMv +xViDyGt23deLhR0AcQh2GyeeuBR3APTJTec86JBGfM0t+++mQu+jnCAhHkV/ +d8uNF9SfJYkIhnduEgZKfTiFlaCgo6pQic1AJZIR60JxYu/ghP7w5cskDKPm +Nk4f2iuJYvQK4YXXa4mucS55wDLUVRyxjOg5vsIEvgxa4uvJ64VhqxPd7mAy +XzQEGf4HNbTmHF8Lar2EhgZud1tY6t6gW4fKokSklOPqFeelWMcZEA/w/cH/ +khHblOxY58PEXkIs8rZztkg8hFak0AP3qRRHJmgLNCGjLaEJheH6sDfgnyhc +f9KB6/SPYLueXkRl+CA2L1iAW0ReiSnfxJE4POLLssuXbV1y9kXJOaZSO+0u +8NXSE06jmfo0mmnLRjNih2cYC+qhxGQE+CaqXwrT1VS5k+qCsWGekvjSXYBm +EVXt1ClD4xB5BVWWzbnYSRM5RGbrTxOwxO1EpoG2S0y7BvVEIzCiIz41CLVm +5DWv9qtllGzIuBjMF5QYXDaUFS+IMOBrGOFrYyGoC9Ti8eXvoLmBLIe2dpAk +InzzKUm5hlCQSBRWDr55M08NsL0N3I1JJbZlRiwCjRiLEweXTRiOT9FdGw/9 +LejqNDl6ttqh2mBxTtMu1NUcMUYcJnyRnDiqw5fsdSI25CrCwnmx2DBSHKND +cfiOiUM5B+FuV8HXjF/fzK/9cnn1p+uqPNwanro7+PaBQJSIp4p4zudLuOyM +geYW5zh5zXHE5dgvjJgFxG4hxJgdU7XiGK4VKVr8zGiLacLNmiYEw8XA9V4H +rl/+OvkzB9cFUIbfPYz59t7VPHdcnLxcKZmupGQGcQiF3u7LtobC+clJuYYm +5u6GtZb4YqFWM+a4QatAIw0ySERsoXwWZhkk6mdhh00WTDZMji8trdLUvpdd +3mU5Z9EpQ8t9+IXK+hPbLVQCQ2m7egzSKUMotEpes+q+Bi+wkrzALvLaJ4t6 +Lzrj4hynoHn6HGtAjdzLPwbxFdKB5fN/D6+I+hDwtS/g9YmfQULcjc+Vkpw5 +hgb62xXP+ydS2E+Cwqo0QdlQH7NEUok1SCWSEeNZR9kEzDrw+1+bTEEu2wQ2 +bHeIrMOgEi+m54h5xKHgS9/umPli5bA4kmwVG/INtLlit3MSF4e4ITNUtyGD +Ua2GLxTk0NPA8G6pdG9XyJ2o8jeOlE4+Ucx7tqCLKAxc2NmrjuOkEveBEfM6 +tiY5NiY71qoQA2khEg/QilP0WpGIrMhwLcqQmlAaLjO4MI3HUfKzC/+RyhCK +e8uTHcgriZKNiymZwQhE+bJu8WVbmZwjMjnnROqlXTm+yrT0hEEvbeT+TYbG +zG5XJKFCJR4rFuRmi+LazcLsJKItvqSb1olDig2tNnCkMjTbrqd2mYa0XWza +1UtkhjzW6IiZPJJXo7Rp9fDumqU1nq+p+giz4uBbbF2HNrpPsHO1UEHir/44 +/6rW4E3HiPcjK2K+AfjaG8DOid+7llsupO3xZQOJOC8554SkXAMT8/yhURh8 +9uoUdDRkWSJ8eZqI9TAYMfr+6caJikpMh8JUR2yNL9nurMWhOIEiVw2VmRff +NuQbaCQO4eNz80WjEGxrXfkoROILNMPSGs9Ak2+qdH9H6B2wYEwiwsc/HxB/ +CSnsQhwFHZQl7qWJmAFiS244FwqISTs2mUNMaEUab+k1oRIVArjSFHC9QnBh +pgHg4srwWu7brpw3iLzcKZliaKx8hKoMQgW89pwkLPSgxDyEr/wSXzT3RLtd +y9WhGlmwEO0kxegK4kigknJYrnMYJKK+oNQwmSAJUvCFbloVh5ZLAnKOuUGv +DNO1XaAMRxuVIZsmq+SFsWF9MgIkVFAcht1ls05oodA/Qf9DTaGUHF+lBjwJ +7szOV74fG/xpfmmGrzfHf4ZeB10OSuAiZb6fbO/i5BzTknINp9i2g/cXRmEt +PJXB+bKgo567ZR1XO1KJfCIWnDCA+pt/Iya2p66sFXG9mcK+El+GdqfcribF +oXaF3RpxCGWpelhVd+qHm68hQjZITc7wRZo8ss6rJTWfr676eGPEA/jy0NlI +IiaDeDhbgFNYjOMcU4kJqBL3UNaxRYXYdQ1imHiQHcPoXtWKcnasGS5KMxBc +B/XgOsXAhdMuBFdMXlSGCRp5Qf+8nJL5FInDnb5s6yncAKEyjhppFw1fodRI +ca8AqixXd8gIGI5RzPCXcqj34Vw4qFiw9PFlq/YN5EWZoa0yZLbLjzJkmaGM +NSR5tUDymloPY/nFNTEohipjUBx4ne3qRDsvQlm9jh0phC/ohw9KDnwc1PUp +3b/xz4SgTwtLQ+EQX8d+gYrcj8t705PdSztph31Zt1KKCF9+TFLufol5Onq/ +bectbKAwEOcgHmipQ1OJ8P3LcZXIjBiqRJsd4DU211IZJaKFIz4TU+FMnBlf +uktB9/j04tAu2Xhmd/Cnh9iTGdRTJxsEvv5aXPM5fPkNlR9sDUvdXfE2OF8Q +DyeKY8ohKOwiuGBSiYddjigyYtsJYhsYxFIcEmIst6fEg9sxXPOAwmmGayc3 +XHzIdRC34nXgosAQ1AizXUwZ3nbnuJGYlcjrm9iUTOcpOYyiydcaCjdmJOWC +Kg/gQqWAgi/dRIZZsGDa2xFZ8VdJRM2CiSvZecPU4YulVeSmhdpnUbBxjqkJ +Etkz/S9BqcpQzQz74cDLTF56lYIugA06TxT3XgiIB38NNYVqpjhWQuEQX8UH +PyrfA8/rDQ75MKX858WlQM9DpaA6UBQQErfcOaDFXRFj/TUkEUGZD+bON6Ct +p5SgsFpEYTLowKUOliWCRM+YSpRZogw6JIUZJKLOEcvEyYgv5SJrzXyZxCFv +dKwQZnHIjieM5scT5Aa1UZY3xPOVcxFfL1ZWf7Ku8kNQ5tDcmEQ8VjLpVFHP +OaKwy84rMRh0nAItEe84SEaMQWyzCWKLCGIy8dDs2JftujQDo0IJrqOUFkpw +nWPgYrYLlSGLNVKSkbziKdk4w8UhTr5WJedYkJwTVMroROyinXT4qiaMQAtx +gKJ7uGbBhsvDthX9ScTt+ruglYKeO6PHV1zQacRX2In49NX+NlvyMu7Gz1aV +ocgM2aqGjDUM5NUWz89ObJw25TeM5ReKlHgrpcTQP6G4F53XQJmAs/Y6tnF8 +BUx4UGzoo3J/svsPP8wI/LysBKgOjq9zP0C7A5UOXS6WFqX2+rKup+Y2jSYj +lHL80M5btLUnkIJEbG4N3Q2QwtytKej4Qy51BBlV4iShEs1Zop7CLvkfmugc +sT98KZfwa1dCadu8ijjE5PCFlhwq5otP8/txfA2jab6CL1AOfy2q9QI+/toq +jzajBbu7JwglIqYcxb2CwmKvOC/FOM4KlXhAZB1miC0liLFQUSYeaMcs0oyD +DmtwUabxKBpt172rubkyFOQVl5LpQkrmkyQOd/mygQUAiQItdEpSrpGJufui +SmFGuyzgq7mnWhN3XWm05dJOCHfZw0ifTMhAiih7JmoSUVApSMz44m5aqv1a +Vmrf5LxMJ/0jxTUa019re1Ck/I2xBmXyGnm1xm3DyUoLZeJwO005obinCwO+ +4mIdZ9mNEISvyNSAifeLDntYps/j4M7/jA3+OLscziu3KviK/hZ6XUpy5qsp +eKGQnOzPokGYEA8gzku38lRs7qkiXBhSWF1XWzXoYEsdcjURq8CzxFlWtwpI +CjNsX6sSUXXEFvhicl3KCV05/C8c6m8KAvPLzNcfw3m4wVfRDPhiypya23KK +ODZG3N9GEjGKJCJSWBFGYdcuO6OjHRdIJZ4ARUETsb0EsW16iK2QELvJIcYT +DztwvT5uBa4rDFxCGSJ5ZU4U5HWWxEkUJfPrkrMvpXBjErns3oiv79p5C6n4 +0o87mcvuq5xkH0eVVXellurvyTRYMCoob5gW+GLTFmu1b46CxZ6Ajrx0scar +FnJVg6bJWqwhVqFU8gJ/Pbot7r9NbMKTDTXCoi2dG0dLJUHnhLZJx9UPeB1b +yX9FgtK4X3jEw9L92P3YHyPL4DLAtvxQMokvdqY1niKOg6TP1fC2B085irf2 +lG/pqUT5kqSwVpLC2DhMN3GO9xN0qBRmeYDoiFGxoyPW4StcwZcqJwhfevO1 +Vj1HqR8rT1MOho/S9qhZOM8G+gZ8gXhYUPvlshrPVld9jBYsPHUXSUSQ6EdL +YVCPFJYv4ZIzjoIOVIlXUSUepqwD40QbiKm5PUBsBo8Ko5wsh7cE1zMBLma7 +UuNJGVKskZzyjTslUxzF8qd8WcBc76Fkfg1FWLMoPBxKEqWj93ty2Tp80Qis +NYsQKyt73XoL5n/QrF6sLSNEKfit8OVPjejdtB15+Yk19OSlOq8xrUV9hTik +EScm89A8D2C4kXS2oAt6ZpzjNOArkfAFxQK/fK/QyAclBzwK7Plhanlc7l1T +9PN2vMeV4QtEBXQ8KIeLCnGELNjaZNxFnEoSUe1vrTwhzT1VLSmsClLYnxqF +GcdhthRmtVdz0F6xK/g6LvFlDDfYNdf662t0Y+XmkSbzpRwC6qWKcwO+fsNz +4vOxv2HEsZ4s2I7QOyAR9wdeBwo7XiIRKOxsAdcF5zUMOpwXhUo8RhOxKIoT +DRBbrUBMCxVlDq8D10kjuB5zcJHtSsgJypDFGl5BXuco2ThA4nCTL/tKMl8z +knKNo/5JKfEPIsVi+KpD+GoKFqAWP5rE13XK8SmY4b6jBeJC9VVWF0xFKQ1T +E/wKvsxqRN8tdxr3BBr5cV6mPUNzJs+LS+Yatw3bpY0Tycb0X4W/puKCONkT +fIvCDX7QD7ol9EnAlw/xNR9kBr7xUWIQWLCPMwI/LS71ZX0RcM1QONDwiK8r +38ljrZdJRez2ZdtA+e1MShEH8Pw2oK2nZGtPUAtPBKMwHiTqKKxnGKewQYLC +9HuJFhS2Xp9y7ElPsaeHL/WBhgwm82S+2olwSUtue5nwReZ3Zl3UD0tqPocS +QIsDCQESHSVihRuHygKFJZ0UFMaCDqkSrzmOUtbhB2LLAGIyt9fAdQRPIluD +6/J3mGmAMryWG3QIKEOKNTIDeUHDjKWeedqXBdrmPhKH64X5mkbh4aDEPN0R +Xz+18xbR40vbJVA2TmXEMbqCwYLFLtal9JoF26OPEG3xpagRbdRS16hGbutn +Xv8zecnismTjdykOxfwl9O7eoJuHyuKKKRQUBAm0ShfH12rQG3Q1+pgHxYaA +BcPnaZaWpEv+80Hh2G2TgC/oeyDXvRQhnqRCqPNHIRGhBEXbYMoRxkqQMQob +bUth0SuM70eoKaK9YjfhS7PDxnDD8h4Ag/miyVdrq21qI76UElBEjxHHmqqP +oApbw1JBpUMhWMrBKawgUBgLOlAlxjpOE8SOJFhDbJ0JYvPFeoYNuC5ycGm2 +ywXgQmUI5OWhmRcjrxOUbEDb3ELKBDrn3CQMN0YlYvPs5s3bwfszFdcaX0qE +yCMO02ViRgumv0xbvt4oR2BW+DJVU+uW5lHLGqvbQQ3Oa7yF85KxISbDXZG8 +RrWjWL4Zhldc/NdC8Q+Vxf0BVP43lFN+l6CIdBHEVrrxciHI+NR84zDioPe/ +Pq8o/u+mgmCcDfi6KU62ssMLW8mCzaP9NCERv6WICVMOCupr0kZiQ0OQaE9h +6mUdchYmJaLh4uX9fhRFBbUiR23wpbvy2q/5EuGGDA97yLE+7aQZ8VUfhyNz +KUJcLiwYl4jBt8AIQ68DCgMXdrqwGxQFBR0xlCWeIyN2PF7EiW7HbiuIrSSI +4WhMTpAJXD/5AVdqfC6wXUwZslgjQZJXSpajVNOdJA5XJedYlJxjNoUbIyic +74L4crTzFmutNE/AF1skqK0dSuoVoo84NAsWq14asNoq4tAiehFYXbDollDN +w7bdUl0iVd9i4IJExoZW5KXGhryyrHPKZEMRhywcZuZrFw03oWdCw4RuqcfX +mhvORbedM1MDxt8vMgIsGJqvVcX+3VLg4+58fx/U48uTPZmC3DMUcbD9z4XJ +Oacn5RqdlLu/JhFLtPZUYEE9ueD6Yp2DzcJYkGjnwpRZmFEiWir2w/qhv1XH +o4podtgcbpBWb2KYfMlayFvvxFE7mS9JfOkkBOVLUIU5df4CC7y0xjNmwTaD +RAxDichSDk5hRZHCzgcAxOJIJTIjJrMOCbEdlhC77lyiA9dpI7geSXAx24XT +ZK4M3bRtKMnrkC/rXoqtQByuIPM1k5TJcArnOyO+nCK/MuJLLOoYztXyiCNI +izgsp2B0Y8BF/g6mH3zx5Y2j+nADqsnxZSEOm8qCGvbkLbehlJkX3zbsKpIN +Uv6qOGTKHydf4aks3KAjfh66yOiiwNc2ga9ZqQETMeIoMQjN15qiX7YW+LAn +37uDeOckwxdId2h9ICrY4dbD1OvWUco0k1ZoBmsSUar0KjRr/q2BuxENSlqb +gkTLWZi6zrFUSZwMit2u410KNuFLfSVZhy/LcGORtjMvhYTunLg9vsYRvqDL +Tav3dg5FiKwQa6uARLwvJCJQ2HVOYcWJwgpoKpEZMZF1WEJsowKx5emCCwND +BBe3XUwZslgjRpDXMSKvXb5sm33Z11AyH0nma1xS7qGkTGi4nI86Z3lF/Ne3 +XISzuEMs/YjDgK9TdviqrOHLPgrW9nC0UaYmSIShNq7y0vF/VlYu+0WyoYrD +WXVfz6+NbZOZLxZuHCh3/VjJJHb+iC4KOy7wtRaU/C3n7LsBkzDiKD4E34Fa +V+TLNrrt/xDH12O6WYjh6xoNIo9SxLExGadgYMEm0hEhSnG/A4nYFiVisEw5 +WNAkC8HWOdjSL/W6oaY7BNTLpkwSUT80MVWE4StWwZfbhC8tPMxguNHKcCBo +oH7tU+KrJccXU+nza79aXPM5WTApEe/uDr4VVeGGpDAQ7VCXcxh0YJZIKvF8 +xiC2BiBmDy7cMGTgEpkG2C7MDJMo1oijbUNGXtAtWbIBBQVxuJhqOoXCDWib +vbjyz0/hFVMmBny1ZWUVyl8eRxpjE3GoU2Yzvo7b4auSCV9aFLzNZklbSzZs +DnnRQFNuG1okG221smLbrCMnm482Rdxn4Qa7AoLdYqTiKxnvksVHPTCiLzAG +LBh7HvTzdvFUjYovdw6fL3MCNT12vhXa3Qplyt+PhISQiNDowtWU4zdMOdrU +0jYS+TqH4WiYcm5lvvVQUl8REXGcUkbMdvhSKqI95nXH72RZCQ/1lwj1VjfT +lEJghMsbHQqJRTVfLK+OvY5JRGh3INehIvsDJYXxoINU4tXLzmhmxETWcTTe +ccjKi3GI0WFJA7hoSYONuhi4XDmk7WLKEJpkNPVJsNLHKJaHbrmVBAkTh7PI +fI1KzD0wMQ/Iko7e79t7C5jwxRa51S24nvJEraypgi/DO3GWEaJaTTO+5HCZ +wkN9FFzfcKveCmWbV70sdKpx29Acy7NkQx17MXE4gzZLF9VUNnP45pvvePFE +KOIlcUuYwNe6686l/F0qel0RXwjdVOgTvbOWdtjx10kNX+xmPBedH2dHhKAi +bEttOvW6gfqgiQZhKBGVlEMG9dTr9BuJ+qBe2/i1GUoaKnKSj8D4im8sTiSt +FAXiyxwemt8l1MKNN7zX2W9+snxjtMAXConfeIS4sNaLZWTB1jGJGJ4KFdmj +UBjoipPFVJVIRgwnYhmCmAlc30lwYRqPgWFOFVxMGcZSEc8q5LWDkg0mDufR +5GsChRv9eU1/aO8t1NZTqrUnSDhrYzgsT6xTRD+IZP9oxVari74yQkwXX5d0 ++KLhMlsVkOEhN18WUbAiDv0mG11NyYa2syHFoRhrquaLbZZGVWCb2171CiN2 +kSzhaxk+reichhFH4RH4SOjmAp925nsfFZB2BJ9a4/5L4MtNpvgkKXa5ZQ0W +bDyl9KQloNcVaqOTiDjrl1oinVnzVZlyWElEf0OTjOFLxE1aRQz3HC5UnnnS +h4cGfPVX8SVSJlYL1uvm1vlrQS2yYJTSM4kIikJPYTzoQJWYP4EmzrEi6zgX +5w9ieJjlxVlLcOVl4OKBobBdiUIZQgXP08LGUUFe22iguZLE4dwkZr5Q8/el +k19kqwtTTYNa4H5OdaEPLVbg9BH9OIstDmOEmAF80fJ8Jf0ok4eH2p6baQ9H +PSGbwWRDLhwaNEkDbS2HMiuxlkNrb0fY/Soavo4JfK0Hj4wjZucMfC6n0Kgv +a4v+u7XAx1353u/nD9Y8P6/gKymLG28m50fId5CiWEJZ7kTKmvrovHAFk0TE +ddDarg41XJ39phzT9I8cLTO+F2AMdSW+zvEVKTt8qR1Pq4gID5eawkNxLMX6 +TKsJX7LXAb4wQhQWbHn1p0wibiKJuFOhMLaRiEEHU4k0cSYjhlmHiBNtIWYN +rqsCXEqmkUSrUEwZXqRV+eMUG+6jqAqk/loaK4MagWpOJkEyhMINCg9/odiq +DPVMM77aqD1Td6mREV+GG6XUakYpK1IW+Aoz48sQbihRVRNdcuhPHPJkg4nD +PmJng429mDhkmVV9nswv5JMvHm7sDr69nwaayv1F51R8iedyZt4NmHiv4Ogv +64t82UbhPODrKF77/0zBF1TKQyOwM3Q/3i5qesuo400WFkzOStQUUZWISsrB +JKLhaLNZIqqDZjkFsxtK2uFLU+zK8Et5e8g6PHytlcN+xM/xRVqCr3DURy8M +7Y5ZMEUiipRDozARdJBKBI1xPiCejFiMiBP9QUxuaPgBF1OGHkUZniMFwshr +D5HXxuTsqxVxOJHM16DEPL2oYXbwOknzl6XJZoQyeTHaakWTqJp/akUtQjRE +9FhNfbe0wBdfnpf40kYt+qhKOQRh3S39i8PeFuJwvCZIUPDjTBPMlwg35MlZ +PHZEl6so+NpBDw2suOlceNs5627A5HsFxrBw48MeHC4b8eXi9+PFilPku0m0 +gyOeL3ZpBvJdmh9JTpTRS8T6JoloOHcpHbFBIi4Jy1Coq8fXGSO+NMW+47qu +4+kumX+iy5rM90zS8kavAf7wBV6YyQmQ68yCgaIAxc4k4uZK91QKO1AONxKP +lkoCgyxUIhoxmohh1qFA7LgeYrjdIcD1rQ24NNuVoChDkB8qeW3xZWfJxiIh +DseT+RrAw41vQZC08xQjT83wVcMOX+p1K+UsIvr5VhG9lRohN23AF1vekFFw +ulGVNlbWJYcZFYcGQ83M12JS++vEsaO9QRjOK5cXRQt87Rf4whcVb2NEPyU1 +/9h/N+Ej8vha6EEne7bm2Xlx878rJ7vCK5ZGYEdFhLhK2wXNLSzYdxQ3lTLF +TY1tBmH9DRJRSREX2Bwd+jp86RU7UxRs+KWE80tswvnxFsfGDfji7Y5lTSKi +n/nrG2nBVkiJyFIOSWHltaADVCKUSRgxlnUwiJ23g5gAV14ZaGjgStSB6yoq +w8wXRaxxhBz0boW8lglxOCUp19ik3MNIjXTz5u3o/Q+F8yXaeAJbeUJaeCqn +i68g5QiSMaLXVVN2Sz/4imGX23B86aw0j6rq+4mq1Cg4A+JQlxzqBpps4IKl +5Dvble7toIU3KB87c3Q+QIcvD+JrY4pjlfIo8DgMN+gd+XcH8Vmol6cN+MrK +rsg7T1u++yhCZBEHO8swjCzYHzylL07rNP+dRJyqv71BCZ1sQl3d0ORMjB2+ +1OGyNvzyG85bXstgxtcohi9WkYa45TsDtziYBcNFqVU0aN4QwSjsLqOwfRVw +I/FQWZ+mEoURo6zDDDGdULQDFw8MRaZxlabJTBmyWOMgLWwQeaGJZslGJPXJ +SXRmeQiVksKNH2mmWbK1Bb6a2I9dhogtesMITH8Xh1Qj1vi6bMCXPyttuYej +jZW/JjnsJKrZnC+8sYUctna4utrj9aZj6acLA77iCV9nr+LllviKBz0xsIpG +zHMpQpyAT8nvxCNF+DLUMXpcQ7xcA7WDqrFbvC4oFzWwddA5xnW1XxTFDhWp +yQbNdhJRTRF1g2ajBVP31vwMTbAoX4evZcqdG+pmr3xBw34QyStCdhg6HlMU +LOLgFkyTiDzlYBTGgsSo8jzoQJUojZgGsVgbiOHomW1oWIKLBYYs04ihabJU +hod9WaMU8lpDycbC5JxzSBxOICsN5utPHm783I6H8+V5t+TV1OGLZVZWY03l +jSrdlq9+xIwrUunhS67iKFbaHG6oVrq5ujBvvjtUiMM+fdNJq2aQFNEWSiN4 +eLgPD0T42M1gFxBfV/T4Ym8pLrnljKQ7zyd+ofDwnygcLrM3Q834uiZuyduv +nHVV1627U9Nrp6X0ldSJibi9zTJFHK5efWO/t2YwxV+PL+aImWK3G34JfKk3 +M1jgq7uCrzZCsTfiEcesuq/JgmkScV2VhyzlYBS2myhsf+D1gxh0sCwRjRjP +Ovhehy3E+BDZL7hiCVwXMDPMwpQhxRoY/24m5wXktYRM9CwhDodTHXt6Wbjh +aOct0tZTmtR+GKkRW3xZVlO/Ra+OwMwrHLb4wsMpipXWSX3zDQ+q1DdHVUwc +2o+V1WSeTzO5+cJwgx2IYKsC7Ew6Lm/g+wJ2+Fp60zmPIsRJX7bhHV84/GJv +8iovrzF8JRO+LlEAdYAExgb9caHBdBxPWLCSoijsxCXbRZTr9GKpRjdoVnYR +LfbW/Ih2E75OmfBFiS5LnMgRm55/tdict+l4Al+DzfhqhhHi5PpkweqSBav9 +EnzxMikR9RS2J4iCDqESJcTAiFGc6A9i/sHFAsMrBK6zIjM8SLHGLlrYkOTF +kg0uDmntsB9FVVTHAJIiZWi4zEqpqREFXxabpYHGEbPx6T2Tm7bDV3qt0lKK +mKW+ElXJUaa6czhUKeX4FsZtN9YkoYKb8M6HuxjOM3zRtWAmfO1KdGz2OdaI +t4AxQvy8nfAlHpTn+IrW4Sue8HVKuQtlhdjiGEfHycVGqMGC4XEVZRfRep1e +CZ0yItrNoiKD+Lqlw5fhQtE5JkVhipv840tGiKArmAVjEtFEYRgk7jaoRH3W +YQUxliji6NkeXFgmFhiyTOMUrUIdEspwu4m8ZifhafTxJA4Ha+YLww2atoDU +D6aBZlVy0zp81VbwFWYbWBlGzIYVDplWWeDrK0pp2SotzddQUxSsJvPCfLHJ +l7qtDeXbXImH8/vLX5fX7l3Ac0ZXYjm+Dgh8sefsFxC+pmB4uIeGX0dw+fCF +Db4uk5I/RJViJ4YWWpxocOiHJjWU4yp+TLEq2i2P5pkjROumZ1MU/XIUX97Q +j0t0it14BM+ML970SFTwiF5EHNKCMYkI6kJQ2ANGYbjOIYIOKJYwYph1nCgm +4kQriNHo+TTb0JBpoQFcLDBkmQazXfuFMtxCwmO1IK9IKt9kRRwK8/UT6ZDi +FM4DvioJfNUTbtqAL1Aj+sN9/kbM6inLDOFLLEclZ7SUfltlT/VAikzmLcwX +nywrp41wiMmGX/xOsILs/SkDvrbQiu/ym86F+ApwwNRPOymcP4DLG4ivsz/i +KxvR3yr4widsrtC5BroOBacnqylClCfyxNKaXKqxtGC6lN7U9AwWTC4irrEp +ylFzqKvh65AffGHiZH7NQd2lYYrdGl9CVDDRPlrBF4s4yILhFIwkIg6aWcrB +KIzNwnaE3pEqkRkxNevwDzEOriSjLFTBxTINZrv2kuTYRl1xrZ68ptFYeXQi +F4c9uPn6hTYPS1I4D30S8FUtI/iyWZEyjJhZWiXTYHMpjVaa44sNl1k4b9oT +UOaYVnsC6SXzBvM1Rb9KyoYsuCRAR404vvjLAtfEywIqvtbRK8ALMaJ3TsPw +kIZfb/mz8gZ88Sei5F2Ue0VEzyLEybql6/9QrlvKZMHsUvr+hr2aIOuirNPv +rakjMMPQxLbpyYmJUhS/yxty0doSX10VfFFEr0QcWJ05df4SEhEF/KpqjyWF +4UYiCzqESjzAljpMEGNxhxLa43aHuqFhBy6WaTDbJZXheiSvHMsU8ppC8l6K +Q5p8fUubG4WpiCycBx0C+KrtF1/mte1J9isclqW0wZdYjmLDZTWcF3sCGZ1j +yj233v2szdc4MWfRH4XQjhrx2x7K0bXnVvhyO3Yr+FpEEf10DA/3BbzT4+uh +xJd4xSaaVjiO0giMRfR4KI8EPLsRRTk0VMK/BatuYcHUKZjd3F+J6C3wFc1D +Jzt8GZqe3+UN80EGW3yRbh8n8MUijhkGiWiisK1hqBJZlqiDWOnkdCFmCa4r +ElwUGLJMg9kuqQzXkGtm5AWNcTolG2NIHA4kcdiFT5bzgcin8LC8wBfokK/F +l/GU5f+CL768oe65yfDQcLe51ZzFbo5pMflSJsvztKN8dJtKeOpO5cK9k6aX +cQS+ttIK4koagUXeds7AJ7CjaLh8FJd7X5z94Sl//NqIr3P66yiXiQhxrNgL +pYjDyRYR5VyycYYsmJ+5pDr31/ZC5QoHW6G3xpcfUWE4Wfnf4Yt8MY7AlIiD +WTCW0jOJyFMOojC2zsGCDq4SuRHDvSm/EGMLVDE+H9/QcIko3gwulmnsIXCx +zJApw6XkmiV5jSftMYTkfU8vM18/inCjDImQUCoi0/m/NUQd0lSPL5kGWy0M +2K5IKSv0VvhiqwKVjvPlQxVf1nNM6xtUrHNgdS3KvOfGJstyc4OFhzKcF/hK +Up/tUPHlVfDFInoWztNw+Rf94/LaK2zsIRuGr/1klllEP5+m/+PFFof93rWf +RSn93MT6AlgtQhQjMDZiZvg6l3F8+RMVVvjq4gdfVBpofVqEyCIOsmAspZ+P +FPaCpRySwjZEsKADVSJIehPEfBJiGHcU9ZzRRs8IMVtw+TRwsUxjp7Bd60Ss +sVg4L0Ze0BhH0Fi5DyXzwnwVpHCjLAvnxfJGHaHzjfiSCzlyxddiIUeuSNlK +Ef/4knukYvgl55hqDsxzqr8sDxlZTb7eSZFvOGfENjdA2/Pb9iL4OVl22x57 +U8CEr4P0OCl7wn7VdecSwtesD3sonFfxdckaX+ytDYavjaZLUfpp54YKkboI +EmdV+BRMHLe0tGAmX6zNTQxzSRVfx/kKIh1hZkuhBnyJw5WG5V775ahJaQZT +rOKLr1v34PjCFSkm3dWIo75I6ZHCXkGZWMohXRhQGFeJ4UIlUtZhyWIY2rPR +c0EX21H0iN1CtqHhH1zMdkllCPWam8TJawIlG8NEctgVxeF3ZL4K0eYhCw9x +uJwuvpSbH4aUs1xBlLcE8Glmevg6fZUdrgR86Zaj1DmmGh5a3aBivm1DN/ky +rB0q4Qbb3JDhIbTETeKqoqjyNwxvdtjji43AZn/YE8CGX2+O/2yPL/6WzQkx +Yt7II/oc7Fz5SL7FkacDjzhKintRqiqLiOqNHBbP8NncPqTOJdW9NRVfF/3g +q54OXxlYPqSJpKyLDb7ECiJVh6kLzYIJiThXpBySwmgWRuNmUImqETNBTIT2 +ynYHQUwF1wUx5zouAo0oPbiY7RLKEPU8iw0ZebFko58mDn+gyXIRER6y4Rfg +q2YTd10FXy0lvqqZ8GW94muW+l+JL2W4rOTAhvDQdIOKcfJlPpNimCw35BsC +7FgKrQfwex62s3tU6Ko9/qBAfjt8bRQrHAtuAb4onGfLG69OW+PLQ/i6IC6l +ZDcqrya9MVuJEMUWhzni0BYR69osIlodbdDlumIuqYyY+YpvBvCVIVNsnPhb +4gtaH0iLIQxfVB0e0bOIQ1iwab+iRASZwVIOcMpLOYUJlSiyxG2WEAvUIMa2 +O0DwnyY7RlEhjvvPZwBczHaxzJDFGqDnOXnRwvxAnTj8icyXDDdYOI/LG4Sv +323wZfGKhx5f8/TbAnorbcbXGRVffPmQ4es3iS/VR6tnlvVL2v6W3OwPQZjv +Udkihl/71Qc78B7LOBO+tgt8LaMR2Jx/GL6OsOVDgS/1FezkzB79cza7xb1e +8tJXtiX1p9jiEBGH7dEhWl3LQMTBfbHMneQIjJ/L4/g6p+DrhIav2mZ8WSz3 +ymfXjKGTP3x1E/gSET1GiCzi4BYMZcZsSjnAKXMKq/FsZXWkMKkSJcR26CHG +EkU2F2MLVMeL88QjOiUzA9cZKscxHCJLcGUzgAs0BrNdUhmymRcjL5ZsCHH4 +LTNfdOyrjAgPDfhqpOCrvTW+EsQrOcZtARt8yajKEl+03CuXo8SchXIqg863 +DDcyMllWD/Eph2QX68N5cVWs9pqAwNclBV97Bb7W0H2wgK+5/+zjw2VcjjqN +Lx4a8JUi8MVe3DjER8zZ1igRvYwQ/9C2OIwRB7sU8VeX+V7fATa3D6m6Xc11 +1RUOtoKYIXzZLM/bbtRkBF9yBCYjDmnBSCKikhcUhkGiVImKETNBLFiBWDkF +YhQqqlEhgSvrATHnsgQXs12RtMo7PSnXZMqjmPMalJinrxCHHb3/UcxXWREe +Rojhsn988VGL1ZlZu6jKEl/sqBFeBQb4kodT1D0BXU5lrKPtDSrd7CfLGG5Y +XfKwRITzG9gJ9BDdax2nTfiK1/C1iW7SW04jsEg+/BL4emaFL694aF6+aLOV +RmAsop+uXI3ShZ9uwC2O/yriMEeIBl8s5yYGfF2Rh151+NrtEycaZKhrfy12 +uvjqo+Cri8CXiOh5xNFIkYg85cCgnlHYMp1KNEKMCUWWKOJcjG13lEtRQ0Wm +CdU0Y5+Yc20l3W4A18LknMx2SWXIYsMhZJl709mHTjyZzyfMVzmqXagYLuPy +YXr4Mp1Jt75FyhAFZwRfiUZ8qTrfEB6alwT8hxsd9CcgZHhYm19SxMJ5Nvxi +yxscX4U9dPmGHb7Wavii4RcOl2m51w++LlFOdVjcq7xOiehlhNiNV6qQfosj +gxGHnwjRkDvJvbV08WUamqgbocblXqsryu3xJUfMMkLULBiXiCg29BT2HFT9 +ygxDbB9ATJ94GAwXW3/aLsDF0kIDuMh2ocyYRJ1wlEJevXTisACZr1IyPGTD +L4Gv+unhS3ezpdUKvYyCM4CvExxf2p6byKms6mgRHvo/U2nY3DCEhzKc19/D +rD3VcbqwfM1N4usQ4WsH4CsZ8bWCjcDY8EvFF77Ya4evFP6ozTbxqA2L6CeK +LcTufEuqgIgQLSIO9aylze0oPEK06Hu63InthZ4VVwT87/hC3f51+BIjMBlx +cAumSMQ5dbgLW1jrBQs6uEqs9hjkxzq9F2OJIpuL7Q6+vddkx1RNKA3XFpqY +rBNp4TI9uGaKzHA8xRp68kJJz8Qhmi9vMQo3AvX4qs0OfzEF8pX4mmU6omIc +ZZrxFWzEF84xhc5X6qgL53UP+6Z/Al3d3Gihu+EhUhfOa8OvfbQcRcu91vhy +4TuJOxIdm8WKFMMXvnfzBpejGL7+Y4mvaxQIn6F3N9jVyhtoqrJQXP06Uhch +5qMZZYZOl+tvbxhr8Uy2ri7m3IkdAfsafBmGkqaNa3/4+pPja6jEl4joecTR +hKf0KBHroUSUFAZdUapEfxALT90Wdldnx2iHimtF0oR7FU3IDBcbIq8gcLFA +QwXXZNIYYyjWGEqVUsiLJYcBtHZYXIQbFUU4nz6+KunxVU53M7Pc1lbxtdkC +X+d1+JKXA6g5sKGO2rFlv9cvp7O5YQoPI+u8WqRdEstv2NulvNOh4CvWHl8r +b+CIef77/RJfeDGsJb4SNXzhCmKUGDGvFO/aTCbLLLYQZYSo3u7lb4sjyOIC +czWiN9flv8LXBqXvLdO/WWlaqvk6fImIY3wzxYL9zncRkcJ4kMhVojRiAmJc +KOJqR8R9Gj3bakUWwjNNuMWkCZdSRVigMVsPLrBdTBkOJqXRm1ayO/Ox8i+U +zDPzheEGNEaBrxpi+dAfviwfsrc5AmZcFbDF11GOL52PFsNldghCP/xiD2e/ +MYaHps0Nw7EUJTzE1xx4OC+u3WDv4BjwdcYaX/tUfF0X+GLLGxxfFxR8JRjx +dVasSO0UTwMs0j8N8Kd1hFhNLACoZ5kNT4OZb2/gEb02AlPxxesij1jiFVLB +6eDrrk5XGHR7xvHVU8MXGzHLCFGzYEwi0qBZpTChEnUQW0UQY4nihsoPpB3j +WjH0zi4lume0tU1PW4omREc8N4kHGgZwDRfKkJFXN55s/CDEITdfrT1BMpz3 +gy8WUlXJEL4sVwW+Cl/8kj2xvKENv/gQ0+rtNu1Yigw3/ISH2uYhD+fFIwL3 +2FOk++h6WHxNuzg9RRog8XVGwddOWqFfn8JXOP6vtfOM0qrI1vC/e0dnHB2z +TjeCiAIKErubnE2ABJU0ZlBABEUwoBhQVAQUMRBURBQBE2AgKFkBQWgaGjAT +RKKKmAb1331r76o6u8I539d41zqLRX9i9+lT56md3r1rHBeX0/n6R3jivD2X +7Tk6rmiMSNELFaKTQszZqMIDzEVqN5UvI+HIm6+Zzr7n+BWx5q/klA0OjaN8 +GYmv5etuwRc2QwTLxkVMTJj2Eg1iiKCtozjZJO3hkFhfkTVUniFLM1vSJ+RU +PCc0PLjgGd5MNa8+2nidQM7hGb02Vu1VVh3Bl0geWr7aGb46BXxdZ/niOkst +dyyz4+fbFkt3HUsy+HLWMRFHdfL5yhy/HM4E8JKHsQnM5hAcVVwm8caXsy1f +5+Tma0vAF4nnmS81GDaNL3soti0xj41PL4+kEDsmKUQ5K8BPIbqpXVtitl2W +r8Xi4pV2XaJ8dciHrwdM83IuvqzEV5eYbQpRhmDGRWQTBseDEx02ELNWDIhx +0h6IIRwThmxHaMjCaItTGdZssU/4oEloWLiGkAPPniHC5Ou18ToZzoZxDmtQ +8MXJDS5+NSdxVDZfrBMIW5jDKb5pfL2Xwpe3jsRXIt7Y6fPlJudzTOu9OlAe +usn5yCFTdd2jtCuty8nXZ4VPGr7oGN84X0eVE1+r3EPnXxanbzxMSylS9KcI +FWJ2CjGpTroDzMMSmJVwuOuSm6/PJF9Bx6s/bsgOB8iTL5uid1IcxkVkE/Yw +yaXYS+RADIhZR1FlFBNfcffzniFzI7IXTZKQM/A22pJmawSJNIaT0+7BdRN5 +hn02Hi+Ml3UOa5rkBicPqbgs+GqfF19pLWBPu35IsI4BX7yOSXOKFEfJzhSn ++OWcjRhPHvZxxuup5CGfMCWS87r4ZYvLJN6I8rWqcEUKX8+xhMOKo5ivPR5f +Zf/YWm75+t/wXOxng9Nt+ujTo7QKMY9GMKlC1CpfUQJL42tu9rpE/Yq4KDQQ +XWu+SLeWwdfQGF+Oi2hM2MiLfmQv0UGMHMXxGrHQkGnKOCKzqUU4hBNjDiFH +Ww8SWWy2hm1S2cKh5Ba6cCkZgDFe/9bOocrMn2uSGw05OU+OfRZfLV2+0lss +XT9/Zf58UfPXm5IvV7xBSeDECXHHV2rlYSx5mCgPL/fHL3Ny3hS/dk5n8YY5 +R5uPl1LDbbL4mmr4Gq8mA2Ty9SnxtZ74Wmb4esOczsYlZi6B3ZkMMLcpekeF +GD1UxaoQ3RR9UAKTEg4t8c3ka046X8GxlVG+eHXgXSA6zosvGYJZF5FMmPAS +E8RMLJb4ilhWHZG13J3mLnL6nWtbY1yyONoaXn4c5+HZbA2mmIvh6kerA8/w +Gp02PI0yG1XIObTBF/PVKOCrQwZfJS5fbjd6EEdH/ZBMvoS4N+SLnJC0s0fz +UR52jh8fIMUbPl9n8tltq2N8TS8XfGlxVB58cQvY+1qCeLTli0vM9vTDWIo+ +W4UoU/Ss8rUlMFs6kRIOK/F9T4wIyPYrPneGb0RF127TkM/XQDXxNY0vVWKW +KUTjIiYmzHqJAWLGV2TK9lnKJoq8h62RwV2RoVaULKzCHcJsDaKEBlsuAdcJ +lDZUxss4hwi+wFcdTh4yX11dvsL4i/lS04pKb2oY4Ssu1W6U0w/5QPJVrvlK +xIdCHBUWv3Il52VbZZCcP8izl58VxeXkEG0SRym+zlbDAVL4ek3wNYH4KhB8 +nRLla7Pha7nhSx49zyVmUwLLSNF7KkRxrqWToucSmHTds/ladGR8ZTdXSr44 ++6T46p/Cl0zRcwjGLqI1YcZLlIiNbicpO8ARWQZl7C6OdclKvEFjs0KyOKHR +h+CisIsFG6f/Rxuvs8g5rGmSG3+Jr+BwbY+v/Pz8DL6kSMAvLpsKS3pyfrA3 +M6qbng3rHC8VFJdZHGX5Us3Lufliie9T2Xx9YfgqFXyxBHGGOQDxSSoxPxg5 +oE2m6JvzDKK0FH1KCcyTcIR8LciPry/ieaeg7u8N37B9ebwBxvkSKXonBGMX +0Zgw9hIZsUcsYoqy74my7/KxZQjKbHrQi7OkNyjJYrPVe+Px12nLxXCdRmlD +Nl7sHHLwVdckDxsb8UYbkT+81PQvdyeR9lUt9YhsOSIgV4ulw1eKn5/0QSTN +lQFfrngj8+zRPJPzYfFrmjhBe26Ur4JcfL2T8LUvky9usSQJfSKRmiRKzLYE +dp1zRkCeKXqtog+6VAKJVCLxffev8pU0fwXNy2l89U3nq0fAlzFhI9prL5EQ +O0SIJYbssYQy4zHG4jKmzJIVdQUHUu2YQy1LFputq8ktNHDBM6xkjJdyDk3w +lS9f7TL4clrAHknPA6fG0aJP1vKlxfNSHEVBNG2SsriccvZoXNkrlPPR40fp +hPqvJV98tu8SOjv7I5+v2Yavlxy+5qnmFNW8nIsvblFhie9MI+GQJeYhSQns +1DxT9E39M0Ztl4p1LaREKk++wrzTl1lNeW7zlzP8UPA1MB++bAhmXcSOiZdo +rJjqXpGUjWLKKC4T5uyAl8YHZcONjNBLX3hY9TE2y5DFCY1TBFyV2Xj1KmPn +UCY3cvB1IfHVRvBlWywzW8CirXx/iS+/w8grfgUDsf3il6Oc94tfWrxhxFFK +PG+aK4mvT3LxNdHna6nH17GWrw2Gr0XE12xzAP1zpovZlphFCayKHRQgTiyK +pOjdEpgsMcvUk5T4VoCvSN5pQtBcGW3+SuPrhlx8UQjmmDAPMUuZdheVxxiC +5pszosxLuVusEGFZa+ViBZt1EpF1KpwKcgstXGy82DmsRcmN3HxdLPjiDHBT +w1dmC1i0lS+Tr/c8vpLmlJh4I6lgHsHZbV7xyxaXhThKi+ddvlaFfG10+Ho6 +f77WmBYVlvjOEnyNFSVmtwQWVdFnnDEalpg9iVTF+ErP68Z0NbHmyihft6Xx +ZVIc1kVkE6YSiR0TR1FSJkGzFu3RC+I5EFA22GicLFacuODwSjJFriBjpWwW +yKJsvIWrqjJeKrNRwwRfnDzk4pflq3WErw2WLx4hlbMFzGthzpcvPXyDm7+s +SPuFgK+kgpmsYEbxK6qcf9TnaxeLNyxfLO7VwwESvpbnyRcP39izIuTraB4h +xXxxi8occwb98+KM7PucM3xPpDN8ZQksVUVvSmBhidkujSfx/et8pZ6cIptT +FF+3KgfD8nWL6f/y+eoV8OWasAQxpsyClsKaNGocoDFlAxKsvMDqJKoXM02n +02WZYqzOILKqCLjYeLFzmD9fl1F6qmcbZ8SN5isu8Y23yh4ZX4G4V4o33LE2 +fvHrhpSxGx2SsVFPis7KqfZseiM+1M2V1Xg4WzZfqsXy08KnD6Xz9XWcLy3x +ZYkUSzieMGfQiy4wWQLLOYhDDLoxJWazNNa1CCT0esRNLr7MUJTUpvL05hQ7 +HKD/zRXga7jLlzJhEjFLGYGmWUvFTecbHzX5RlHDOt44fp55YpSYpsqGKYWV +IesshosEvTWMc6iSGwFfSX6e+pc7UgdfhK+cEvr8+Fom+eLhbLZ5+RKHLxNE +S3FUWFzOu/iVVlxOxIeGL9X8pYezWb4WyfxhyNdBbl5O42vT0Tyiba1pAZtv +JIhWIvWEKDFzFxjJbwplCSw2iCPpUomVmEOJ1ItBC9j8dL6CuknG0IaREfG8 +15wy4CaXr+uM/tDjq5vLl4fYpRq0hDWPOAmdE6zp2tnVGqtTiSnr70l8mKDo +VU2TpeBiz7Bmfnzx/I2ErwtKe9oRbRkS+qDFMo0vZ58M+ZJNRiYJ7IijnM7K +oLgcdqYExS/T+WWKX544ig9ro+YUPXxjReHaI+brm4SvYz5z+bIS+tfNSdks +4eAu5mGbvC6wakEJLNKlYs+4EV2WLOHgrS9JPcX4+qDh0qV58eUMt0kXzw9L +Fc/Dx+D5og5fV7rxl0khOiaMENOUSdDSro5uyCbS+5T9K3RjKOJl4zmETHW+ +eqnrHPVh7OqpLRcbL+UcxvhqavS9bV2+siS+oQQxpcUyKw+cxlfSnJImjiIP +pMLFr/YqnRsUl2nyhhFHGXGvbl42fK00fM3Pl6/lLl8b4nyxxJclUo6Eg7os ++yfHZJ8ljvFNG3QTlpi1hIMlUuRaGL5UajfK14chXyl1yZ2xk79SxIeBuFfl +eMX8jVS+hAlLEBOgqatz5tUpcCwpA+nm/bQBous8IuW8nvriD2vSVSN21TTG +K+RL5Q9Zf9i1rFUXw1eGBLFJIEGUEt/4qIcj5ssTH3qdy2FxOb/ilx17mIg3 +jDjKiA91c2XefKkWZtWckgdfPIJjJbWoWImvlEhJCYcpMXtdYM3sMaNpJWbb +ZWklUsJ1nyykaw5fRRXjy637xyaWR8SHkq8+FeHLIuZR1kV/rq+usauL62GS +aWM0CIpazAXl1Z2LPjyf/mstujzc7MVU8vepI/m6QvPV3PB1AZ2fEvJlS2Bp +EkS3ReWv8+UlqXxxVEpxOdr5dXnS+SWKyyTeaPGtFEepyYck7p13bvnCc4iv +Myxfy9YcMV/rUvliiS/zZSUcf63EbLsshyYSKb31WQm9Lf1H+Vod48uvS/p8 +WdfigQqJowxfV2l9bxZfXV2UXKDYk1TX5foaTpf90nM1TaIPLNQjHBoEV/3u +6qpH/6aOAa22Ac2yZk1elK9Ggq82nTVfWSXmdIlUdJRKVp3F48sOt/Gbv6T4 +0BVvsHsfP23ZdH4FxWV1JpEUbwjxoZrcy3zp5i/F1xo63Fzx9cmR8vWl5kuP +kFpFk5kXGomvlUhZCcdwmmoelpjTu8CSQW2WLy3hcCSIHl+viRFtFeMrNhQl +Lo7KKd7Q8wGoP0XWvyxfDmLuZZlSNF2hr3u6RS4G1n5PIqghSXBBQQldjczF +Xxbjv9K/aWBB65GAVquH8SQNXLVc/7CB4Yv7l1NKzBviJWYpkQolvnnqBOJ8 +TU/nyxVH5SwuD0srLhvxhhBHafFhIp4XfK3UfH1AfL1dIb52CL7siIBVpkXF +SnylRGrkXy0xSwmHncLhSeiF9Dpfvj7Pl69cxeWs5LzV915hrE+Mr3tDspij +7uoCofrqaS77Cf0D/Et68+G8NcHzJBPTzFxN6RN83tiwxqBZyuq4TmOtGF/1 +iN8i07/slMC8FGJKCeyW4Mz6tFEqR8JXUsS0TogrjuoZ8JW7uHxxWFx2JkdJ +ca/L10cBX7M25eZLzbfZscby9fcoX1aC+Bw1qlsJB3cxp3RZeiVm3WUpJRyu +REpKfG1q9wj4oqF5ft0/6zhRW1yuUHI+g68MspggWEBlB3spbxPf07l6GRPZ +8xCh1KKrulpivxIXvmyJ/wSjg39jQGPKGnZLDJl0F2u5fNUxfOkUoklxhClE +P0UfTkF0JYheC5iss+TH10yXr4kBX544yhNv5OqsDPmy4ig9WVQ0f5nhNg5f +6/Pha0mMLzOizbaASYmvlUjxFA4r4aAS8wn5d1nyoFEpkRISxDS+3s7Nl1P3 +D3VrkeNE0yazDcwjeZjwZaOqFLhCshRHV6pviBcA1x3i4k/4Z8GawGHrsqFt +Z3W1sxc+oc9bM2tEmTJnZMuKjbsYtWIyT5IWgmWkOGyK3ikxxyRSUb5m582X +FQmoIFo7IY87fPHkjVC8occeRovLTucyiTda7pbiKCvuTfg60w63AV9Lia95 +Mb4mWr5Yf7jf8rUq4YtHIJYHfFkJopRIWQmH6WIuTOuy9AYh+hKpRIJoJPQq +9WRLk3aEVDpfOXU1acf1pk+O0snD/JIblq8suAxZjJWi6Wr1ndV1rbiu0Rf+ +K+wIXfDWLrYXvqTrQrjfnQk0oqwFGzKLGDmKdTMQEybMcxFtiqODN4U+nMLh +lphDiW/I1zueDsfnyxm+oZNUujklmRyViA81XxHxxiHJlz5PynQue+INFkdJ +cW/SnHJm6fI4X28Kvp7Pyde2dcd9WerwZSX0VuLLEsRnxRQOV8JRYI5j9gYh +hhIOPSXAlSBaiW/F+JK6tbiuJnaiTfdg8kZGZ6Ud3jvMDAdIcw4dvtgt7O6Y +Lay4JQvfGfDC/8RP8a/r1H9C9IqXnN7zjnx1VBc+aQ/HgFhTlClzVtZaImZi +Mc+EeVmOOtkqqVBF76XoRQnMkyA6LSo04iZVh+Py5Q63iTSnBOLDmHjD71y+ +wulcluKNQBzlinuT5ko1nI34ej/ga2rC1zsOX3t9vniErxrBYVvAFhmJrydB +fDQi4fh3IOFoE3Yx85QAI5FS2d2Ar6dMatfja15xrP6VQ1fj60J/iBa/4sr5 +3s5ktrTKVzTyssbr7u7CcgmyGCv8CFCsrxvM1UfX3eCeIQLCGy6uzu3V1Qlv +PkC7VIHGlEnEVCxmTZjki+vRhrLEhHkuYjQEi6XovRJzfBRYTCdQQb5C8XzI +15GKNxJxlCs+1M0pcb7mbkjhS/enmPmHfD7sjjXHb/vE8CVaLFlCbyW+LEG0 +EqkUCUfaWUWuRIrO2lPRsZb4Wgl9yNesLL7y0tX4Q/O84leYnLfKKCf46uV0 +fg1PSxta51AYL225GC5BFiiGoYQvip84yFy38HXjT3ixL9pwOdwzcV1+sbq6 +4pHizTeUacSABh47MBEmjPmSdedzY0KOVBeR01MyhRgcdO6UmF2J7+S0Omac +r7fy40uID0NxVJ7ijfGtpThKTY7S4kOPryp6OADxtYT4ek/w9Ur+fNERD34L +mJX4SgkiSzhGHImEw0qkrARRS3yTFhWndJLFV6M0viJDvZy+oSuCY81vyAy+ +IrLemGzD4ctGXmS8JFz4tkwWfooCqp8iGk4pfrS98AkuRD248NzsRZ90x9uO +54nXHg+2w4ZOjBg5im0o3cEmrFjyZWrNNT2tlDRhLETsmjmoLTzIUpSYY6PA +YnXMFL42OXy9FPDF4t50vuRkgIh4w58MoI51mMziDVd8qPmq7vC1ig53cPma +mQ9fuyRfQYullPhODyRSFZVwWIlUIEEULSr587Ugxpc/9DU+NC/HsUQpY6Nk +27IPl0FM8pUYr6tcuIisgf3UjxtwkzKa+NH+NeAn2Au4ZLha0590XYmXHJ4A +3nai7HK8/O0JsU4KsXbwEsmENQn4OtdVJ0rEPBMWHvTAgzj8QfRFkQO1pQQx +tY5ZMb7c5q+4uDdDHBUXbySTN1gcZcWHjrg3aa7k4VFL1hYsNHy94fGV9Fea ++Yd7lzFfJ+78OOTLl/haCaInkeJB9PlMCQgkUg5f2rWg1G7A15tpfOWu+z+V +VVxOkoekPPSDL+Ec2syG15Mi1YYSsYQvY7xUzHX9IQ0X2SzGCl4N0MaFN0Re ++ATBDh5XC3O1VNe1sCB4yQEaUdYdGxdZMTiK7fG0yYS1IBeRQzDwVZuMV82e +Qmbf028HqxfOunFDMJnisClEpwRWLzIKLLuOmcpXe8NXZ8uX15xixb2u+DDr +TD0xeSNNHGXEh7I5xTZ/8XAA5msO+NpIfG3Ony8z4saT0EsJop0iZSRSegpH +HxoFFg7K7hyTSLkSXymhH+W2MLM0NG++ZqbX/WVxWSbng+RhGHxFZ27c2zWm +4+0c4QtLnBiv3opc8HszwcVk4UfDeiIAxG2AdHXR3/EJXmM8K3nhk+alvcEa +KMPDbEuGjKwYEOtIXmI7isI8vmC8ahBZZ4u+MDsuoFZ3d9aomeUbDcGSFGJx +MsoyYxRYRh44nS8j0k6KLMwXOyEknrfi3oj4MF0c9Wi6OMqID424NxHPO3yt +K3hX8PUyz58P57PtN+cv71qp+Nqe8KVbVDyJr5Ug5iGR8gZlhxKpZIqUlNCL +1FPF+Xp9i1M38er+Y4LiVzR5aCvLGTN7jfGyWnduLbFNKEAs4YucQyz07Wy8 +blDwDjRw4Yci7gNQvYeo28A+LC+8NtiFStR1E1398SW8MrzYAA1vOHYqGDL4 +bLAseP/JS2yvojDlIjajFEcDys/DOaxJcFWjbpeqoq/5HDOuTY5DDF1EG4LZ +FEdv28fn6kjdUWCronmqHHxdnIMvRzzviA+PZPJGRHzoiOed5koeDmD5mlEB +vtYexyM4Qgl9KEH0JFLOFA4l4UiVSMkpUlJCfyR85VuX3O0PzbsvSB5mnPkl +ziTyB7J1DtonOxm+uiofMuHram28QK6FCx4pjFRvwgq3gfcEWzFuKbnu+Akm +Hi8wfDBcDdQ1EA8NXhlAA2V4jC0IMbhtMC4wYRSFXQTXDoAwXz3K6pBzWJ1o +qkq9z3aMQFUzzreGmdhWX5xlKV3E6FnnN6afNjU6/zxwGl/O8KinmC/RnBIT +92aJo8TkDT7W4elWrjjKiA9j4l7b/KX5KlV8vS75ovnYgq/3DV8rLF96RIDk +iyX0gQQxmSLlSqQypnDYKTeOxNdrUTEtlrb0b/j6MBdfaXnd9KF5NjTuLZMb +/WNnmgcDsSOjbKgHOUGsq/qXki+EcrCJyjO8SVsumC28GCALtwFPFfeDC16r +vuhLuF6UPdAXIh08sXrrbwFooAzPEJ4ArBh8Nrz5eMIAAR6dy9f5ZLzOJrgq +04QBOwPHzm07J35ckXERZQhmz7JsmuO0qSdiearIOkq+2qbyJZoro+L56GSb +vMVRcfFhhK9Fhq/Zcb7MfOzv3z/twOJT9y07ZQ/ztfp4t8XSkfiGEsSoRCqc +wuGeFWsliGkS+gcb+Hy9mMFXdlzs103cE9lYd321SB56wZfq+co4kKhzMCSK +L0IMS8kuoubrSsUprCHWHd8fFPcly4UfjXeDyep5t3pb4LV2Exe+rL3uDry3 +eD504S93IlxVT2z9YDw6PMBGCrE+eKR4sLAvoAC7Gfw64qsE9oicQxivs0AT +VofmeCTzpmgwThU+69wcF1vPHBfbTBxnKUMwmeJIO20qrLN4fsj7sX0y4EuL +SHdF+eoZ4ys62aZi4qj05hQxfIP5erW8YNrmgil0vt6z9nyHg/MNXzyCY2Uy +4sZrUQkliHZKW54SqQwJoiuhl3w96fI1M5sve/iX9NudvK43NO9eN3kokxsi ++Lr92tiBy+5pKc7sNZpUoxHrrEMwgHkn8cXOIYxXv4HKmcErgZ+Oe8CdgCO8 +LWoe/gOHFP72uv8QLAKeDIw7XfjL8Drr7oG5B3d4brBlQAwvOZ5nazJhiJIo +BGtn+KoH5xA7HiCikVOnXbnxZBqWyPMSTxWjs70TLUsoPdXSVDDtccxJisNN +IQanTUXzVDTiJjdfM1y+ng34EuL5RNwbEx9WTBwlxIdC3CvF87Z5mYezhXyp +8/X4/OWEL7/F0pfQswTRTkGsoETKOYs5B19rVekkha+5KXyVeXxFhr6mnMh2 +jUhueAeaJ86hd1plF3EUkRz6dLH6C74EYvivyoR1FXxdewgBHb45AnD8rN4G +LtgsTRY2ZLCPNwfXw3ThLw/9gGeCsBRvLF0PqS8/GYF3GKDhocG1JsQGNCm9 +ES88LAtMDGyN4au4uwq+apBnCMt1KgLkq2nam5lNesKV+miVSuJQy9rChDU3 +LuIldo4KB9GtnBTioMiooo9DP2TmEfHlFlkeTeWL01NSfJi/OCpDfCjE82Fz +peZrq+RLn29++oFFDl9RCb2U+FZEIhWdcsN89ZJTEI26JmlhFnxNzl6XCF/e +vhetm9xvkvPR5IYNvqRz6J1mfql3SIq+eIahQqyD+jfKRbyc8vNXqjwkbCKc +w/5kvPBzNVz3/URk/XDFI9/jzcHmrPYBvkbh+g4vKnythmtGmwt/fxQBDp5V +vU/uw/tce/1QPMOS0v54pHjt8f7jUTNfYASwILaCEwjLdRWNJ71+4/G96RLT +Sk8ixM4g7U112hvr8KF7gYvY+WJTZU5OmyqVp00Ni40q8vkqcdZxtcgDV4Av +pzlFinv7Cr4qJI4q/soXH2aJ55Pm5c26+YvOX7Z8LTR8LXf5EhJ6K/FlCaKd +gmintOUhkXIkiELia6eMJi0qooU5J18ir/tuBl+pQ728oXm27/WWvr5zeHdP +96hle5S5OafyEXHhS4sYSFQhWHf1TcAXsFXO4SBF9NV3qndDwYX7efh7hdXo +/bhJ+LF07eW/YFso+viJoo/HqQfy8ZNF6hqnPlkzBtyBMgQ7QAwOQMP1A/Gq +48HiCcORI76awc0j57AqnIqrCKgbNio3vp84GMIMLz2JHEU+95xNWH1O1JNW +qo0RCXAIxscV6RSHVfnWDkapuPukbWHmEYhYxyUNc/O1PcIXN3+xExKK5/M9 +NoXFUbutOGqmJ45yxIeheD5p/nL4miv5Ei2WnoTeSHxDCaKc0iYlUjdlSaQu +MhJER+LrtKgkLcx2xE1uvhovKLV82eFRSXNKZKhX5MSoJLlhg6/rTOtQL71A +bLzsOebeCZX2wpcasfZkwroqNi1f+BH4Wdfdrn66cgsfPAiX9fLRB+C+4ibh +x3Ydvxs3rK/xu/EJnoN6RRHF0FWsLnzyFEDDg8LjAmKw/nXW39qotH/L0utg +XEAB+EL0RMFXdRgmuIVYmr40xP5mOifiZjoqgk/i660RO5nSHWdS+vc8SiQ2 +DFzES0UfhB0FFpyGk0g4xCiwNL6WpPM1NeBrtMNXVDyfPTnqkejkqCaOOCoq +PhTiXk88b5uXn/nc8hVvUUmV+EqJlJUgpkyROiVNguhNQczka5zg6+Vsvpr7 +fAXi+ZShQ1JXI4e+WufwritNZsMar46U0CC41NEM7ql5o9upw1BAGf4TAFQm +rLNiExGc4utG5YLix+HnKs9wxI8KrjH7cXsKq6e/xd3Coe0yka4JdD27SzlX +Kv/2AqlkpygtBL5cNZlAA2WPE2L3wTfDSw6voF1pT8NX4x5l58NXh0cBv6If +YXXLpn8NpmB5sDiQpS9p267We2Mlcu9rGBNWYkQ47UwQ3UWMAovmgYcHo1Tk +qFgewZEvX8k6+sMBkuYU7Ffh5ENPfHi/eyxRqjiqgSuOMuJDc3JlDvH854Xj +FF8VkPjGJYhTXQmiO0XqZG+KVJeyUOKbtKhw6snla3QmXx/E+dLDe0XzV3To +UGwoSpLcsK67dQ57KLWhNl7GM2S4wJE8V4ivsQTaqAt+gBXDv8T/ghAMkOIb +wjKCYjiHynjdd0i5hYBr3B5F1oRvcLedntvR6fntyfXcDri4VJl9meYvTacL +f3kZHxJroOwZQmykOuFu/a1NS29EZITn3GVDW6AB5xDG6xqCa9Cmf91Gh4jd +Qcdf2nP6bjGIXU8j7ikQqyJMWJFxEdvaEMyeNiUPrK8n/Xxn1IOW0JsWMMvX +wjz4CtZR8+U0fznieU/cm9/kqGm+OMqb3EviqDzEvcxXTolvKEGUEqlX85VI +Rae0WQm9bVFhvmSLZcDXR+l8zU/hKzp0SAxtuFIkD5OhXqIvj51DTsuz8dKe +oYWr3Q/uYcrqwsLhE0LsIDAEj/h/ASm+IfjqN1C9DMp4wTN87ADcP7w5iqwX +tl/64jbcNiKODnThL8rLffFr/O6NPpylOqfU9Qb+bPTha+qTj14tWTkNtgwP +CpYCjw7mA64aXnviqw28O2ACdwIeICDCAg3bdBw8jeEkGbUnOA8hQzaAHMXr +dCBWSCasJolwGpgkcGsnBIsfWB+u4xNa4ruSJfR2xI3la0WF+TLNldHmlCzx +YebkKCWO4mOXzeRDd3JvmvjwFSmO+rzwiZ/nuHwdkQQxkUiVOweBBVOkiqwE +UUh8lWshW1S4xTKFrykV4SsZvuHwldFUPsRJbtwqdde29H95YrywQA8LuODG +23Nd4W/QpY4sB2X4r1hKOCRYWSwxviHWve8gZTRhQHEzeFvw2sBIAaIO077E +63TJq5/j/rFF4E/8/ZLpX8DXJUHRHBWzrHi7ZPk76sJf1CdvKdA+egUeIxzF +BmserbNuWNH6AfANgACeOawPLBGQgeW6g8i6v/zYEXSUM/58gIQ399CZs0MN +Ylg+qrCcRomOc6gWVs/0QbSyo4pSThOwp3V4rbJW4qtaVMQIqSPj60Ccr1A8 +n7f4cKo4ttIXR6WID0NxlOXrF+bLkyCuyJIgVlAidUJMgqglvp6EPuErWZcK +8bVO8zVnUzviy2munLgzEUd5Ta/hiTZe8HWVSD1Z40WeIRYIbiHBxWe57num +1b5n6SxXvp5ptRcfYgWxjoAR/yO+Cb6h4usWZTdV5DVmP+6t0/M7YKfA0UUz +P4PxxXvV7o0tuC7A9fpW/Dr4XG31Sg27AAGLuBbgUSjQPnwTTiNiNLzJqvC0 +fhBsCp4zLA4cPBivmwguLBDc+EfKjx1Vrkoqj9Ku+BBRdi/JA4aSo9ifPHw6 +WFabMOPkNxGjiiIHaqe08hmJ1P8XX48bvsw6Os1fgXg+97Ff5lg9K45KmXyY +Uxyli1+arzSJ1MfHh1Po3SmIcYnUfakHgYUSXyuh1y0qZl2ifE3y8k4uX2uK +DF+tFV96uA34MkPzvknjK/XEjRt85zAxXu3Ze1fVE4YLdgp7IIBCmMxnkU9u +sRt/Tmq5G588TYjhH8OEgVBYQxhHsAy0EXnhrjo/t6PDtK/wIuF1wkvVek45 +rLC98GWb2epEGPp9F+NXbrh0ecOlK/BCqj+XLscnRcs+IMreUlZs9QQ8Onho +LUuvhYm5vKxxr43V4K4DnPuJLHjyWKwn6MJfxhBoD5MtY8SGkAfSVxcxT6Mg +ujrrSMUolQtFCNbTTGNOpp1Tit5tNXIkvnZEAPO1GL9LXnx5fohcR0pSSSff +EfemHvt1QIujgmMro+KojxJx1PwMcdTnhY//MrswLuGoiERqmpBIPRKRSHkS +xDQJfdhi+YA/AvEI+OLmr7Cp3BS/sk8EGGKcw7t7iqGU2ngpz3CMdgs1XCAL +WCFMxk74QjN14S/4EpRhBYEhAuoHOqmFhnHEawDvFEFElwnfIMi6aNaneJ1a +vr0Rr1aTeaX4XfhqMm8DPsHvhf+EN1D9sktWwV7XW7y2Pl30l48bLFnZcOky +vKjKh1w5FS8z3GwYFCCAnQ2MYEWwNI+V/3Pc5n/C2XiWrmfIsR9Paze6XG2P +Iygiu5OSigN0H9+JNGqvmtEJFAfTmC8LpzHHWiFkq+xLYkRAwNfCkK+Yn2/3 +SdFcef3QFPG89fB98eF34bF6M/ISR33gTp73xRvEV6Wf0yUciUQqmDKaLZFi +vtyDwDyJr54y6rao+C3Mrt+eP19quOhFCV+OuDdsGvInlg9w4+KrHefwAeNa +cOnkcYq5GC5ABJSwRlOafYMweWqTnVgs/Im/40NwBycEuyXYxCoDXphLbLy4 +pUunbMPdYltoOm9DycJ1eMHqKWrW0LUWXxa9v65k4fom80vxa9ovCb1S/C+4 +8Hd8Un/RWjwKeIzK71o9oe4nw5uXXg8vDg8fvgSoAUGgadLmY7AfTtmsVu15 +ip0nEGXjyJAxYojFbicnX5iwMylRX8fzQzKmxcoSmJJwkERK8DVd8LXA8lWf +5hT5fPlx9B6Pr7D5KxHPC3Gve6xehcVRgXhjfoZ444vCsb+Cr7m5JBwVlEjl +kiBKia/TosKlSdHCXAG+8CrircMb2OId4isZDuCKe23TkCe6FsqoZOKrbBri +vJOpS1rjNU6VTkgaStldLBBowhq93HgHlgnXdPpzWuMdCJzxD0AifH4sMTxP +hHu4DdwY9gE4gdi08VuQGVpB7t8y9ecSa7DW8IAs/I7N3itr8c5G6zfyhS+x +t8CzwiuK1xUBDqwG3vYuG9oiBEbYBXYmbj7mxc3/wH44nQor02lvnEqgTSJb +No6s2MPUBzGMMvbChHEcXcu4iDpLn6/ULWmFsBLf6cmIm4SvVRXi64pMvlLF +vSQ+fDh+rB5PZgvEUamT2bzJonoy9ueF4xRfb1WyJebvU6eM6imI4ZS2UCI1 +SkikAgmiJ/ElCb1oUREtzNl8zThCvlRTXkR07U98DSZS+k1DFyfGazy5FhP1 +XBTlvU8jsl4t2QYfY2bRV7Pown6IT6Y12YF/hkAM3wTfGUTjDcFrgxuG8cVv +gXcMnlLx8gUqiWHyGEXLFlHABdBWcnZUTe95k5Ies1TSA68fLs6K4IUEfUCs +ZMUc+GN4qvDlYIOwLoiRwdTrW/6OqHk25abeoOWbQZS9SIbsGaNze8i0ynKr +kdknz3KngbWOTgOLSAWoxOxKtacmIwKYr2Vxvi6M8WXjaOnnpzenxMS9cfFh +PuKoNPGGX1z+onCM5iv3lLa/Z0xpCyVSOSWIQkKv/Qr22924OMbXygrxpZsr +/aY8KwoVycM+gajGF61Z0bWJizmvO4FirheacepJrQ7IAlNwMN6o/8Wb9T+H +m4E/sV748OXG2/GP8b/j2+JNgD298LVPG36wDr8FZSdUpl1lR1WC9C21t6s8 +/LvEmlI42PO+8cqp3+5ldXRFxxe/hg/MJTN8AtA4UitZNRlPtUdZbXgUWCDQ +9O6WoxdsOWrhlqPw53zKAM+lIsss2iRfNHWWx8nP531ySDCtKHYgTlhqEaVM +IcUJ+Jrl8rU8H75knsrwFTRXmuaUbHFvbnFUXuKNsLiskvNfFI7+9c1Kv8xJ +KzGfsCM2RWpNIOHwJFLBlDa47mkSX69FRcfFZgQHtw55fE3LxddGzRcfCmCb +U0JRqCl+VaAoaUU1tC687yEupryuqktiaWbq1K7yLubU+RQb4Du11YWVwpcg +Du4HTB6sId6EzpN3InTCb0F5v1fx25EY4yVKX79CGYBZ9MvqOYF6oj6fCDPF +nNhO0ik1+uAZparC98RvDTOH7wlrcsPGfwGu97YctXTr37BwK+nPFVTEXESg +vUO2bJbxQ+y0opGRbnROVfnd6G6KI0kFc6nFLWV6Uu0oX2sdvl5z+ZoY8DXC +4SvanOKLe6340J3MVtHJUeucyVF+8Qt8/Wb5SpnCkTFFyvB1dJpEKn0KYhpf +fYO8U26+GoZ88fBDOzTPa2pwi/42OZ9XUldMbLBFSbsuuqmBmsrn1FVkvVtL +lf7hXWCBFlbfiD/x5dw6n+JfPnrhQXikuEkYJqoLTyal7tN0PUNJgMlUJ3JO +o0va2byzzMZSsnqUbmnBuwfuEIvBoGBdlm/9GxwPhM/YITdt/Z8yygN/bKQ4 +XMqcLVJVoatvXJHTA1fEc/VlKG1TVWlSHMGXPuKhInzZPLAcDiCav+LieX/y +oaqtPJ16bGU4OUoWl614w5sMoJOHXxQ+pvianZTAIlM4LF+bKjBFyk5pc6cg +RiT0Mi4Weacgr8t1yZUv5MOXGc6mhgM4TUOy6M9Fk4oGxTGnPdLxqicOqX3v +g7PLFlfdANdiWaX18N6XV1oHN35+zXKsI8wl7hz7BvYQ3Sy59iF6D/lMVXkm +nT6zOFpr8BpF8RvhTes1TMlCQMFnm47+et2x2CdVqcW4IvmrBVy128lyoKVd +ymCrlK6+DKXT+HrbjKBf3sDha2MefDl5qmuz+TJJqqj4MG1y1PxzjXgjs7gc +Fr++BF9vnPHL7EqxEpg35cY/iDntIMuxEQliMgWRFqWpGxcnLWAyrxvna1WU +r2XMVzH4mufyZZuGkqK/KEq6QbHjVASbnr8o6YqauWmKmsIVqwuWYl3gt68p ++ADLhO+sXrz1A/FbY7d3D1cVbfWiJuv8ImL37kle7vVD1W8BE4z4EZsDtug9 +jXocnlX54Lx/Y9vcs+JkxNRYUGyYoGxr+VGcEGbBgHesNkfTumFWVDPJRZRq +gVZhN4RdSuGKpKSqPpxpRnDkz9c3CV+jBF+2eVk0f3ni+fjkwzyXUhSXV7mT +N9ziV5Kc/7JwFPhyUvSyBCZKzNEpNzklUkKC6El8Iy0qMq/LdZMoXyV58mWG +UiZNDc+4r6UIir1NL1mUmGKNK5Iyo5tExMajsCvC5RIKh+FOzKdY+HU4EliF +ryqN/OmW4is3ngxvuf2GzgheOMlTUqoyb3aHMa+l3vZ1iYFDSPoVNFlDVPAI +4wvLi3cJNzy23fd4N3bWuPXnoUV/TD0Lu+jBBacjuIbnr9z+dcdhTT8lxGhB +lUOywCSEeaYKr6b1RgYkLuJZPcrOkyGYq9bmFGKylGKrjPH1YcDX4rX2nA6H +L6vDCeuYXh442vzliXuN+JDFURMDcVTK5KiM4nJS/Ppc8TXmy8JH//v6GUmK +PlYCC6dwhBKOqERKTEE8wZX4Wgm9bVHpFS4K1U3+El96+IYQ1bhBcbDpWeWG +VKyZcUNBximZlfcKrcjrDb5U5RIKhzVchevUlPKC5TBYcCQQBW8qeAX+A3a2 +7VXu3l38n8Pjz8UzvGXTv/BkYNMRxcDFwqNgysicDaXghZPbY4s/fkr5t3z/ +D/zIwSNuHp4t7hy+EGwuNgTEiXBf4eTgNdhx9tDvLm3228i6QOzXNyv9OO/f +WF9lyFafAI/RIvYJxWKLt+qxYDOMCRtnZhbdSatpvBGOpjkE42qmVLtdJVL0 +4VL+//Il6piCL9n8dVsfN08lxb35i6OUeKM0j2MrneS8w5dN0accFJt9kOXL +KQdZuhJEZ4pvbNO7sdiv++fJ10rmyx7+5YjWZFI33PRMct4mD3VyQwZfSURs +dzwtp4HxMuGwWo73ztONQiSksXC9A7MF/wEP/4vC0YBrV50b97dt8/vE6niw +CF0Hbzqu18az8WRg1mHT8UBall6Lp4EQ5vz1g9mUc/yibn6kynzC8l7lmi3c +MBxO7AN4ZxBHAHO4Ljuq3b6/1UU/DSo+/EStP1+pgrVWiC0+FfE1nJNt65R4 +G8u6kdLCy8kneZtqLi/ThvmUSQjfTTNVzLGkarcUIVgboRZIUohsiKkEFltK +R6qdk6/Ps/h6IL6U/lYZiHvDyWxeHP32+Z/GxBtOcTksftnk4ZeFjyi+ZIpe +lsAiJWZnCoeUcHgSKU+CKPK6iYRenvIQ1CWlrkbGIBl8rc3iywTFclG63Ss6 +8lhxbRQ1smIiPQqsCGc2JrTcawaVs/HiUzbUXvdBtTLEXMotLFiBXc6Fa8y2 +Svd+c+7APY26/9Ct6e+TqsNJgGOG1xh7Ua+NVfHGdtnQVhoyPBDKElAVae1D +Ku153yH2CeHT9hukdmm4QPB/sDkDrtHtfpjc4ts3GnwB6wlHFHztbdb54FVN +fr2/3u8Tavw+ozLW+uB87Sju+Ph4OP9YWUTWpeQlLtn6t3nChE2gBeUsx1DK +Il6rBW92NZs6KQ6TQjQqetstayVSYSjt8aWWMoMvndsZ5y9lT7d5OYd4XogP +U46trNCxX/ZYByc5/1Xhw/997YxIil6WwIIScz4H7UkJYrrEV7eo2BZLt+5/ +l78oR8CXDIqDTU8Wv2TyUFVMpMdOK2LlNE+YdJM+5dAYL6wFe4bY6FYUfgIv +AjEXlgDPn91CPPOvK43YcfaQb+v13teiw499GoGv/YtPxSN9Y8vfHyv/JwKc +/2ysipcWbyycZ1h22AKEpY1K+7OvWHfdPQi4cM+qynObumG8QhIuvDATWu3F +LeFOsMdiucHXnsbdvu/U7Ofbiv47pvYfU6phxbHWCLT3LTtl18oT4f9/SV7i +Jqq8rDAm7DXy+SdTzYUX9E4KqCkhfKoIwZwUh0khajUpl8CMhIMkUkqCGF/K +IrGU+fG1P75VpvFlxL1GfHhoVFwclUO84XYu+8UvmTwEX4fB1xuVOIUYKYHF +DyrKOmjPm9KWIvH1WlRs3V+PCKjtb3qpixLnKxCtJYuSiDeSjoYkOW8yukly +QwRfj1z4o3UOWUvzcuMdOvKq+xmff73orDJ4hh8VrsLrvbZgQWnBnI0FMxD2 +Yk+Dt7D9zGHfnDdgT3Gv/W3a/HRzCfjCA/+y9BiYMBgLuGF9lRU7SyFWphDD +w8Hrip2nUWk/SnoMZbj6uHDd3V3tALg9RIWIIODYgHGsPgJtxF97SnoeuKDF +oX4lv42o9/uzNf+YTl7iewjETuNADP4/FteYsP+FCYPb/+aWo6fTnvkM1Vwe +pAW9VTv8J1GWnkOwRq43cgVXW5wSWCLh0BLEI+fL1iZ4KUf5OhyvuTIUz0fE +vYE4KkO8EetctsWvJDnPycOvCkcenlWZU/RCRa+7wCIlsJQSc3jQXiBB1BJf +muKbSOjdoNhz2u9MdKEfpy5Kbr7UpmcXxRFvMF8yOa8jYrHj2eBLpXONO8G1 +SI6FbeQ17zwyXlVgvNZii2PPsKzgNYS98BnIM7xv5zm3fVv3hr1NLjtwUfOf +Bxch/sIzh5OGFxtuAN7ke8qPg4GAre9WVsRWzEMsAlcPVTuA7zqu7YHnm387 +s/grvBLYZmE3EWXvOGfI7uL/7G/T9sdrG/8yrMHhx2vBhGFTxXIjFti39BQ4 +qAgB4P9/Wn40m7DllKufS2mrqbSm7CIOLz92CC3o1ToE44KmqjKbFEdnN0XP +am1VYoblrZ9kaayEPnUpQ7465MFX2FwpQ+lhKXVM/9jK6GSb1M5lp/PLS85/ +Vekh8OWnEN8/3XaB2RIYdzHbErOdwuEdtMcSqZgE0ZP46qRTh0RXY1uYb2og +R9wI3TUtSiZfCxO+/E1vgseXU1xOkvPGo9DJDa58meDrMZE5JOdQFyLhqGMh +EHlhl7PG65OC+aUFb+HJI+bFA4eroIzXuQN3N7xmX/NLv+/c7OehRQiI8Njh +J2DjwmYFH2Bs+T9v33QcXmC8vXh1u2xoQ45ighi7hSrmooQG3hm8MHhbEKfj +rhAMYtfFbSBAwI8G1CB6d9FV+1tf+EPPJspFfKQOoP7j1ZgJ26hM2Hoqbi7a +qiovnOWwLuL9VNM0WfoCTljJFAfvlhxNSzWOkHCwBJEl9NyiUgG+nNp6qCMV +zcv9RChtm1NCnYAjPswQbzjHEsnisix+2eR8kjwEX7/PdPmaJ1L01AUWlMCc +g4q8KTeTYlPaAomvbm1ISTr1z+Qra9OzfEUWhcW9VhwlissyOa93PFqRJLlB +4TAvxziqlUjnUG10Om3IkddaxL+h8fq60v1w1Xad329PSa99rS7+oVvTX+5o ++PszNfHk8ajhfmPLgsl4bvM/RpYfe8umfyHGgbnHs6J0R0cTi10Pzwdw4bWB +hYX7itsD+wAfISFej9eKvsKdIEwA3eUFU7HEO6sPBl/7Wl7y/WVN4ZH++kC9 +w+PP/fOlqlj0n94u+J5NmIjCsLgIrpeS5/8WLesLVAgbTT7JXZSl760XtFqQ +4tCraaJpXWI2am2WSLHEN2mxrDBf/lZphrMN80PpgZ4OJxT3KvGhM5nNmWzD +4o1Y57IYO6+KX7HkvEoefl3pQcXXayqF+LNIIapBo/ESmCoxmykBvoRDSqRS +JIhR3ZpqAWvjJJ0Gnu/0NXh8pW56Pl96OMC2nHw5yXkhB+XkhnLXk+BL+eqe +c0iZjfIPzi5beobKyVPkBeM1m4wXIq/xKvKqfM/OGrd+W6/Pnsbd9rdp90Ov +Jr/c2fD3p2viPUfAiyeMwHbx1qPwGLFB3Vt+bH+V66gEA3F5WRP40pxRhJXH +awMLi/AQt4e3Ba8Kbgwh4XMtvkXgAOP1/tkbVxZ+tL5gLmJt+P/4obsbXr2v +RYfvLm12qG+jX++pf3hsrT+ePxvr/svswoM2V0+JxM82/n0zbZ4f0spaF3EC +lV1Gkk9iQjBVBfNSHNgHLt7Q1Xr7otpiuyGUBNFI6C1fagRHDr5ezeTLDrdx +m5fjzSmOuDd6bGWqeMPrXHaLX5ycd5KHX1ca8fuMyk4KMVHRm0GjogQmS8xR +CUemBNFKfGWLitaFyhEBJumU9DUEfKVtegFf00K+rDhKKdauEnx5yUMnuUFa +GhV8kS/xfHOVaNLOIXkRC2ps4oIypQ0XUTWZc/KTPi98HPvY9qp3fnPezd82 +uHZv08v2t2198Komv9zV4PBT58I/xwPftepEPNvVW/8XzvaUzf+Asbhj03HX +q0CsKnYkPC68vfC+LtzQHeEhXhjEhmAfjiu8VtwVbmlqk524GbwPcGM+LliC +n461xvrurHkL8dXxu0ua/9i7MaD+76jz4SL+Ob0KFh0ey3fvn7Z32cm7VlG5 +mWphG2jzXGxcxGlblFsyjsqa91II1k9XwSrTgtbnhJVJIdrVVNmq4vU3CQnH +/a6E/jnJV0m4lBl8PRW4+sMjwwEGBaUWV9zriw+nmmqLL97QkwHC4rKfnOcz +v2zy8OtKD/wxo/LhWZxCFCpfvwuMS2BZJWYr4YjpQo83utAUCb2ju5Yj2irK +F296WnSthwNMEZPniS/V8TrioK1IWo9d80XJQ5ncUFo1yuXCV8daTGpJmijX +OTSZjTVwztcWLMSTx2PfXPAiot0vCh/bVuk+5RzW7g9XbW+zzgfatTx4TWPF +15PnYX/DM9+z4iS4iAhsF205Ck/y2c3HIIC9Vb3GJ/Qsqw4fDC8wtqNLNnRB +bMg5DRV2tVeeIdwb8A7YQToiceyxCA1gOrHQ+Lnf1Byk+Gp+6YELmuOH/jyk +6LeRdWE3lYvIWY4Fp+9bIrMciYvIhbDpW/7+PBWabQh2E+WEYV5NiqMRJaxU +CtGm6I03Emq9WOJrW8BeFSMQM7fKPPkaEpRaro/rSAPx4a5EiiPEG+nFZd35 +lZKcV8lDxderlW0KkVL0BSJF75TAbBezV2IOp9w8HpEgnuRKfL0WFdnCbEdw +3CGSTl5QzIuSzdenDl92opcrjrrKK35xcv7aJBzm5MZISm5gr+PgC44EFmKm +dA6rlcF/MJmNeXAb4DNQZkM5h9vgHFYfvKtO3z3F/4Epwauusnnga9x5f0yv +ArcB3jhcROxdH239G0wYXDI8QzjY/VUg9m8KxEoondhe5TQo7MImDA8HtwTe +pzXZ8ToZL9hQvADrCt5GOAAvZdsZwymjAr46HWjX4uCVTX6+tfi3EfXA9R9T +qikXcU6qi7iCgus55PlPoWXlEIyrYDbFQQvKKUStQhQlMOXt22pmoKUM+Xo/ +F1+uKxLwFTYvJ6VMK553xb322EoljnIn28QmA6jiclD88pLzSfIQQTfW9/DM +MIWoUvR+F5gYRJ/wtdWZcvN8MKVtkCvx5QF6KX0NXt8Q9+XJvvK8+DLD56N8 +JeJDrkiy5lzxJZLzXC6xyg2uLPNa2OALwQ6iYKzCO7W3UtkrzTl8As95+5nD +4Kd9W7fPnkY99rVsf+Ci5j9eR3w9XuvPl8/ES46AF344ti843nC58TAnbj7m +ETIWvZWlqILnRoFYW8QR8FrZM8QtPdNqL4wpGy/cBu7h44LFoHtzwQtfFI7Z +XuVuOKUqv6H4aomg76eBxb/eV19l6Z87B1srFv3QewXYTuGrfGNcRC6EfUTO +ydu0sjYEe4iqYLeQTyJSHLygTorelMBUtsqoBazEV/I1vVGMr0goHXH1I6lg +5kv3QbjNKb641xMfupNtYuKNTz7SxWVd/LJjRWVyXiQPH4T/AL78FKJI0UdL +YG6J2ZdwSImUkCD6Et8uQkJv+4bEiJvBQVI35CsSFDfz+foqxpfI6IoVUXyZ +5Lze7kRyA2vBlS846hx8YZebQ2VleGWcOSRB1PvkHM4i5/BZyhw+sOOsO745 +b+C39a/f07j7vpaXfHdJsx+vp1BobG0lu32r0vcLT0eoiyeMZ4tda+6WoxHM +PrlZPcNB5CXCUnQrawAzYT3DURccxP3AmMJTxZ0gBlxUrQwvAJxD/HSsNbbQ +7Wfe9U2tAcopVXy1+qFH058GlPx6T/3/jq6tQrBXqsBvUVqOIIsIT3U1FZrf +3XKUDMEeprTw4E3HYU0RUyM8JM1AQ+o50il6EuQkJWaRrbpXSOi5BcyO4LB8 +La0YX7E+Pq/5a6gnxemcJT7kyTZSvGGLy27nsi5+lenil5ec18nDbZXuxUPm +FAenEA+9E6TovRJY+dFeiTkq4ZBT2owo1Ep8rYT+wqDFsk9jZ4SUx1fupJPm +602PL9EzxfpYly9b/Lr1Rn1Qb5I8NMkNLkRy5csGXyzoRfzLgsPVBcts5tBo +NkbhISP4wnuukhuNr9jf6uLvOhi+Rtf+44VqeMmxp8GC4CHj3caDXUgmbBJV +EodRPgHOWM+yc+Fas2cIe4r7gTGFpzqj5GtstgjDcQ9Y/XUF78A1xUaK+Bpc +76p90+6iK8HX/ratf+jW9FD/kl+GNVApjmdr/DntTBWCzVUhmJYjrjkeXspn +m1SheQ3lr+aTkAMri8h6/OZjsKwixXEi5aw4hShT9EkJjL0RI5G6V0jo43wV +pfB1STZfoo8vd59Rp9Q+I08clV5ctsWvZCybp+zl5KHk6zdX5RtJ0YtB2WkS +jinBlLb8+vK6tUtamGXf6z1u0aQifM3y+TLieV2RtB47r4iXnE+Sh+SrYy10 +ckNXvtQux8EXC3q5rAzPXAgOn4MrroKvM4bvPGeISm40vGZvk8v3t77ou47E +1x0qlQc/7fCsM+A27F9yys7Vx+MJ49kuMyZsPCU6btt03HXqAZ7Zo6yO8lcv +ViJewA5P9WUyXm/X3grG8QKQczgby424D/vnjmq37zq/3+5iw9cVTQ/1bQSu +f3ukzu9P14TpROitQzBqWsENUKHZCcFmmyrYU8T7/Uboe7WTQuQFtSWwnp6E +w1YzRYsKtzDbEW158MVTEY6YL1/c64kPo+INbzKA6qxcU7BInAkbJueT5CGW +Hv4/pTiiKcSkS4VLYGklZm/KjZVIBRJEKaFvZTJOicceFP3vDvjKTuq6fE3/ +XA/fsM1fCV+HHL5k8csk59UgSpM89JMbVPkKg681Kvh6xwm+CkciCFLJDbzn +8NOaXra/9YXM18+3N/zvo3V+n1Qd+9tPbxd+t+g0uApwEvB44ZstIBM2mbIK +cMkGKHtxcq+yc7D3wpjiZuCpKuNV/DVuA9ssHFSsPvZV/HSYTtIS378DXJ/f +d3fxf/YRX99f3vTQjY3A9W8j6x4ef65Kccyo/OtsJeQIQ7D1tH/aKtiLRog4 +gtJWA0j2ZlKI9ShFb0tgusTcvPR6rKb29rnaknRDZPD1US6+dgWllkPR4Ru2 ++csTz8fEhySOiok33M7Klab4JcceyuS8TB7ev+2Me+Ak/PFqFTeF6KTo7SDE +WAnMl3C8aCQcUiLVP2lqsBJfr0XF6yu/OSj6pxVN3qsQX4l43macTDue4ovS +TXq74+RhF04eqkL/OC+50VBrepPgq5CDr7fxzLGh4Wl/UThWVb7OvGtnjVt3 +1blR+WlNu+5vfcF3lxJfQ4t+e7gO/DQ8fxgR+OSwINjHsIOtp/Q4oloEPrAa +IynRccNGVXHmtMbTKicP47UdtwEbij12eaV12FoRFCDcBtrcCENJyxv3FPfS +fF3W9McbGv08tOFvD9ZVqcvnz1YpDqqCfa+qYFpOj13UVsEWbVWL+5pJcYzd +rJb1LpFCpJxVXaHyVSUw0WWpVrNO0l8j+ZpkRnCk8JVHqSUuxXGjab9PtpMz +WTRFHOUem+IUl8PilzzTgZOHShm1rdL92yvf8+dLVZ0UIg/iSAbdiEGI646z +XZZhidlKOCa5U9puS5qG/h1I6P0WSzm3obZb9C9y5ub9Nb644u+KN7zil0rO +c64pSR6qnq8kudFAJTds5Yu6vRB8LVivBfNTqPI1Gk7C9qp37qw5aFfdG2BH +9jbrovm6jkpRD1EpitoeEYLtW3YKQl283uVb/2clxT6zVOCjRprcS4kOuGTw +VJ9sc2CiMF64BxhQ3ADiAvx0LDcWWiU3WDFS9walyGp+qearTyP1c0fUO/wE +pRCn6xRHoPVVVbDVRkvPKQ4W+o40KcTeWlZ6tknRt7B8cYnZlUiRxHftCNNt +FPL1bjpfQSo4kAqEUrewD314N1/c601mk5NtUorLYfFLJ+dp5nwyFoCSG/fB +dYET/mc8hShS9KtOdEtgfomZJRwzXAnHQ+IgZnduQ323RSVpsWxuViQU1eRX +lEz40sNFzXAb01yZZHQdcZQsLsvkPO11NnmokxtNkuQGHDMli6qM4Gu1q+nl +5MZj2MRU8vDcgSo5r/jqvL/NBco/vLbxz4OLlB0hNSBecmxr2NBgQRDn4gnD +/V689W+zyYQ9vfmYhykZC0/7/wD6GNwf + "], {{0, 288.}, {288., 0}}, {0, + 255}, ColorFunction -> RGBColor], + BoxForm`ImageTag["Byte", ColorSpace -> "RGB", Interleaving -> True], + Selectable -> False], DefaultBaseStyle -> "ImageGraphics", + ImageSizeRaw -> {288., 288.}, PlotRange -> {{0, 288.}, {0, 288.}}]], + EdgeForm[None], GraphicsGroupBox[ + TagBox[PolygonBox[CompressedData[" +1:eJws3XXchkW1NWCe7pfu7u5W7ERFVOzuFgvs7g4sEEyOjQkWgooYqIB0d3d3 +fnN9a/8xv7Pcs2vmnv0+a4HnnPVf/uZn7NtdZpllfv3NZZbpt//Zact//k9b +/y7ca+u/ZYP5HV+2QVvDtk5s64S2xm1N2jq5rZNqb9TW/8qnXzEnVI6N29qk +rRvaur5ip22dUjlmbc3bOq2tUwsv2jq9bPBSW2eUbVQ9nFQ1l21rubbOauvM +wsu3dXbZ4BXaOqds06p5avWwVDnOrBp8V2zr3IpZqa2V2zq/rfPaWrOttdq6 +rK1L21qlrVXburCtCwqv1tZFZYNXb+vissFrtHVJ2eRau63LKye8TltXlA1e +t60ry7ZR3am7vK6t9dvaoK1r2rq6fNdr66qKWbHOcF6dab2Kubp8Vq4zXFBn +3LBqyH1t5d6wsBoHtdWe0jIvbw/pZZ18203burG+8WZtbd7WzW3dVHiLtm4p +G7xlW7eWbau2tm7r9rZua2vbtrZr66627qy9bdq6o3y2rJjbKsemVfOm6kHs +9m3dXTl2aGvHtu5t657CO7V1X9ngndu6v2zbVA93Vs1d2tq1rQfbeqDwbm0Z +pAcL7+4/dmLbvmreUz3Ye0hb3U584Ie21evEBu/RVr8T26Mbfkxbs4anbT2s +4Ye3NWx40MneY9uad+Jj7xFtjTrxeWTDj2pr0vC4EyynXGx8+dgT4y16097y +JZX7cW0tOqnx5Iaf4g01vGJbT2x4z7aWb3i5th7f8BPaWrbhpU5i2WA57Inh +y8dZnclZnPlJy6SG3Ct0kpsNVmPn+gYP1DfSy15trdxJT09teO+2Vm14lbae +1fCz21qn4bXbelrDT29r9YZX6wQ/o601OrHB+7iDTmzwM9taqxObXM9pa91O +csLPbWu9Tmzw89pavxPbixt+iXdpNtp6QcMvbGujhjfsxPf5bW3QSYxaetar +mvbE8OXz0oZf1tbmDW/W1ouWSQ25N+4kNxusxtsb3s+b8rY66UUOsXp62zLx +sfeQtl7b8OvMTMPbtfWKhl/Z1lYNb9kJflVbW3dig19tTjqxwa8xM53Y5Hq9 +GegkJ/yGtnbsxAa/sa2dOrG9dZn0pJfd29q34Tebs4Z36cT3TW3t3EmMu3h5 +W1t0cif2xPDlY88Z9M7nLcukhty7dZKbDVbDXe3vTXZyZ/A7zFwnti80/EVv +wFto610Nv9uMmbVOfN9pBjuJgfnYY+P7HjPYScz7Gn6/GWv4sZ28XW/U2/SG +7X3ADHXi88GGP2SGzFJbH2/4E209teG92vpIwx81Q2apE98Pm9FOYtR+b1uP +6aQHe2L48lFLDbnV/NgyqSH3UzrJzQarIZcz6F1OvXzSDHbSE/wpZ+rE9qWG +v2xGzEond8kGu1N7B5iJTnw+0/BnzaTZbOtzDX/ejJiVTrBv4luw8eVjT4y/ +Df4m+Fvgb4TcXzFTndT4RsMHmgmz0Umvn27r6Z30/LWGv27GzFonvn7z/NaJ +keurZrqTnHzllEuMXM6gdzn5yimXmDc2wvWmtnZuRGunXn5LD/ZmO/lNPaTh +b5k5s9cJ/raZ68QGf8fMdWL7bsPfM8Nmua1DG/4/M2bWOtn7vpnsxEesGL5y +/LXhY9yBu+gk9gdmrpMcP2z4R2bSbHaCf2yGOrHBPzFjndjU0oPaav604Z+1 +9faG39YJPqyt/TqxwT83g53Y1FZTLT3ILYdYNfj+wox2EvPLhn9l5sxeW79r ++PfetLfd1q8b/o0ZNIud4MPNRCc2+AhvuhMb/Fsz2olNrj+YwU5ywn80Y53Y +4CPNVCe2vyyTO3WXn2zrqIaPNlNmqxPfP5nZTmKcxRn07kz2xPDl42zOoHdn +/PMyqSH3JzrJzQar4S15Q96ON+Xb/s2b7OQbH9vw382M2ekE/8OMdWKD/2nG +OrH9q+HjzKzZ7UQT4PVfNQudaAi64QCz3EmsGL5yqK2mWnoQSxt8rZMcNAMe +/w2z1AnG7Q/sxAbTCQd1YlNLD2qricPj8gc3/M1OMN1wSCc2mG74Vic2tdVU +Sw/28P5vd+ID0wLf6cQG0xHf7cSGJ+P1PzIbnWgKOuH7Zq2TvYvMSCc+9vDu +QzvxwcPx7B+YtU6wnHKx8eVjT4y36E17y96k3BebuU5q4Ph4/K/MQicagm74 +udnqhNPhdj8zi53EssFy2BPDl4+zOpOzODPNoYbcv+gkNxushtq0xK876QGm +E37TiQ2mHQ7vxEYD4P1Hmp1OdAYt81uz1wmmI37XiQ3G63/fiQ3G9f/QiU0u +OuBPneSEaYOjOrHBdMPRndjwcrz/WG+zE55PJ/zV7Hbii/f/uZMYtfSsVzXt +ieHLh2bAS/9hljrh/GrIfUwnudlgNdQWw1cPYnHbf3aSA2/Gk8/0FjvhyLjy +CWanE81BZxxnNmsP7z+xfOzRGf8uHxqDrviv2Sncr1z/Ld9u7f27auPqZ1UP +ctMJ/6sai+pRb6d3oivokFPbOqUTXUFnnNzWSRU7Lvy/2puWLx9ndyZncQfz +qiH3aZV7XlgNXBNnxVW3rV7phLOrZ5wP97vV2+hEI+AB57d1Xie6gtY4t61z +Cq9Ue+eWL+5wQcXgZXTCxW1d1Mlb9ma91cNrj264pHxoBjz+srYu7YTj4/HX +tHV1JxqCbriyrSvKF/e/vGLUxgMvrB7WrpgrymeNqnFp1Vyvash9VeVer/CV +lWu16v3C6oW2uLZ6gumG68qG4+P1t7d1W93lVoVvrT08/47yoUPoihvbuqET +nYGX39zWTYW3qG9xc/luVns31rdbob7F2ZXbd72zatAIeP99bd1bvdI611fP +NAZdcU9bd5cvHXB/xcjlrdxVOXeonPdWzMZ1hhsq53aV8+6K8dvjN8Zvy0GV +m9Z4oGrQELSEf5D2YCcaAO8ftf887EZ30DbdhjvdYNqr140Npjv63dhgumPQ +jU0uWmLcTU6Yjph0Y4Px+mk3Njwe71+u4WW74el0xKLheTe+ePusm5iHVc96 +VdOeGL58aARaYYWGl+9GI6gh91I3udlgNXBcXHfjhjfqphc5xOoJZ+Zjb8Nu +ODKuvEbDq3ejM2iPlRteqRtMV6zSjQ2mK1btxgbj7at1Y5OLDlizm5wwbbBW +NzaYbli7GxtNoCe9bNCNJqFN1mt43W58aY11uolxF3TQit3ciT0xfPnYcwa9 +86Fp1JB7/W5ys8FquCu6YZNu7gymJTbtxoYX4n2P9Ba60SF0whYNb96NL12y +WTcxMB97bHzxwC27iaEh6IZtGt66G46Jaz5F793s0QXbduNDJ9AN2ze8XTe8 +HO/fteFduuH5dMJODe/YjS/ev0M3MWrjolt104M9MXz5qKWG3Gri/GrIvXM3 +udlgNeRyBr3LqRe6Y7dueoLphN27seHNeP6jzUo3d8kGu1N7eP9juvGhSeiQ +PcxmNxoDL3+4WekG+ya+BRtfPvbEeIvetLfsTcpNRzy2mxo0A52wp9noplfa +5iHd9Izn0RlPMGvd+NIJT+omRi488HHd5OQrp1xi5HIGvcvJV065xPjN9dv7 +zYYP6ia3N+Dbq+F3mo+9A7vh7XTBM81SNzqD9tjbLHaDaZ2ndWOD6Yynd2OD +8f5ndGOTi654Vjc5YTrh2d3YYNrhOd3YcHRc/cVmpRvOj/u/wOx240ubPLeb +GLX0rFc1aRIxfJ/XjaagI15qdrrRIGrI/cJucrPBauDJePHb3W03vcghVk84 +NB97b+1GI+DNr/W2u/ndoENeYRa7wXTIK7uxwXTHq7qxwXTHq7uxyYWLv66b +nDAd8fpubDCd8IZubDSBnvTylm40BW3hXwL55w58aQv/HEKMu/C79rJu7sSe +GL587DmD3vnQNGrI/eZucrPBargrWmK/bu4MphP278aG4+J5X/Dtu9EVdMO7 +Gn5nN760xTu6iYH52GPjS1e8u5sYHAwXe59Z60YDe6Pe5l7d7NER7+/Gh4ag +Gz5o1rrRBHj+xxv+WDc8n474iNnrxhfv/1A3MWrTMe/ppgd7YvjyUUsNudWk +QdSQ+6Pd5GaD1ZDLGfQup17oik900xNMa3yyGxuejNd/ydvv5i7ZYHdqD4// +cjc+dAct8hmz2I3moD0+Z7a7wb6Jb8HGl489MX4b3Km79BshN61wQDc1aAK8 +/htmo5te6ZxPddMznUGXfM2sdePrb4y/LWLkokO+0k1OvnLKJUYuZ9C7nHzl +lEuMv2V0wcHd/E2DaYVDurH5m+rvYLfX+FcvuoWu+U6zfbsbX1rjW93EwHzs +sfGlK77bTQyeSiccava64ZR44l+dvZs9WuL/uvGhIfD4H5rFbng7nn+YWemG +l9MNPzG73fji6T/qJkZtvPh73fRgTwxfPmqpIbeaNIEacv+0m9xssBq4Kk6K +i+KscjuTs6hBY9AavzCL3fBiPP33ZqcbjswG/7YbnUF7/NpsdoPpit90Y4Pp +isO7scmF+/+hm5wwXfDHbmw4vzt1l3/uRmOwwUd30xtd88tueqRJaJOjzFo3 +d+sMenfHctMeR3ZTg6+ccomRyxn0LidfOeUS49vSAsd0841pBtrhWLPSDcfD +9c7z7bvRBLTBPxv+Rze+dMjfu4mB+dhj40s3/KubGN+FLviPWeumtppqHVN7 +dMJ/y4dGwNtPMGvdcHq8/VSz0Y1moBNOMnvli+ufWDFqewfHddPDsGL+Vz69 +qnF81ZxUDblPrtyTwifVm+pU78dVL7TEadUTTCecXjacHo+/oK3z6y5XLnxe +7eH1F5YP3UFHnNXWmd3oCjz8HG+58Ir1Lc4p3+VrT4y3SDce0c2blBtPu6hq +0AR4/uXeUvVK25xRPdMUdMSlbV1Svnj/FRUjF+53ceVcs3JeVjFLdYYzK+fq +lfOSitGbGTNbR1Ru2uLKqgHTDVeVDcfH9W9u66ZuNMBmhW/sRnfQNte2dU1h +2uu6ssF0x/Vlk4tOuKVywnj7rWXD0/H6u9u6qxvOvl3hO7vhmLjmUvtbu+iF +p9MRd7R1e9Wia26omnLj8bdVjW0q550VQyPQCve2dU/5bl25bqtcm9ZZb6je +dijfuyuWbrivcuDFeHq/9dbr5beBDfYbYQ+XH/TiQ3fQIg+0dX83GoOu8F+U +ebCw3xi/LWy7lM+DFeMu8PJle7kTuemGYS81aA66YNbwtBdO7w7d3bwXjUKr +TBoe9+LLx54YuWiRUS85+coplxhn37l6v6985ZRLjH+WsWv9DfK3SK+0xHK9 +9IwT4n2bN7xZL7p1g3pLV3ejGWiHFdveCr3E0inL95Jjz/Kxx8YXj1iplxia +gU5YreFVe9EIePsaDa/eC6fH7ddreN1eNAEbvE4vs7B+9WImaAo6Yu22t1Yv +PFANuVfpJTdtsGYvNfjKKZcYvehBbT3tUznlEqN3XGjlXs4AqyE3G41Ba2zg +rnrh9Hj81g1v1QvnZ4O37GUP19+mFx88m4+9LXrRGLTGpg1v0gv2TXwLNrqF +j72Ne/lWcoj1zXB8PN6/a9yxF10jhu9GvfRK52zYS88wH3tsNIUcYnfoRYPQ +Hds3vF0vGocN3raXPTF8+TgbH3vO+NtJ4w5tfWDa+PE0OoNu2KWXfydKR9AW +uzW8ay+Yltm9FxtMaz2kFxuNQVfs0fBDe9EItMIjzEYve3TEw3rxESuGrxw4 +JC75QmfvJZaueGQvOXB8vPzRZqsXjKs/phcbTFc8thebWnpQW00agBZ4fMOP +6wXTEU/oxQbTDU/sxaa2mmrpQW45xKrBl9bYs5cYGoKWeHLDT+qFJ+PtzzBL +vegOOmIvb7cXTEc8tRcbjKfv3YsNxrOf1otNLlpgn15ywnTCM3uxwbTDs3qx +4fDu1F0+vxddQoc81yz34kunPLuXGGdxBr07kz0xfPk4mzPo3RlpDjXkfl4v +udlgNfxN87ftpLb+18u3pSNe1Ms3pivojpeYrV4wLfLSXmywf/bxsl5sNAUd +8Qqz2YsmoA1ebXZ72aMbXtmLj1gxfOVQW0219CCWjnhNLzlwerz7dWarF4yL +v74XG0xHvKEXm1p6UFtNnB/3f1PDb+wF0w379mKD6YQ392JTW0219GCPlnhL +Lz4wnfDWXmww7fC2Xmx4Ml7/bm+1F52BZ+9nFnvZw/Pf04uPPVx8/158cHY8 ++51mtRcsp1xsfPnYE+MtetPesjcpN9723l5q4PR4+ke8pV50CV3xQbPWC4fC +pd5vlnqJZYPlsCeGLx9ndSZncWaaRA25P9RLbjZYDd/GN3D3vpFeaIeP9tIT +jUFrfNws9qIB8P7PmaVedA2t80mz2AumMz7Viw3G+z/diw2mBT7Ti00uWuLz +veSE6Ygv9GKD8fov9mLD4/H+r5mNXng6HXGA2erFF2//Ui8xaulZr2raE8OX +D41AK3zDbPWiEdSQ+yu95GaD1cBxcd2felu99CKHWD3hzHzs/bgXjowrf8fs +9KIzaI9vmrVeMF1xcC82mK44pBcbjLd/qxebXHTAd3vJCdMG3+vFBtMN3+/F +RhPoSS8/6kWT0CY/MHu9+NIah/YS4y7ooAN7uRN7YvjysecMeudD06gh9w97 +yc0Gq+Gu6Iaf9XJnMC1xWC82nA63+4e30ItGoAt+adZ68aVLft5LDMzHHhtf +vOlXvcTgdXTB4Watl7frjXqb3rA9OuGIXnxweLz7d2apFw6Plx/V8J960SF0 +xB/NUi++uPrve4lRG3f7dS892BPDl49aasitJg2ihtxH9pKbDVZDLmfQu5x6 +oRWO7qUnmK74cy82HB1X/5dZ6eUu2WB3ag+vP64XHzqHrjjGbPaiM+iKY81K +L9g38S3Y+PKxJ8bfBn8T/C3wN0Ju2uHfVYMmwONPNBu99EoL/aWXnukUuuR4 +s1a+fvP+VzFy0TH/qZz9ynlCxcjlDHr/S/n2KpcYXAwHw71wMr+ldMTJvfym +0hV0x6ltnVKYFjmtbDDtcnrZaB464sy2zuhFA9AC57R1du3RCWeVz6Jizqgc +eCNueZfeK5ZuOLdy4OB49/ltnVcYF7+gbDDuf2HZlqsezq6aOD6uf3FbFxWm +Cy4pG4z3X1q2FavmedXDqpXjoqrBl1a4rGJoCtriirYu74V34+o3tHV9LzqG +trmqrSt70Qx4+DVtXV0YD7+2bDBufl3Z5KIDbqycMG1wU9lgOuDmsm1Td+ou +7+hFZ9Ait7V1a/nSDrdUzFp1hsvrTFtUzK3ls06d4co649ZVQ+7bK/fWhdXw +lrwhb+fk+rZ0wt31jekGWuPetu4pTLvcVzaYTri/bN6ot/pgWw/0ognw+m6/ +zUI/e3SD/8WUByt2l/K9v2rvULXurljaoNdPDpoBjx803O8H4/bDfmwwnTDq +x7Zb9aC2mjg8Lj9peNwPphum/dhgumHWj01tNdXSgz28f96PD0wLLPqxwXTE +Uj82PBmvX7nhlfrRFHTCcg0v288enr9KPz728O7l+/HBw/HsFRteoR8sp1xs +fPnYE+MtetPX15uUm25YtZ8aOD4ev07Da/ejIeiGNRteox9Oh9ut3vBq/cSy +wXLYE8OXj7M6k7M4M82hhtxr9ZObDVZDbVpi3X56gOmE9fqxwbTD+v3YaAC8 +f7OGN+1HZ9AyGza8QT+YjtioHxuM12/cjw3G9TfpxyYXHbB5Pzlh2mCLfmww +3bBlPza8HO/fvuHt+uH5dMI2DW/djy/ev1U/MWrpWa9q2hPDlw/NgJfu2PAO +/XB+NeTetp/cbLAaaovhqwexuO1O/eTAm/Hkx3mL/XBkXPmhDT+kH81BZ+zS +8M797OH9e/TjY4/O2LUfHxqDrtjd7PSD5ZSLjS8fe2LUxtUf308PctMJD+un +Bk6vR709ph9dQYc8yiz2oyvojEeY3X5i2WA57Inhy8fZnclZ3AHNoYbcj+4n +NxusBq6Js+Kq36pe6YQn9NMzzof7vczb6Ecj4AFPNnv96ApaY0+z2Q/mY4+N +L+7wlH5i8DI6Ye+Gn9rPW/ZmvVVv2h7d8LR+fGgGPP4ZZqsfjo/HP9ds9KMh +6IZnma1+fHH/ffqJURsP3KufHuyJ4ctHLTXkVpPmUEPuZ/eTmw1WQy5n0Luc +eqEtntdPTzDd8Px+bDg+Xv8Ks9PPXbLB7tQenv/KfnzoELriRWa1H52Bl7/E +7PSDfRPfgo0vH3tifDvfxLfwDQ+p7/qqfmrQCHj/681OP73SOi/op2cag654 +rdnrx5cOeEM/MXJ5K6/uJydfOeUSI5cz6F1OvnLKJUYu2uKN/eSE6YY39WOD +aYl9+7HRAHj//manH91B27zFrPWDaa+39mOD6Y639WOD6Y6392OTi5Z4Rz85 +YTrinf3YYLz+Xf3Y8Hi8/wNmuR+eTke812z144u3v7ufGLX0rFc17Ynhy4dG +oBU+5Fv1oxHUkPt9/eRmg9XAcXHdr/hW/fQih1g94cx87H25H46MK3/KLPWj +M2iPj5rdfjBd8bF+bDBd8fF+bDDe/ol+bHLRAZ/uJydMG3ymHxtMN3y2HxtN +oCe9fKkfTUKbfMEs9eNLa3yunxh3QQd9uJ87sSeGLx97zqB3PjSNGnJ/sZ/c +bLAa7opu+Go/dwbTEl/rx4YX4n2/8Bb60SF0woFmqx9fuuTr/cTAfOyx8cUD +D+onhoagGw4xy/1wTFzzT+6+nz264Fv9+NAJdMN3zEY/vBzv/4FZ6Ifn0wnf +N6v9+OL93+0nRm1c9Jv99GBPDF8+aqkht5o4vxpyH9pPbjZYDbmcQe9y6oXu ++GE/PcF0wo/6seHNuP+vzEo/d8kGu1MaAO//jbffjyahQ35qNvvRGHj5YWal +H+yb+BZsfPnYE+MtetPesjcpNx1xeD81aAY64Q9mq59eaZsf99Mznkdn/M4s +9+NLJ/yxnxi58MAj+snJV065xMjlDHqXk6+ccomRyzf3reX07emGo/p5A36n +/S6PBo1vD8Lb6YJjzU4/OoP2+LPZ6wfTOn/pxwbTGX/txwbj/cf0Y5OLrvh7 +PzlhOuEf/dhg2uGf/dhwdFz9eLPSD+fH/f9tdvvxpTv+1U+MWnrWq5r2lilf +PjQFHXGi2elHg/Qq938qd7fwv6v2oHyPr1ha5H+VA4fGpS/yLfvRCHjzGd52 +P5qEDjm5rZNqD7c+s3zs0SWnlA8NQnec1taphReV67TyndXeKVUbb7+4epCb +zjiraqxSPertgn40Bq1xXlvn9qMxaI1z2jq7YpcvfFbtrVi+59TZx3UWd7By +1ZD7/Mq9cuHz6i3heuNB3pReaY1LqmecF++7z9voR2fQEVe0dXk/GoPWuKyt +SwuvXXuXlS+dcWXF4GS42TVtXd3P2/ZmvdWjao+uuLZ8aAo64vq2rutHI+D9 +t7Z1Sz+8n664qa0by5cOuKFi1KZrrqoeNqmYG8tnw6pxXdXcvGrIfXPl3rzw +TZVr/er9quqFzriteoJpj9vLhjfj+Q+0dX/d5c6F76s9vP7B8qFDaJO72rqz +Hw1Ci9zT1t2Fd6xvcU/5bl97d9W3W7O+xSWVm3bwf5RCDRoBzx+0/9wfpFe6 +547qme6gU3ptrzuIr785/taIkYsu6QyS86GVUy4x29QZ7qycu1dOucT473b6 +74j6d6L+3ai3RzdMBnmD/sb6u/iWht88iI6hcxYNzwfRGbTHrOHpIJiPPTa+ +dMbSIDF4K92wfMPLDcIx8cZtnH2QPdpihUF8aAq8fqWGVxyEx+P9a5iNQXg6 +HbFqw6sM4ou3rzxIjNp48rKD9GBPDF8+aqkht5o0ghpyrzZIbjZYDdwVR8VN +963czuQsatActMdaDa85CE/G2zdueKNBODMbvOEguoMWWbfhdQbBdMZ6g9hg +OmP9QWxy0QKbDJITphM2HcRGA7hTd7nVIJqDDd5ykN7onLUH6ZFGoVW2aHjz +Qe7WGfTujuWmRTYbpAZfOeUSI5cz6F1OvnLKJca3pQ22HeQb0xC0xPYNbzcI +58P9nuTbD6IZ6ISdGt5xEF+6ZIdBYmA+9tj40hE7DxLju9AJuzW86yC11VRL +D/boht0H8aEZ8PiHNvyQQTg+Hv+ohh85iIagGx5u9gbxxf33GCTmTfUOdhmk +B3ti+PJRSw251aQ51JD7EYPkZoPVkMsZ9C6nXmiLRw/SE0w3PGYQG46P1z/F +rAxyl2ywO7WH5+81iA8dQlc83mwOojPw8ic2/IRBsG/iW7Dx5WNPjLdIR24w +yJuUG2976iA1aAS8fx9vaZBeaZ3HDtIzjUFXPN2sDeJLBzxzkBi5cMG9B8nJ +V065xMjlDHqXk6+cconRmxkzW3qUm9Z41iA1YDri2YPYcH7c/yVmaRBNwAa/ +aBAdQus8zywOgmmx5w9ig+mQFwxik4tueOkgOWE8/mWD2PB2PP81ZmMQDs8G +v2oQzol7vt9ZB+HtdMUrzfIgteicFw5SU268/uWD1OArp1xiaAba4XVmbxBf +OeUSI5czO6ucehPDV49i6YjXD5IDT8bb3+ZtD/LbwAb7jbCH2799EB86hDZ5 +o9keRHPQGfuanUGw3xi/LWx8+dgT4y7w9A8Mcidy0xH7DVKDBqET3mNWBuH4 +7tDdvXcQzUK7vNOsDeLLx54YuWiT/QfJyZf2edcgMc7uDHp3B3zllEuM30q/ +gX77/Gbqlbb44CA947x43ze8tUF0rDflLT1nEE1BW3yk4Q8PEku3fGiQHDAf +e2x86Y6PDhJDQ9ANnzQ7g2gGPP7TZm0Qjo/Hf7HhLwyiEdjgzw8yC3rSi5mg +MeiKz5nNQXigGnJ/fJDctMJnBqnBV065xOhFD2rria+cconRO175sUHOAKsh +N5teaY8vDdIzzo67H+ztDsL52eCDBtnD8w8ZxAfP5mPvwEE0CC3yNW99EOyb ++BZsdAwfe18Z5FvJIdY3w+vx+O+bhUF0jhi+BwzSK93z5UF6hvnYY6MJ5BD7 +3UE0DJ3xnYa/PYhOYYO/NcieGL58nI2PPWfUC21x6CA9wXTD/w1ig2mJHwxi +wzvx/sPMziC6g7b5kdkbBNNBPx7EBtMZPxnEBuOtPx3EJhct8fNBcsJ0xC8G +scF4/S8HseHxeP9vGz5iEJ5OR/zG7A7ii7f/apAYtfSsVzXtieHLh0agFX5v +1gbRCGrIffggudlgNXBcXPc/vs0gvcghVk/LlI+94wbhyLjyX8zWIDqD9jjS +rA6C6Yo/DWKD6YqjBrHBePvRg9jkogP+OkhOmDY4ZhAbTDf8bRAbTbBM9fKv +QTQJbfIPszaIL61x7CAx7oIO+sMgd2JPDF8+9pxB73xoGjXk/ucgudngf9Rd +0Q3/rTuDaYnjy4Yz4nmXewuD6BA69X9tnVi+dMkJFTMonxPLxpeuOKli/N2k +E05t65RBOCPueLPea4+WOK18aAg8/oy2Th+Et+P555mFQXg43XB2W2eVL15+ +ZsWo7e/0ydXDshVzVvnMq8bpVXOFqiH3OZV7hcJnV65p9X5y9UJXnF89wbTG +BWXDk/H6K9u6ou5yncKX1x6ef1X50B20yMVtXTQIJ8fNL23rksJr1be4tHzX +qD0x3qI37S0fXbnxtqurBg1BN9zQ1vXVK51zYfWMR9Eq17V1bfnSATdWjFx4 +1jWVc6PKeX3FrFpnuKhyblA5r60Yv6F+Sx8+bJx5mNyb1bdXY7/ysbfHMDye +Dri7rbsG0SG0zW1t3VqY9rm9bDDdcUfZYDrgzrLJRUfcUzlh2uLessF0xX1l +w+Fx+W7rpTMMR8fVH2zrgfKlA+6vGLW2q17vrL1dypcPDUEn9Fuu3jCaRA25 +/R+zlJsNVgMnxnNXbf95lWF6kUOsnvYqH3srD8O58eR5w7NhNAatMWp4OAym +RcbD2GA6YzKMDaYzpsPY5MK9F8PkhOmCpWFsMK2w7DA2mkNPellpGF1Bl6zQ +8PLD+NIZyw0T4y5op8Ewd2JPDF8+9pxB73zoHDXkXnGY3GywGu6KNlhtmDuD +6YjVh7HhtHjdDg1vP4wGoRPWanjNYXxpjzWGiYH52GPjS0esPUwMHoXbrdfw +usNo5C3rbd5Se3TD+sP40Ax4/IYNbzCMRsDjN294s2F4OS2yScMbD+OLp280 +TIzaeNs6w/RgTwxfPmqpIbeaNIkacm86TG42WA25nEHvcuqFTthimJ5g2mHL +YWx4MZ6+U8M7DnOXbLA7tYfL7zyMD11C62zT8NbDaAxaY7uGtx0G+ya+BRtf +PvbE+G3You7y5spNN+wyTA2agy54qNkYplc6aKtheqZRaJXdzdowvv7G+Nsi +Ri5aZNdhcvKVUy4xcjmD3uXkK6dcYvwtoxseMczfNJiWeOQwNn9T/R38UcM/ +HEaH0CqPNavDaBi65NFmbxjMxx4bXzroccPE0Ah0wRPN0jAcEVd8lV6H2aMd +9hzGh2bAu59sVobh6Xj8083GMDwc139qw3sN44uXP2WYGLXpmscP04M9MXz5 +qKWG3GrSAGrIvfcwudngp9bfAHN6TltnD5PbmZxFDZqBdtjH7A3Du3H1F5qF +YXg2G/z8YWkaM2E2hsF0xHOGscF4+nOHsclFB7xomJwwbfDiYWw0hTt1l68Y +RnewwS8fpje655nD9Eg30B4vM8vD3K0z6N0dy01XvGSYGnzllEuMXM6gdzn5 +yimXGN+WVnj1MN+YRqAVXmuWhuF4uN6HffthNAJd8AazOYwvLfK6YWJgPvbY ++NINbxwmhkagC95sNoapraZaerBHJ7xlGB8aAW9/m9kZhtPj7e8yC8NoBjph +/4b3G8YX13/7MDFq0y5vGqYHe2L48lFLDbnVpDHUkPsdw+Rmg9WQyxn0Lqde +aIl3D9MTTCe8ZxgbTo/Hf9SsDHOXbLA7tYfXf2wYH7qDjni/2RxGV+DhH/SW +h8G+iW/BxpePPTHeIp34vGHepNx42seHqUET4Pmf8ZaG6ZW2ee8wPdMUdMSn +zNowvnj/Z4eJkQv3+8QwOfnKKZcYuZxB73LylVMuMXozY2ZLj3LTFp8bpgZM +N3x+GBuOj+t/3SwNowHY4K8Ooztomy+ZxWEw7fXlYWww3XHAMDa56IRvDJMT +xtsPHMaGp+P13zYbw3B2NviQYTgmrnm4uxuGp9MRB5vlYWrRNV8ZpqbcePxB +w9TgK6dcYmgEWuG7Zm8YXznlEiOXMzurnHoTw1ePYumG7w2TAy/G03/ibQ/z +28AG+42wh8v/dBgfuoMWOdRsD6Mx6IofmJ1hsN8Yvy1sfPnYE+Mu8PIjhrkT +uemGnw1Tg+agC35ldofh9O7Q3f16GI1Cq/zCrA3jy8eeGLlokcOGyclXTrnE +OLsz6N0d8JVTLjG4Js6Kq+KceqUlfjtMzzgh3neCtzWMbvWmvKUvDKMZaIc/ +mL1hYumU3w2TA+Zjj40vHvHHYWJoBjrhaLM4jEbA2/9iNobh9Hj7P7z9YTQB +G3zsMLOgJ72YCZqCjvibWR6GB6oh95+GyU0b/HWYGnzllEuMXvSgtp74yimX +GL3jQkcOcwZYDbnZ9Epr/HOYnnF6PP5kb30Yzj8u/L/ac++nlM+wfOydOIzG +oDX+a3YK9+tbsHXKx96/61sNK9Y3w/Hx+LPM0jC6plO+xw3TK53zr2F6hpep +PbalyiH2jGE0CN1xelunDfNu5oVPrb2l8j29zjarvVOqFxzk7OoJF6Edzh2G +kzxh1HhTW8uNW91xNADef0lbFw+jO2ib89s6rzDtdUHZYLrjwrLBdMdFZZOL +lri0csJ0xGVlg/H6y8uGx+P917Z1zTA8nY64qq0ryxdvv6Ji1Fq9er2o9tYt +Xz40Aq1wfVvXDaMRNqjcV1fu9QurgePiuv4fHzxYvWxUsXrapXzsPTAMR8aV +b2/rtmF0Bu1xU1s3FqYrbi4bTFfcUjYYb7+1bHLRAXdUTpg2uLNsMN1wV9l2 +rp70cv8wmoQ2ubete8qX1ri7YtwFHXRD3cn2FXNP+WxSZ7ixfHaqGnLfV7l3 +Knxv3RXd0BnlzmBaojuKDWfE89ZqeM1RdIh/1jFouD+KL13SGyUG5mOPjS+d +MRwlhmagE6YNT0bhjLjjZg1vOsoeLTEbxYeGwOMXDc9H4e14/ooNrzAKD6cb +lmt42VF88fKlUWLUpmtGo/RgTwxfPmqpIbeaNIEaci8/Sm42WA25aKXxKDn1 +QlesNEpPMK2x8ig2PBmvX6fhtUe5SzbYndrD89cdxYfuoEVWa3jVUTg5br5G +w6uPgn0T34KNLx97YrasN31bvUm58bb1RqlBQ9ANGze80Si90jmrjNIzHkWr +bNjwBqP40gGbjBIjF561/ig5+coplxi5nEHvcvKVUy4xcvnmvrWcvj1dsfko +b8Bvst/Z/Rp++yg8ng7YruFtR9EhtM2WDW8xCqZ9thrFBtMdW49ig+mAbUax +yUVHbD9KTpi22GEUG0xX7DiKDYfH5XdveLdRODquvkvDO4/iSwfsNEqMWnrW +q5r2xPDlQ0PQCQ81O6NoEjXk3nWU3GywGmqL4asHsbTGHqPkwMHx5r28vVE4 +N578GLM0isagNR5uFkfZw6UfO4qPPdrkEaP40Bx0xqMafuQoWE652PjysSdG +bTz8qaP0IDfd8LhRatAgetTbk0fRGXTKnqP8btEZdIffscePEssGy2FPDF8+ +zu5MzuIO6B415H7SKLnZYDW8Jdxt/1HelF5ph71H6RnHxfNe522Moknohn3M +2igahBZ5ulkbBfOxx8aXrnjmKDF4Fa73HLM9ytv2Zr1Vb9weHfHcUXxoCLz+ ++WZlFM2A17+04ZeMwtNpkxeZ3VF88fYXjBKjNh73rFF6sCeGLx+11JBbTRpF +DblfPEpuNlgNuZxB73LqhW542Sg9wbTEy0ex4cl4+xvMzih3yQa7U3u4/RtH +8aFTaJ9XmdVRNAft8RqzMwr2TXwLNr587Inx7XwT38I3lJuOeNMoNWgQOuFt +ZmeUXumiV4zSM81Cu7zF7I3i62+OvzVi5KJN9h0lJ1855RIjlzPoXU6+csq1 +b71p7275cTiZt0dXvGOUN+hvrL+LF7R1/ii6hHZ5j1kbRYfQKe8ya6NgPvbY ++NJF7x0lhmagEz5gdkbhjLjjwc4+yh4t8cFRfGgIPPzDZnEU3o7Xf9JsjMLL +cf+Pmd1RfPH0j4wSozad875RerAnhi8ftdSQW02aQA25Pz5KbjZYDVwWR8VN +z63czuQsatAQtMSnzeIoPBx3P8DsjMK72eAvjaJLaJ3Pmc1RMF3x+VFsMN7+ +hVFsctEFXxklJ0wrfHUUG43hTt3lQaPoEDb4wFF6o4M+M0qPdAQt8g2zNsrd +OoPe3bHcdMbXRqnBV065xMjlDHqXk6+cconxbWmHQ0b5xjQD7fBtszIK58P9 +fu/bj6IZaIfvNfzdUXxpk++MEgPzscfGl674/igxvgud8AOzNkptNdXSgz26 +4Yej+NAMePyPzdooHB+P/0XDPx9FQ9ANPzN7o/ji/j8ZJeacegeHjtKDPTF8 ++ailhtxq0hxqyH3YKLnZYDXOrbeldzn1Qlv8cpSeYLrhV6PYcHy8/o9mZZS7 +ZIPdqT08/8hRfOgQuuJwszmKzsDLf9vwEaNg38S3YOPLx54Yb5Fu/OIob1Ju +vO1Po9SgEfD+v3pLo/RK6/x6lJ5pDLriz2ZtFF864JhRYuTCBY8aJSdfOeUS +I5cz6F1OvnLKJUZvZsxs6VFuWuNvo9SA6YhjR7Hh/Lj/8WZpFE3QK/yfUXQI +rfNPszgKpsX+NYoNpkOOG8UmF91wQuWE8fgTy4a34/mne5ujcPh54VNH4Zy4 +5zXOOormoCtOaevkUWrROf+umnLj9f+rGtPKeWrF0Ay0w5ltnVG+tMBJFdOp +M/+nci4q5ozqUSwdcVblwJPx9ovaunCU34ZVC19Qe7j9xeVDh9Am57R19iia +g844r61zC/uNOb9sK5TPuRXjLvD0a+tO5KYjLqkaNAidcGVbV4zC8devu7tq +FM1Cu1ze1mXlu17tXVm5aJNLK+falfOKilmuznB23cGalfOyivFb6TfQb987 +qlfa4rrqGefF+/rtt7U3jo71prylv4+iKWiLG9u6oWLplusrx8blc0PZ+NId +N1UMDUE33NbWraNoBjz+jrZuH4Xj4/H3tXXvKBphx8L3jDILetKLmaAx6Iq7 +27prFB64ZeW+pXLTCndWje0r5z0Vs1X1cHv1tG3lvKti9I5X3lxn2Lxq3FI2 +vdIe91fPODvuPm53NxqH87PBw3H28PzJOD54Nh97g3E0CC3SbbgzDvZNfAs2 +OoaPPf+PJ30rOcT6Zng9Hu+fVS2No3PE8H2weqV7HqieYT4Plo0mkEPsYhwN +Q2fMG56No1PY4Ok4e2L48nE2Pvac0f8tAv83B/zvwPjfhcHdaIcVxuFwV7Z1 +VVu/mrR3OYnGoRtWabaVx9EdtM1KDa84DuZjj40vnrrqODE0A+2whn9WNw5n +xB13bXiXcfboiDXH8cHp8fC1G15rHM6P12/Y8AbjaAi8fr2G1x3HF3dfZ5wY +tXHl1cbpwZ4YvnzUUkNuNekENeRef5zcbLAaZtSsHtbwz8bJ7UzOogbdQUds +3PBG43B23H2bhrcehzezwVuNo0Pois0a3nQcTFdsPo4Nxtu3GMcmF12w7Tg5 +YVphu3FsOLs7dZc7j6MJ2OCdxumN1tlknB7pFLpkx4Z3GOdunUHv7lhuOmb7 +cWrwlVMuMXI5g97l5CunXGJ8W7pht3G+MR1BZzzELI3D8XC9fXz7cTQqnfAw +szOOL23y0HFiYD722Pj6O/nwcWJoBFrhUWZ5nNpqqqUHe3TDo8fxweHx7Mea +pXE4Ph7/pIb3HEcz4PFPMLvj+OLmjxsnRm1/qx8xTg/2xPDlo5YacqtJF6gh +9xPHyc0GqyGXM+hdTr3QFk8epyeYbnjKODacHo9/llkZ5y7ZYHdqD6969jg+ +dAgevrfZHIeX4+1P95bHwb6Jb8HGl489Md4i3bflOG9SbrzoOePUoBnw+hd6 +S+P0SuvsNU7PdAqd8XyzNo4vXv+icWLkwrWeO05OvnLKJUYuZ9C7nHzllEuM +/26t/w6tf6fs3y3r1cyZNT3TEXTGS7zdcTg/7v9aszSOJmCDXz2OzqF9Xm4W +x8F0xyvGscF0wCvHsclFN7xunJwwHv/6cWx4O57/FrMxDodng/cdh3Pinh93 +d+PwdrriTWZ5nFq0x6vGqSk3Xv+GcWrwlVMuMTQD7fA2szeOr5xyiVELD/7E +ODXldgfOroZYOuLt4+TAk/H293rb43BmNvjd4+gOWmR/szwOpjPeMY4NpjPe +OY5NLlrgfePkhOmE949jowHw9o+ahXE0Bxv84XH23Jm74kOj0CofMlvj3LU7 +cHZ3Ljct8oFxavCVUy4xzuoMendmvnLKJcZd0Q6fHOfOcDhc7nu+/Ticlg3+ +zji61hvydl46jmagEz5j1sbJRad8apycMB97bHzxqM+OE0Mj0AVfNHvjcHY8 ++8tmYRzOjod/w1sfRyOwwV8b5+3rSS9mgC6hK75qdsfhkWrI/flxcuPyB4xT +g6+cconRix7U1hNfOeUSo3dc8HPjnAFWQ242vdIOB47TM56Ot/+ftzoOZ2eD +vz/OHm7/g3F83D0fe74BjUFrfNtbHgf7Jr4FG13Ex94h4/zt8TfB3wJ/g3B2 +v/F+2386ju4Rw/fgcTQTHfTNhg8aB/Oxx0ZDyCH2J+PoGLrlx2Z/HE3DBv9w +nD0xfPk4Gx97zohr0A0/H4dz0BF0xi/N0jh/U/0dXLlxs5Um0Sl0zW/M5ji+ +tMmvxomB+dhj40tXHD5ODE1AG/zOrIzDE3HJU511nD064ffj+ODcePYfzdI4 +HB4v/4tZGYfn4+1HmdVxfHHzI8eJUZsuOWKcHuyJ4ctHLTXkVpMOUEPuo8fJ +zQar4a7ckbtxZ3I7k7OoQTfQFceYtXF4Ot7+H299HB7eKXzcOLqGbvi7tzwO +xsv/MY4Nxsv/OY5NLlrgv5UTxvuPL9uk7tRdnjyOxpgUPmmc3mifv43TI91B +m/yvrRPHuVtn0PtfKjdtcULVGFXOkyrm/2uyUXr/W/kOK9cJ9W3pgtPqG9MJ +tMUZbZ0+DqfD7W707cf5Z7p0wdltnVW+tMyZFbNs+ZxVNr60xDkVQxPQBue3 +dV7VXlSt02qPTrigfHB2vPqiti4ch9Pj7Vf41uNoBLz90rYuKV9c/OKKUZsu +Obd6WL1iLimfVarGhVVzraoh92WVe63Cl1aular3c6sXWuLK6gmmE64qGw6P +t9/c1k11l5sVvrH28KhbyofuwLuvbeuacXg4nn59W9cV3qS+xfXlu1HtifEW +acV/jfMm5cZ7bq0aNAIef1dbd1avtM3V1TNdQlfc0dbt5YvH310xcuFSt1XO +bSrnnRWzfp3hmsq5VeW8vWL0ZsbM1r8qN61wT9WA6Yp7y4bj4/r99retN4kG +YIO7k+gaWueBtu4vTGc8WDYY719mEptcdMJgkpww3j6cxIan4/XzhmeTcHY2 +eDoJx8Q11294vUl4Oh0xaXg8SS1aozNJTbnx+NEkNfjKKZcYGoFWWGp4MYmv +nHKJkcuZnVVOvYnhq0exdMOyk+TAi/H0VRteZZLfBjbYb4Q9XH61SXzoDlpk ++YaXm0Rj0BUrNrzCJNhvjN8WNr587IlxF3j5BpPcidx0w+qT1KA56IJ1Gl57 +Ek7vDt3dupNoFFplrYbXnMSXjz0xctEia0ySk6+ccolxdmfQuzvgK6dcYnBN +/5z4XeNwTr3SEhtO0jNOi+c91NuaRNfuXG/pvnE4AW6wSdvbeJJYOmWjSXK8 +sHzssfHFqzadJIZGoAu2bHiLSTg7Xr11w1tNwtnx8B0b3mESjcAGbz/JLOxU +vZgJuoSu2K7tbTsJr1RD7s0nyY27bzNJDb5yyiVGL3pQW0+vrpxyidE7brjZ +JGeA1ZCbTa+0w06T9PyOutdHeOuTcHw2+GGT7Ln7R07ig1fzsbfHJJwcN9/d +7EyCfRPfgo0u4mNv10m+lRxifTMcHi9/vFmaRPeI4bvLJL3SQTtP0jPMxx4b +jSGH2MdOomPokMeY/Uk0DRv8qEn2xPDl42x87Dmjt0YTvbvOrTfa4QmT9AjT +GU+cxIbj4/pPNzuTaAA2eO9JdA5d82SzOQmmdZ4yiQ2m2/aaxCYXnfCMSXLC +ePc+k9jwdDz+eQ0/dxLOzgY/ZxLeiZu+2V1OwtNpgWeb5Ulq0T1PnaSm3Hj8 +MyepwVdOucTQALTACxp+/iS+csolRi289y2T1JTbHTi7GmLpjBdOkgMPx91f +ZZYm4d1s8Csm0SW0zosbftEkmK54ySQ2mNZ46SQ2ueiCV0+SE6YVXjOJjcbA +w9/kLU2iQ9jgN0yy587cFR8ahBZ5vVme5K7dgbO7c7lpw9dOUoOvnHKJcVZn +0Lsz85VTLjHuilZ46yR3hiPidZ/x7SfhhWzwpybheLje193NJLqGjtjPLE2S +i1Z52yQ5YT722Pji0ftPEkMz0A7v9vYn0Qx4+3vNziQ8Ha//cMMfmoSzs8Ef +nORvsr/Nl7Z1ySS8nI74gFmeRKOoIfc7J8mNt79vkhp85ZRLjF70oLae+Mop +lxi90wLvmOQMsBpys+mVzvjIJD3j9Hj8573VSXgzG/zZSfbwqi9M4uPu+djz +DegSvPyT3vIk2DfxLdjoFD72Pj6JhqQbX97wyybRCHj8V739STSNGL4fm6RX +2uijk/QM87HHRnPIIfaASXgWLfPlhr80Cedig784yZ4YvnycjY89Z9SbGTNb +etSbN+Ut6dHbojO+MckbowHw/u+YpUl4PRv8rUl0Ca1zUMMHToJpoW9OYoPp +kIMnsclFS3x3kpwwHfG9SWw4O+7+o4Z/OIlmYIN/MAlHxTuPcleTcHbc/f/M +8iS16I5DJqkpN53w/Ulq8JVTLjE0Bd3wE7M4ia+ccomRy5mdVU69ieGrR7G0 +x08nyYEj48q/8ZYn4eBssH/nYg+vP3wSHxqEFjnMLE+iU+iOX3jrk2C6w7+r +YePLx54Yd4GLHz3JnchNOxwxSQ26hA75o1meRDO4Q3d35CS6g275/ST/f3n4 +8rEnRi66xP/fHjn5yimXGGd3Br27A75yyiXG3wa87rJJ/kbola748yQ94714 +7hne1iRvz5vylrxBGoWOOKbhv04SS5v8ZZIcMB97bHzpjL9NEoP30Q3/bPgf +k2gGPP44szOJRsDjT2zrhEk0xKDw8ZNwVH/j/G27eBLeTqv812xOwuPUkPvv +k+TG6/9dNXqV8/iK0Yse1P5n+XYrlxi944XHTnIGWA25j61e6Yj/Vc84O+5+ +jrc+CW9evvBZtYfnn1s+y5aPvTMn0UF0x2ltnVp4Ud+CbVo+9k6pb7Vsxfpm +q9UduZuLJtFA0/I9uXqlfU6qnsflc3LZVq0cYi+cRNPQNhe0df4kOmXlwufV +3qrle0GdbaXac0b/rtO/w6Tr/bMCb4+2uHySN+hvrL+rT54232k4Hq43aXg8 +jU6hZa5q68qKpVuuqBzwurV3RfnSSVdXDM1AO1zf1nWTaAa8+8a2bpiEp+Px +t7d12yScfavCt07yz61xRNxwz0l4Oi1wS1s3T6JRNqrc11ZuPP6mqrFF5by1 +YjauHm6onjarnDdXjLPjwtNp7sBZ6Khr6kx6pSPuqJ7xdjy+03yXmYaXs8EP +TsLD2eAHJtEptM89bd1dmM64t2xy0QbdaXLSFL6JbzGaRnewwcNpNM/2leuu +6o3WubN6hLervTvrLBvWXTkTnUGrDFqu/jSagw3uTbOnplp89MbHnh5pBFph +3vBsGk6H223c8EbTcFo2eMNp7lYMX3dMM9AJyza8NE0uWmUxTU6Yjz02vrTF +ctPE0Ah0wUoNrzgNZ8erV2l45Wk4Ox6+VsNrTqMR2OA1ptEq3tielZcuoStW +b3urTfPu1JB7hWly4+6rTlODr5xyidGLHtTW096VUy4xT6x3vPw0Z4DVkJtN +r7TD2tP0jMPj8ps1vOk0HJ8N3mQaToW3bNHw5tPcPR97vgFOjptv4C1Pg30T +34KNLuJjb71p3iIdeV+9SRweL9+u7W07je4Rw3fdaXqlg9aZpmeYjz22V1cO +sdtMo2PokK0b3moa3sUGbznNnhi+fJyNjz1n1Btde3/1uHPN2ANl0ystsf00 +PeP5eP0e3vY0nJ8Nfsg0moAN3n0a3UPn7KzWNJj22WUam1x49sOmyYmX4+2P +8ban4ehs8KOm4ei4+uO87Wl4KR76PHc/DQ9lg58zTS06cddpauLxtMIj/S2Y +htOzwQ+fZk9NtfjojY89PcpFR+02TU7YmZ2VTe961Jsz4O14/N7e+jS8nA3e +a5o9XP9p0/jg5XzsPWUaHURn7OmtT4P9xvhtYaNr+Nh7wjR3gZs/f5o7oTnw +8md7W9NoIDF8Hz/NXbLB7pSvO3R3YugYOcQ+cxrNQrvsY/an0Y1s8NOn2RPD +l4+z8bHnjH4bndHZ/EbidLjd272laXgvnvtWdzsNx8frX242p9G93pS3tOM0 +moK2eFHDL5zm7LTMC6a5A5iPPTacHc9+pVmZhrPj4a9v+HXTaAQ2+LXT1BbD +Vw9mge7eYZqZgPWkFza90DUvnqYnOoYOeY3ZnYbns8GvmmZPTbX46I2PPT3i +qe7A2V86TW689SXT1ID52GPD4XH5d3rb0/B4Nnj/aTg23uz/N+b7puH4fOzt +N40OojvebHamwb6Jb8HmW4nh65v5Vr6hb+eb0QRqyP3eaTQIG/yeae6a9nnD +NHcO00lvnMZGN+lB7TdNs8cG83E2Oudd05wRpn3ePY0NVlMttjeu2GLb2nml +9mZWCt63rV1Wio3OoEM+bNamwbTJR6axwbTMR6ex0UB0xcfN6jSagDb4lFme +Zo9u+MQ0PmLF8JUDj8Q1f+Bs08TSEZ+eJgdOjod/1qxNg3Hzz01jg2mBz09j +U0sPaquJ8+P+XzTL02A64UvT2GA64MvT2NRWUy09yC2HWDX40g4HTBNDY9Aa +XzVb0/Bw3P1gszKNrqEbvm4WpsF4+TemscF4+YHT2GBc/aBpbHLRBYdMkxOm +Fb41jQ2mC749jQ0nd6fu8tBpdAdt8j2zPI0vLfGdaWKcxRn07kz2xPDl42zO +oHdnpGnUkPv70+Rmg9Xwd83fvjVmja/M8m3phh9O843pCNrjx2ZpGkzL/GQa +G0z7/XQaGw1LRxxm1qbRALTAL832NHt0ws+n8RErhq8caquplh7E0g2/miYH +zo2n/sasTINx18OnscG4/xHT2NTSg9pq4vi4/u8a/u00mC74/TQ2GO//wzQ2 +tdVUSw/2aIM/TuMD0xFHTmODaYs/TWPDw/H4v3mr0+gYOuFoszjNHl517DQ+ +9vD0P0/jg6Pj6n81q9NgOeVi48vHnhhv0Zv2lr1JufGiv09TA0fH1f/rLU2j +Q2iT48zaNJwJd/qnWZomlg2Ww54Yvnyc1ZmcxZk7VUPuf0+Tu1NYDd/GN3D3 +R1QvtMXx1RNNQVucaBan4fx4/ulmaRqdQ/ucZBYL02Unlw2mI04pG0xbnFo2 +uWiHMyonTDecWTYYDz+rbHg7Xn9BW+dPw8tx/3PbOqd88fSzK2ZWPZ9WNZev +mHPKB+fH/S9q68JpNMHKlfu8yr1SYTXwXFz4NnddvaxasXraonzs3TINz8bF +r2rryml0CG1zaVuXFKYjLisbjKdfXjYYT7+ibHLRAldXTphOuKZsMO1wbdk2 +r570cvM0moP2uKGt68uX9ruuYtwFnXRx3clGFXN9+axeZ7ikfOgc2uemtm6s +3JsWvqHuik64ve4Mph3uKBueiDsu2/7WLc2iCfCgu9u6q3xpkzsrBt6u9u4s +XzzpnorB4+iA+9u6b5q36416myfWHt7+QPng3Hj2Mq32g9Nwctx82P7zYBbd +QYv0Gu7O4oubd2aJURtXu7d6sCeGL59dqsaDVXOPqiF3f5bcbLAaO9YZ7quc +eqElRrP0BNMR41lseDrevnzDy81yl2ywO7WH268wiw/dQ0fMGp7Ooivw9EXD +81mwb+JbsPHlY0+Mvw3+JvhbcHzlxrtXnKUGzYGXr9bwqrP0SvtMZumZDqFV +Vml45Vl8/eb5rRMjFy6/0iw5+coplxi5nEHvcvKVUy4xG87bm23ruraunee3 +lG5Yc5bfVDqC9li74bVmwXTJOrPYYFpl3VlsdB/ds37D683C+XH/jdSaZY8u +2GAWH7Fi+MqBQ+KNj3IXs8Ti8RvPkgPnxrM3bXiTWTDuvdksNhhX33wWm1p6 +UFtNGoKW2LLhLWbBeP5Ws9hg3H/rWWxqq6mWHuSWQ6wafGmJbWaJoSFoie0a +3nYWHo237+ptz6Jr8O4dGt5+Fox37ziLDcazd5rFBuPeO89ik4sW2G2WnDDe +v/ssNpgWeMgsNhzdnbrLR8yiG2iNhzW8xyy+dMRDZ4lxFmfQuzPZE8OXj7M5 +g96dka5RQ+6Hz5KbDVbDW/KGvB1vyrelDR49yzemS2iVx5qdWTBt9LhZbPAH +zNgstg9OoxOeaHZn0QR4/ZMbftIse3TDnrP4iBXDVw611VRLD2Jpg6fMkoNm +wOOfavZmwbj93rPYYDrhabPY1NKD2mri8Lj8Mxp++iyYbthnFhtMNzxzFpva +aqqlB3t4/7Nm8YFpgWfPYoPpiOfMYsOT8foXe6uzaAo64XlmcZY9PP8ls/jY +w7ufP4sPHo5nv9CszoLllIuNLx97YrxFb9pb9iblphteOksNHB+Pf423NIuG +oBteadZm4XS43cvN0iyxbLAc9sTw5eOszuQszkxzqCH3q2bJzQaroTYt8dpZ +eoDphNfNYoNph9fPYqMB8P63mqVZdAYt80azOAumI940iw3G6/edxQbj+m+e +xSYXHfC2WXLCtMHbZ7HBdMN+s9jwcrz/PWZjFp5PJ7zTbM3ii/fvP0uMWnrW +q5r2xPDlQzPgpe8zW7NwfjXkftcsudlgNdQWw1cPYnHb98+SA2/Gk7/gLc7C +kXHlj5mdWTQHnfFBszbLHt7/8Vl87NEZH5rFh8agKz5idmbBcsrFxpePPTFq +4+pfnKUHuemET8xSA6fXo94+N4uuoEM+YxZn0RV0xqfM7iyxbLAc9sTw5ePs +zuQs7oDmUEPuz86Smw1WA9fEWXHVK6pXOuFLs/SM8+F+P/U2ZtEIeMBXzd4s +uoLWOMBszoL52GPjizt8bZYYvIxOONDszfKWvVlv1Zu2RzccNIsPzYDHH2y2 +ZuH4ePz3zMYsGoJu+LbZmsUX9z9klhi18cCvz9KDPTF8+ailhtxq0hxqyP2d +WXKzwWrI5Qx6l1MvtMX3Z+kJphsOncWG4+P1h5mdWe6SDXan9vD8n8/iQ4fQ +FT80q7PoDLz8x2ZnFuyb+BZsfPnYE+Pb+Sa+hW94eX3XX8xSg0bA+w83O7P0 +Suv83yw90xh0xa/N3iy+dMARs8RcUW/ll7Pk5CunXGLkcga9y8lXTrnE+O3x +G+O3xW+Q3LTGb2epQUPQEr83m7NoALz/L97+LJqGtjnSLM6Caa8/zWKD6Y6j +ZrHBdMfRs9jkoiX+OktOmI44ZhYbjNf/bRYbHo/3H9fWv2bh6XTEP8zuLL54 ++7GzxKilZ72qaU8MXz40Aq3wH7Mzi0ZQQ+5/zpKbDVYDx8V1z3e3s/TSqVg9 +rVg+9s6dhSPjyqd427PoDNrjBLNYmK44sWwwXfG/ssF4+0llk4sOOLVywrTB +aWWD6YbTy7ZC9aSXc2bRJLTJWW2dWb60xhkV4y7ooP/WnSxVzJnl06szHF8+ +y1cNuc+u3MsXPqvuim64oO4MpiUuLBteiPfd5S3MokPohEvaurh86ZKLKma1 +8rm4bHzxwEsrhoagG65o6/JZOCau2W9apDfPHl1wZfnQCXTD1W1dNQsvx/tv +bOuGWXg+nXBdW9eWL95/TcWojYteVj1sUDHXls+6VeOqqrlx1ZD7+sq9ceHr +Ktfa1ftl1QvdcVP1BNMJN5cNb8bz72nr7rrL7QvfVXt4/73lQ5PQIbe1dess +GgMvv6Ot2wtvW9/ijvLduvbEeIvetLd8UuWmI+6rGjQDndBpd73MPL3SNrdU +z3genfFgWw+UL53QnSdGLjzw/sq5a+WU68HKtWX1fkv57lK5xPjN9dv79Ob/ +tHlyewO+vRqfLB97e8/D2+mCecOzeXQG7TFqeDgPpnXG89hgOmMyjw3G+6fz +2OSiKxbz5ITphKV5bDDtsOw8NhwdV1+54ZXm4fy4/woNLz+PL92x3DwxaulZ +r2raE8OXD01BR6za8CrzaBA15F5xntxssBp4Ml68hW81Ty9yiNUTDs3H3mbz +aAS8eV2zNM/vBh2yRsOrz4PpkDXnscF0x1rz2GC6Y+15bHLh4uvNkxOmI9af +xwbTCRvMY6MJ9KSXTefRFLTFxvXPHfjSFv45hBh34XdttXnuxJ4YvnzsOYPe ++dA0asi9yTy52WA13BUtseU8dwbTCVvNY8Nx8bzHeQvz6Aq6YduGt5nHl7bY +ep4YmI89Nr50xXbzxOBguNiODe8wjwb2Rr3NwTx7dMRO8/jQEHTDLg3vPI8m +wPP3aPih8/B8OmL3hnebxxfv33WeGLXpmO3n6cGeGL581FJDbjVpEDXkfsg8 +udlgNeRyBr3LqRe64mHz9ATTGg+fx4Yn4/VPMCvz3CUb7E7t4fFPnMeH7qBF +HmU259EctMdjzMo82DfxLdj48rEnxm+DO3WXfiPkphX2nKcGTYDXP9VszNMr +nfOIeXqmM+iSp5i1eXz9jfG3RYxcdMiT5snJV065xMjlDHqXk6+cconxt4wu +eMY8f9NgWmGfeWz+pvo7eGTDf5xHt9A1z274WfP40hrPnCcG5mOPjS9d8Zx5 +YvBUOuH5Zm8eToknvtPZ59mjJV4wjw8Ngce/yCzOw9vx/FeYlXl4Od3wUrM7 +jy+e/uJ5YtTGi587Tw/2xPDlo5YacqtJE6gh98vmyc0Gq4Gr+mfhf5iFs8rt +TM6iBo1Ba7zKLM7Di/H0fc3OPByZDX7jPDqD9nit2ZwH0xWvm8cG0xWvn8cm +F+7/5nlywnTBW+ax4fzu1F3uP4/GYIP3m6c3uubV8/RIk9Ambzdr89ytM+jd +HctNe7x1nhp85ZRLjFzOoHc5+coplxjflhZ41zzfmGagHd5jVubheLjeV32L +eTQBbfD+ht83jy8d8t55YmA+9tj40g0fmCeGRqALPmI25qmtplp6sEcnfHQe +HxoBb/+42ZmH0+PtnzUL82gGOuFTDX9yHl9c/xPzxPyu3sEH5+nBnhi+fNRS +Q241aQw15P70PLnZYDXk8rY+NE9OvdASn5unJ5hO+Pw8Npwej/+6tz/PXbLB +7tQeXv+NeXzoDjriS2ZjHl2Bhx9gNufBvolvwcaXjz0x3iLd+IZ53qTceNqB +89SgCfD8b5mFeXqlbb4wT880BR1xsFmexxfv//Y8MXLhfgfNk5OvnHKJkcsZ +9C4nXznlEqM3M2a29Cg3bfGdeWrAdMN357Hh+Lj+T7z1eTQAG/yjeXQHbXOo +s8+Daa//m8cG0x0/mMcmF53w03lywnj7z+ax4el4/a+9zXk4Oxv8y3k4Jq75 +T3c3D0+nI35htuepRdf8cJ6acuPxh81Tg6+ccomhEWiFw83SPL5yyiVGLmd2 +Vjn1JoavHsXSDUfMkwMvxtOP8rbn+W1gg/1G2MPlj57Hh+6gRX5nVufRGHTF +H7z1ebDfGL8tbHz52BPjLvDyf81zJ3LTDX+epwbNQRcca3bn4fTu0N39fR6N +QqscY9bm8eVjT4xctMhf5snJV065xDi7M+jdHfCVUy4x/lmGf4bh78Bvq1da +4rh5esYJ8b6LvK15dKs35S19bx7NQDv81+zNE0un/LtydMrnP2Xji0ccXzE0 +A51wklmcRyPg7aeYjXk4Pd5+lrc/jyZYtvAZ88yCnvRiJmgKOuL0tk6bhweO +KveJlZs2OLVqLCrnGRUzrh5Orp5mlfO0itE7LnRCnWFQNU4sm15pjbOrZ5we +j7+srUvn4fxrFr6k9nD9y8tn9fKxd/E8GoPWuKCt8wuvWt+CbaXysXdefavV +K9Y3w/HxeP+u8Zp5dM1K5Xtu9UrnnFM9r1A+55Zt/coh9up5NAjdcVVbV86j +cdYtfEXtrV++V9XZ1qk9Z/S/4+K/g+bfG/n3RHQG3XD9PP9OlI6gLW5s64bC +tMxNZYNprZvLRmPQFbe2dcs8GoFWuKOt22uPjritfDavmFsqBw6JS664aGdf +JJauuLNy4Ph4+d1t3VUYV7+nbDBdcW/Ztqoebq+aNAAtcH9b9xWmIx4oG0w3 +PFi2bavmXdXDjpXjvqrBl9ZYZpEYGoKW6Lb/3FmEJ+Ptk4bHi+gOOqLfcG8R +TEcMFrHBePpwERuMZ48WsclFC0wXyQnTCbNFbDDtMF/EtmfdqbtcfhFdQocs +2/DSIr50ymKRmN3qDHp3Jnti+PJxNmfQuzPSHGrIvdwiudlgNfxN87ftUw1/ +cpFvS0estMg3pivojlUaXnkRTIusuogN9s8+VlvERlPQEWs0vPoimoA2WLvh +tRbZoxvWXMRHrBi+cqitplp6EEtHrLNIDpwe716v4XUXwbj4+ovYYDpig0Vs +aulBbTVxftx/o4Y3XATTDRsvYoPphE0Wsamtplp6sEdLbLqID0wnbLaIDaYd +Nl/Ehifj9ds1vO0iOgPP3rLhLRbZw/O3X8THHi6+1SI+ODuevU3DWy+C5ZSL +jS8fe2K8RW/aW/Ym5cbbdlikBk6Pp+/uLS2iS+iKXRreeREOhUvt1PCOi8Sy +wXLYE8OXj7M6k7M4M02ihty7LpKbDVbDt/EN3L1vpBfa4SGL9ERj0Bp7mMVF +NADe/xiztIiuoXUebhYXwXTGIxaxwXj/IxexwbTAoxaxyUVLPHaRnDAd8bhF +bDBe//hFbHg83r+Xt7mIxqAjnmR2F/HF25+wSIxaetarmvZw+ycu4kMj0Ap7 +m6VFNIIacj95kdxssBo4Lq77cne9SC9yiNUTzszH3ksX4ci48nO83UV0Bu3x +DLO5CKYr9lnEBtMVz1zEBuPtz1rEJhcd8NxFcsK0wfMWscF0w/MXsdEEetLL +SxbRJLTJi8zaIr60xgsWiXEXdNDTFrkTe2L48rHnDHrnQ9OoIfeLF8nNBqvh +ruiGVyxyZzAt8cpFbDgdbvd+334RjUAXvMZsLeJLl7xqkRiYjz02vnjTaxeJ +wevogjeYlUXerjfqbXrD9uiENy7ig8Pj3fuavUU4PF6+n7e/iA6hI95qVhfx +xdXfvEiM2rjb6xbpwZ4YvnzUUkNuNWkQNeR+2yK52WA15HIGvcupF1ph/0V6 +gumKdyxiw9Fx9Q96+4vcJRvsTu3h9R9axIfOoSvebRYX0Rl0xXvN9iLYN/Et +2PjysSfG3wZ/E/wt8DdCbtrhw4vUoAnw+E+YjUV6pYXeuUjPdApd8jGztoiv +3zy/dWLkomM+skhOvnLKJUYuZ9C7nHzllEsMLoaD4V44md9SOuLTi/ym0hV0 +x2fN3iKYFvncIjaYdvn8Ijaah474olleRAPQAgeYtUX26IQvLeIjVgxfOfBG +3PKX7mKRWLrhK4vkwMHx7q+ZzUUwLv71RWww7v+NRWxq6UFtNXF8XP+ghg9c +BNMF31zEBuP9By9iU1tNtfQgtxxi1eBLKxyySAxNQVt82+wtwrtx9R9424vo +GDrhu2ZxEYyHf28RG4yHf38RG4ybH7qITS464IeL5IRpgx8tYoPpgB8vYsPB +3am7/PkiOoMW+ZnZWsSXdvjJIjHO4gx6dyZ7YvjycTZn0Lsz0jBqyH3YIrnZ +YDW8JW/I2/GmfFs64VeLfGO6gdb4jdlZBNMuhy9ig+mEIxaxXV9v9XdmdxFN +gNf/0Wwtskc3/H4Rn+vqXfOVQ2011dKDWNrgyEVy0Ax4/FFmbxGM2x+9iA2m +E/68iE0tPaitJg6Py/+14b8sgumGYxaxwXTD3xaxqa2mWnqwh/cfu4gPTAv8 +fREbTEf8YxEbnozXH++tLqIp6IR/mcXaw/NPKB97ePdxi/jg4Xj2f8xq4V7l +YlumfP5dMd6iN+0tH1q56YYTqwaOj8ef7i0toiHohlPM2iKcDrc7ySxV7Kjw +ibU3KV8+zupMzuLMs6oh96mVe1b4lKpNS5xRPcB0wpllg2mHs8pGA+D9F7Z1 +wSI6g5Y5p62zC9MR55YNxuvPKxuM659fNrnogIsqJ0wbXFw2mG64pGx4Od5/ +VVtXLsLz6YTL27qsfPH+Sytm5er5gqq5ZsVcVj40A156TVtXL8L5163cV1Tu +dQpfXrXXL9+rKha3vbZy4M148n1t3bsIR8aVb2nr5kU0B51xfVvX1R7ef2v5 +2KMzbigfGoOuuKmtGwtvXrluKt9Na++Gqo2r3189yE0n3FY1dqge9XbPIrqC +DrmrrTsX0RV0xh1t3V6xWxe+rfa2Ld876uwb1VncwfZVQ+67K/f2hdXANXFW +XPVZ1Sud8ED1jPPhfqsvtbewFI2AB3Qb7ixFV9AayzT8YGE+9tj44g69pcTg +ZXTCsOHBUt6yN+utnlV7dMNoKT40Ax4/aXi8FI6Pxy/b8NJSNATdMG94thRf +3H+6lBi18cD+UnqwJ4YvH7XUkFtNmkMNuRdLyc0GqyGXM+hdTr3QFsstpSea +hG5YoeHll8Lx8fo1G15jKXfJBrtTe3j+WkvxoUPoipUbXmkpOgMvX7XhVZaC +fRPfgo0vH3tifDvf5MH6hs+s77r2UmrQCHj/Bg2vv5ReaZ0Vl9IzjUFXrNfw +ukvxpQM2XEqMXN7KOkvJyVdOucTI5Qx6l5OvnHKJkYu22GgpOWG6YeOl2GBa +YpOl2GgAvH/rhrdaiu6gbTZreNOlYNpr86XYYLpji6XYYLpjy6XY5KIltllK +TpiO2HYpNhiv324pNjwe79+l4Z2XwtPpiB0b3mEpvnj79kuJUUvPelXTnhi+ +fGgEWmE3s7MUjaCG3DstJTcbrAaOi+s+2bdaSi9yiNUTzszH3p5L4ci48iO9 +7aXoDNrjoWZxKZiu2GMpNpiueNhSbDDe/vCl2OSiAx61lJz/j6W7jL6oqtYw +Tjen+9DdDQJ2t2K3YgcittiNLRY2dmMHFopyMQiRbpDuLkm56zfe+WGPMe9c +s/baa/3P+4BX2djgIZ342LjhoZ34MIGZzPKoTpgEmzzC3eokFms8rJMce4GD +9upkT6zJESvGmncwuxhMo4faj+ykNh9bD3uFGx7XyZ6xscTjO/HRhXTfy5yF +TjgEJ+zjbnUSi0ue0EkOW4w1PrF04JM6ycEQuOGp7nInGpPWfL3ZO1nDBU/r +JAYn4IZnuBud6HK6/3nuQic6Hyc8213tJJbuf2YnOXrTok/uZAZrcsSK0UsP +tfWk+fVQ+zmd1OZj66GWdzC7mmbBHc/vZCY2TnhBJz66mc5/hbvSyV7yse2p +Nbr/lZ3EYBIc8iJ3sxPGoMtf4q50YvsmvgWfWDHW5DiLzrSz7EyqjSNe1UkP +zIATDnA3OpkV2+zbycx0Hs7Y313rJBYnvLaTHLXowP06qSlWTbXkqOUdzK6m +WDXVkqOWb+5bq+nb44Y3dHIG/E77Xf5zs//UiW7HBW9zlzrhDOzxJnexExvr +vLkTHxtnvKUTH5vuP7ATn1q44u2d1GTjhHd04mNjh3d24qPRafX3N/t9nWh+ +2v897lYnsbjjXZ3k6GVms+ppTY5YMZgCR3zQXeuEQfRQ+72d1OZj66G3HLFm +kItFDuqkBg1NS3/B2euEEejmT7hLnTAJDvmwu9vJGm39yU5irOGSj3QSg0Fw +x8ec9U5sNdXiEyvGmhy96fYvdjKD2jjj4E56YAQzmu2QThgDa3zW3eqEMbDG +p93NTnL52GpYkyNWjHf3Tt7FHmAcPdT+XCe1+dh6OEu03pGdnCmzYo0vdTIz +zUv3/crZ6IQzcMSh7lonjIE1vuKudWKLscYnFmd8rZMcmow2+6a73cnZdmad +VWfcGq74VicxmAJHfMdd6YQR6P4fNfuHneh+XPF9d7eTWBzw3U5y9MY1X+9k +BmtyxIrRSw+19cQkeqj9g05q87H1UMs7mF1Ns+CMwzqZiY09ftyJj26m83/j +7nSyl3xse2qNrj+8kxgcgk1+5q52wiBY5BfuTie2b+Jb8IkVY02Ob+eb+Ba+ +odrY4bed9MAIdP4R7k4ns+Ken3QyM+7AKX9w9zqJ9TfH3xo5auGS33VSU6ya +aslRyzuYXU2xaqolx3+2039G1L8T9e9GnT3c8JdOzqC/sf4ubtVteqwbjsE5 +R7trnXAG9jjKXevEFmONTyzO+FsnOXQrbvinu9OJxqQbLzNrJ2vY4piKwRR0 +/XHuYic6nu4/yd3oRKfjiBPc3Yql24+vHL3p5L93MsMqlfOvilmxehxbPVev +Hmr/u2qvXrYetCuNSpvSsGp7J++iB+bAHqe05+ROdDLdfp670IlmHpV9Tifc +gUVOb89pZeOMM8rHxh5nlk8tLHB+1WTjhP+Ub73aU3t5SSfMsV7ZF9dsOOfU +mhGjYJWL2nNh7e1aNftJVRuLXFA9FlXz4spZp97htKo5q5oXVo5viw0ur2+M +IbDEle25ohPNR/ut1M7Wit0wA064pj1XVywuuapy2JvW2lUViyOurRzfBSfc +0J7rq/dG1evyWsMNN1YMZqDjb27PTZ1ofDr+jvbc3glD4Ibb2nNrxdL+t1TO +5nUOrqsZtqucWytm6+pxU/XcsXqo/d+qvWPZt1WtLWv262oWbHFnzcTGDXeV +j8an61dpe7dyN3vJx7an1uj8VbuJwSG44n/tuacTzqDLV2hr95btm/gWfHtU +zL2Vg3lx5NntOatq022rddMDI9D9azd7rW5mxTp318wYA1es2dbW6CYWB6zT +TY5atODq3dQUq6ZactTavWa/u2LVVEuO2dyxc2pGtbHGut30YOOITjc+mp/2 +nzZ70g0T8LHH3XAI1uk3u9eNjcUG3fjYOGTYjU8t3DDrpiabjp9346Pb6fwN +m71BNxqej71+N5qT9tzN3nWj23HFes1edtML54y66ak2Xb/opodYNdWSgxmw +w8bN3qibWDXVkqOWd/auappNjlgzysURm3RTg06m27dp9tbd/Dbwsf1GWKPt +t+0mBodgk83c7W6YA2ds0ezNu7H9xvht4RMrxpoce0Gn797NnqiNI7brpgcG +wQk7N3unbjS+PbR3u3TDLNhlx2bv0E2sGGty1MIm23dTU6yaasnx7t7B7PZA +rJpqyfFb6TfQb99falZssUc3M9O8dN8TnK1uONaZcpa63TAFttir2Xt2k4tb +7tNNDbYYa3xiccfe3eRgCNzwgGbfvxtmoOMf1OwHdqPx6fhHOPvdMAIf+2Hd +3AUzmcWdwBi44qHucjc6UA+179dNbazw4G56iFVTLTlmMYPeZhKrplpyzE5X +3rebd2DroTafWbHHI7uZmWan3Z/srHej+fnY+3SzRuc/pZsYOluMtSd2wyBY +5HHuTje2b+Jb8OEYMdYe0823UkOub0bX0/HPcpe64Rw5Yh/dzay451HdzMwW +Y40PE6gh9xndMAzOeLq73w2n8LGf2s2aHLFivJsYa97RLNji2d3MxMYNz+nG +x8YSz+3GR3fS/S92N7vhDmzzfHexGxsHvaAbHxtn7NuNj023vrAbn1pY4iXd +1GTjiJd242PT9S/rxkfH0/2vbvZ+3eh0HPFKd7ebWLr95d3k6GVms+ppTY5Y +MRgBK7ym2ft3wwh6qP2qbmrzsfWgcWnd9/o23cyihlwz0cxirL27G41MK7/Z +3eqGM7DH69zVbmxc8fpufGxc8YZufGy6/Y3d+NTCAW/ppiYbGxzYjY+NG97a +jQ8TmMks7+qGSbDJO9y1bmKxxtu6ybEXOOiAbvbEmhyxYqx5B7OLwTR6qP3O +bmrzsfWwV7jhfd3sGRtLvL8bH81I533VWeiGQ3DqQc3+YDexuOQD3eSwxVjj +E4srPtRNjr+bOOGj7no3mpF2/KG972YNS3ysmxgMQcd/wt3pRrfT+Z91F7rR +4bjhU+5eN7F0+Se7ydHb3+kPdzODNTlixeilh9p6YgI91P50N7X52Hqo5R3M +rqZZcMXnupmJjTUO6cZHJ9P1X3NXutlLPrY9tUbnf72bGNyBRb7obnajyWnz +L7sr3di+iW/BJ1aMNTnOojPtLDuTamOJb3TTA0Pghu+5W93MinM+383MdBRW ++Y673E0sDvh+Nzk0IJ31LXevm1g11ZKjlncwu5pi1VRLjt9Qv6W3tefWbmo7 +A769HttVjLVbutHxOOAX7k43HIJtfuzudWNjn59042Pjjp9242PjgJ9141ML +R/yym5psbPGrbnxsXPHrbnw0PC3/B3elG41Oq//W3e0mFgf8ppscvcxsVj2t +yRErBkPghCPcnW6YRA+1f9dNbT62HjQxnftve9vNLGrINdOqFWPtX91objr5 +aGe7G8bAGke6i93YWOQv3fjYOOOv3fjYOOOobnxq0d5/66YmGxf8vRsfGyv8 +oxvfKjWTWY7vhitwybHuVjexOOOf3eTYC+z0p272ZIXKOaZirHkHs4tZuXqo +fVzVXrnsY2uvsMGJtWdsHHFS+Whauu4qZ6EbBsEJp7bnlIrFHidXzloVc0r5 +xOKI0yqHjqLtzmzPGd0wsjPqbP6o1nDDWRWDGej4c9pzdjeMQMdf2J4LutHl +WOT89pxXsXT6uZWjN912es0wqpzzKqZfPc6untPqofZ/qva07POrVrdmP71m +wQkX1Uxs7HBx+ehiOv2a9lxde7lp2VfVGi1/bcXgEqxzWXsu7YYxsMYV7bm8 +7I3rW1xRsRvWmhy/DfbUXv6wauOG66oH5sAFN7fnppoVB11SM2MUrHJje26o +WH9jbqkctbDI9VVz66p5U+WsV+9wadXcsmreUDn+luGG/3bzN42NJW4vn7+p +/g4+v9f0Vy8cglXuas+dFYtL7qgc9i61dkfF4qC7Kwcj4IJ72/O/bjQirbhe +q73sZQ07rNBLDGagu1dq//eKveh0On6NZq/eiw6n9Vdt9iq9xNLlK/eSozeu +uadmsCZHrJg9q4faemIAPdRerZfafGw93Gv39DPN/nQvtfeod9EDM2CHtdra +mr3oblp92OxBLzqbj93vhUOwzbrNXqcXG0d0evGx6fRuLz61cMCol5psbDDu +xffk2lN7ueiFO/jY815mwz1r9zIjbsAes2ZPe9lb72B2e6w2rpj00kOsmmrJ +Ucs7mF1NsWqqJce3xQrr9/KNMQJW2LDZG/Si8Wi9PX37XhgBF2zS7I17icUi +G/WSwxZjjU8sbti0lxyMgAu2aPbmvfTWUy8zWMMJW/YSgxHo9q2bvVUvmp5u +37HZO/TCDDhhu2Zv20ssrb9NLzl6Y5fNepnBmhyxYvTSQ209MYYeam/fS20+ +th5qeQezq2kWLLFTLzOxccLOvfhoejp+72bv1cte8rHtqTW6/r69xOAOHLFb +s3fthSvo8D2c5V5s38S34BMrxpocZxEn9no5k2rTaffrpQcmoPMf7Cz1Miu2 +2aWXmTEFjnigu9ZLLN3/kF5y1KL97t9LTbFqqiVHLe9gdjXFqqmWHLO5Y+6W +GdXGFg/tpQcbNzysFx+NT+s/3l3qhQH42I/thTuwzSPdxV5s7PWoXnxs3PHo +Xnxq4YQn9FKTTbc/sRcfnU7XP83d6EWz87Gf0ovGpDX386696HQc8WR3uZde +uOYxvfRUm47fp5ceYtVUSw5GwArPcPd6iVVTLTlqeWfvqqbZ5Ig1o1zc8Mxe +atDFdPq+znYvvw18bL8R1mj5F/YSgzuwyLPd7V4YA1c8193pxfYb47eFT6wY +a3LsBV3+6l72RG3c8KJeemAOXPByd7cXTW8P7d0re2EUrPJSd62XWCzxil5y +1MIiL+6lplg11ZLj3b2D2e2BWDXVkkNr0qy06lE1K5bYv5eZaUK674POWi/c +6kw5Sw/vhRmww2ubfUAvuTjlNb3UYIuxxieWjnhdLzmYASe8yd3phRHo9re4 +a71oerr9nc1+Ry9MwMd+ey93wUxmcScwBY54m7vZiw7UQ+039FIbGxzYSw+x +aqolxyxm0NtMYtVUS47ZaaHX9/IObD3U5jMr1nhXLzPT9HT8R5zdXjQ/H/tD +vazZ94/2EkNni7F2UC+MgTXe76z3YvsmvgUfbhFj7b29fCs15PpmND4d/yl3 +oReukSP2Pb3MinPe3cvMbDHW+DCFGnI/2QuD4I5P+FvQy7nhY3+slzU5YsV4 +NzHWvKNZaBDaw0y0CHb4bC+a5H/tudfc/VanHwag+7/s7vTCHdjmEHevFxt7 +fb4XHxt3fKEXHxt3fLEXn1pY4iu91GTjiK/24mPT9Yf24qPj6f5vN/tbveh0 +HPENd7GXWLr9a73k6GVms+ppTY5YMRgBK3y32d/phRH0UPubvdTmY+tB49K6 +v/NteplFDblmopnFWDu8F41MK//E3eqFM7DHD9zVXmxc8cNefGxc8aNefGy6 +/bBefGrhgJ/2UpONDX7Wi4+NG37eiw8TmMksv+mFSbDJr9y1XmKxxi96ybEX +OOh7veyJNTlixVjzDmYXg2n0UPvXvdTmY+thr3DD73vZMzaW+EMvPpqRzjvF +WeiFQ/yzjj81+4heYnHJH3vJYYuxxicWZ/y5lxzMgBP+6q73ohlpx/+YvZc1 +LHFULzEYgo4/2t3pRbfT+ce255hedDhu+Ie710ssXf63XnL0xjVH9jKDNTli +xeilh9p6rlA91P5nL7VXKFsPtbyD2Y+sWXDFcTUTG2scXz46ma4/zV2pvVyn +7FNqjc4/vWJwBxb5d3tO6EWT0+YnuStlr1Xf4qSKXaPW5DiLzrSzfFjVptvO +qB4YAjec255zalac86+amY7CKme356yKxQHnVY5adNaZVXNQNc+pnFXrHU6o +mr2qeVbljOubn181fXtccUGdAb/Jfme3bX/LtulHx+OAy9tzWS8cgm0uas+F +ZWOfi8vHxh2XlI+NAy4tn1o44oqqycYWV5aPjSuuKh8NT8vf0J7re9HotPq1 +7bmmYnHA1ZWj1wY166W1tlnFisEQOOGm9tzYC5NsWbWvq9pblH1t9d66Ym+o +XKxxc9WgwenmVdperdyP5qaT72zPHb0wBta4tT231BotfVfFWMMmt1UM5sAZ +t7fnv2XvXLVur9gda+226k2Hr9rPDGrjhrurx941o9lW6oczcMoK/fxu4Qzc +4Xfsnsrdvey7a03OvRWzbb3TLbUHe1UPtVfspzYfWw9niXbbrp8zZVbssFo/ +M9O4dN5Gzd6wHybBDWs1e81+GASLrNHs1fuxxVjjE4sr1u4nh66i9TrNXref +s+3MOqsX1BqO6PYTgyHo+n6ze/0wA10/bfakH52OTUbNHvYTS7cP+snRm45b +p58ZrMkRK0YvPdTWE6Poofa4n9p8bD3U8g5mV9MsuGHWz0xsLDHvx0cn0+2b +NHvjfvaSj21PrdH2m/YTg1Owz3rNXvbDHNhjg2av34/tm/gWfGLFWJPj2/km +voVvqDaO2KyfHhgEJ2zd7K36mRUXLfqZGbNgly2bvUU/sf7m+FsjRy1ssnk/ +NcWqqZYctbyD2dUUq6Zam9eZdu7+2Y8mc/Zwxfb9nEF/Y/1dPKTZn+uHWbDL +Lu5uPxyCU3Zs9g792Nhlp358YnHRrv3kYAacsEezd+9HM9KOTzFrP2tY4j79 +xGAIOnwvd6Uf3U7XP9De9qPLaf/7Nfu+/cTS6Xv3k6M3ztmtnxmsyRErRi89 +1NYTE+ih9v37qc3H1oOWpVFp089Wbe/kXfTAEFjiwe5ePzqcdn+Mu9CP7uZj +P6pfjONOuBv92Lji4f342HT7I/rxqYULHttPTTZWeFw/PoxhT+3lk/rhED72 +Pv3MhoMe0s+MOAKLPNFd7mdvvYPZ7bHaOOPx/fQQq6ZactTyDmZXU6yaasnx +bbHDU/v5xpgBOzzdXepH89F+B/j2/TADdniWu9lPLDZ5Rj85bDHW+MTiimf3 +k+O74ITnuRv99NZTLzNYww3P7ycGM9Dx+7o7/Wh8Ov5lzX5pPwyBG17c7Bf1 +E0v7v7CfnM/UOXhOPzNYkyNWjF56qK0n5tBD7Zf0U5uPrYda3sHsapoFW7y8 +n5nYuOEV/fhofLr+de5KP3vJx7an1uj81/cTg0NwxX7uZj+cQZfv3+xX92P7 +Jr4Fn1gx1uQ4i7jxkf2cSbXptjf00wMj0P0HOkv9zIp1XtnPzBgDV7zZXesn +Fge8tZ8ctWjBN/ZTU6yaaslRyzuYXU2xaqolx2zumLtlRrWxxtv66cHGEW/v +x0fz0/4fcJf6YQI+9vv64RCs8y53sR8bi727Hx8bh7ynH59auOGD/dRk0/EH +9eOj2+n8j7sb/Wh4PvZH+9GctOc37V0/uh1XfMRd7qcXznlvPz3Vpus/1E8P +sWqqJQczYIdPunv9xKqplhy1vLN3VdNscsSaUS6OOLifGnQy3f4FZ7uf3wY+ +tt8Ia7T9F/uJwSHY5NPudj/MgTM+6+70Y/uN8dvCJ1aMNTn2gk7/Vj97ojaO ++FI/PTAITviau9uPxreH9u7r/TALdvmqu9ZPrBhrctTCJl/up6ZYNdWS4929 +g9ntgVg11ZLjt9JvoN8+v5lmxRbf7mdmmpfu+6Oz1Q/HOlPO0jv6YQps8T13 +r59c3PKdfmqwxVjjE4s7vt9PDobADYe5i/0wAx3/E3ejH41Px//K2e+HEfjY +v+jnLpjJLO4ExsAVP3eX+9GBeqj9w35qY4Wf9tNDrJpqyTGLGfQ2k1g11ZJj +drryB/28A1sPtfnMij1+3c/MNDvtfqSz3o/m52P/qZ81Ov8v/cTQ2WKsHdEP +g2CR37s7/di+iW/Bh2PEWPttP99KDbm+GV1Px/tnVX/rh3PkiD28n1lxz2/6 +mZktxhofJlBD7tH9MAzO+D93vx9O4WP/tZ81OWLFeDcx1rzj4Wvmv2PNf7ea +/y0e2g07HNOPhvvaoJ3t9rx82M7lMIyDG/7VnuP74Q5sc5y7WPYqtXZcxdKp +J1QOZsAOJ7l7/WhG2vE671prOOLkiqHp6fBT3b1+ND9df3Z7zuqHIej6M9pz +esXS7qdVjt608r9rhnUr5/SKWat6nFI9e9VD7TOrdq9sPdxRd/XFbU9eNEjt +Nepd9MAdOOLc9pzTj2an3S9tzyX96Ob1yr64Hw7BFf9pz/ll44oLysem2y8s +n1q44LKqycYKl5dvs9pTe3lNP0ywWdlX12xY57yaEafgkqvac2Xt7bBmP7tq +45grqscmVfPqyhnXO5xfNTeqmldWjm+LG66vb4wjcMaN7bmhH41H663d9nKt +QRgVJ9zSnpsrFpvcVDnsbWvtpor1d/LWysEIWOH29vy3em9VvcyAMXDDne25 +ox8NT2ff3Z67+tH4dPxKbZYVB2EGOv7e9vyvYmnzeypHb3+rb6sZrO1RsfdU +r12rtp57Vg+1VxikNh/73qq1Y81+W82CLVYeZCY2blhlEB9NT8ev2+x1BtlL +PrY9tUZXdQaJwSF0+OrNXm0QXU63r9nsNQaxfRPfgk+sGGtynEXcd1E/Z1Jt +uqg7SA/MQNePmj0cZFass+ogM+MUnDFodn+QWLp+PEiOWrRWb5CaYtVUS45a +3sHsaopVUy05/rO1/jO0/p2yf7dsVnfu4poZR+CMaYudDKL5af+Nmr3hIEzA +x95gEM7BPgvvPoiNO5aD+Ng4YL1BfGrhho0Hqcmm4zcZxEe30/lbNXvLQTQ8 +H3uLQTQn7Xl/ezeIbscVmzd7s0F6YY/1B+mpNl2/6SA9xKqplhzMgB22afbW +g8SqqZYcvejgBwzSU2174N31kIsjth2kBp1Mt+/a7F0G0cx87J0H4Q4ssn2z +txvExhk7DOJj44wdB/GphQV2G6QmGyfsPogPA9Dt92323oMwBx97r0HW7Jm9 +EoNRsMqe7tYge20PvLs9VxuL7DFID7FqqiXHu3oHs3tnsWqqJcdeYYcHDrJn +NBwt90xnaRBNy8d++iBc6ww5O7NBmAEnPMRdG6QWTnnQIDXZYqzxiaWjHjpI +DkbABY9s9iMG0ex09qPdhUE0Ox3+xGY/YRBG4GM/fpCzbyazuAO4BFc8zt0d +REfqofbDB6lNyz9mkB5i1VRLjlnMoLeZxKqplhyz04IPG+Qd2HqozWdW7LDP +IDPT6XT7c5ztQTQ7H/tZg6zR9s8dJMbei7HmG2AMrPE0d2UQ2zfxLfhwkRhr +Txnkb4+/Cf4W+BtEs/uN99v+wkG4R47YJw8yKw560iAzs8VY48MQasjddxCO +wS0vcNcHYRo+9vMGWZMjVox3E2PNO9IauOElg2gOHIEzXuZuDfI31d/Bf7Xn ++EE4Bde8stmvGCQWm7x8kBy2GGt8YnHFqwbJwQTYYH93cRCdSEt+1LsOsoYT +XjNIDM1NZ7/W3RtEw9Plb272mwbR+XT7G9zlQWJp89cNkqM3LtlvkBmsyREr +Ri891NYTB+ih9hsHqc3H1sNe2SN7Y8/U9k7eRQ/cgCsOdPcG0el0+3vdpUF0 +OB/73YNwDW54u7s4iE2Xv2MQH5suf+cgPrWwwPsGqcmm+98/iI/mtqf28sOD +MAYf+0ODzIZ93jrIjLgDmxzkLg+yt97B7PZYbWzxgUF6iFVTLTlqeQezqylW +TbXk+La44GODfGOcgC0+4S4Noulou+/59oP8M11c8KlmHzxILJb55CA5bDHW ++MRiiU8PkoMJsMHn3OVBeuuplxms4YRDBomh2enqL7hLg2h6uv1Q33oQRqDb +v+zuDhJLi39xkBy9cclnBpnBmhyxYvTSQ209cYAean9lkNp8bD3U8g5mV9Ms +WAInmYmNEzATHw1Pt//AXRlkL/nY9tQaHfXDQWJwB939LXdzEB1Op3/HWR7E +9k18Cz6xYqzJcRax4rsGOZNq0z0/GqQHRqDjf+YsDTIrtvnGIDPjElzxE3dt +kFg6/ueD5KhFSx02SE2xaqolRy3vYHY1xaqplhyzuWPulhnVxgq/GKQHG1f8 +chAfjU/r/9FdGoQB+Ni/H4RrsM5v3MVBbJxx+CA+Nt3/20F8auGEIwapyabb +/zSIj06n6492NgfR7HzsowbRmLTmWd51EJ2ONf7iLg/SC2v8bpCeatPxfx6k +B+ZQU62/DsIIWOHv7tIgsWqqJUct7+xd1TSbHLFmlIsb/jFIDbqYTv+3uzPI +b8NqZf+r1mj5EysGd2CRY9zVQRgDVxznrJftN+b48q1YMcdWjr2gy8+uPVEb +N5xUPTAHLjjdXRlE0/dq784YhFGwyqntOaViu7V2etXCIidXzXWq5mmV491X +qNn/UbFrVy05tKZ/TrzTIJrTrFjinJqZpqXzbnbWBuFaZ8pZ+tUgmoA2OL89 +51UuTjm3aowq5rzyiaWr/lM5GAEXXNyeiwbR7HT1pe25ZBDNTodf3Z6rBmGE +Tcq+cpC7YCazuBO4BFdc0Z7LB9GVi6p9YdWm3S+rHhtVzSsrZ1kzXFIzbVA1 +L68cs9OGF9Q7zKrHheUzK3a4pmbeofb1v+25bRCNv0PZt9aavb+9YrarGGu3 +DKLJafMb23ND2dvUt+DbsmKsXV/farvK9c1oeLr8f+25ZxDu2bJir6tZcdC1 +NfPmFXNd+XarGnLvHoRjcMhd7blzEKbZpew7am23ir2r3m3nWvOOzhom2rnW +zIYd7q0Z2ThjhWF8ND6tv2b7v9cYhgH42KsPwzm4ZuVmrzSMjXVWGcbHxm2r +DuNTCyesNUxNNt299jA+Op2O7ze7N4xm52N3h9GdtOkWzd58GJ2OBTrNXneY +XrhntWF6qk3HrzNMD7FqqiUHA2CBYbMHw8SqqZYcvejeLYfpqbY98O56yMUZ +o2Fq0OG0+3rNXg6ju/nYi2G4BOtMmj0exsYV02F8bLp9NoxPLVyw/jA12Vhh +g2F8GIMO36zZmw7DIXzsTYZZs2f2SgwGwSIbN3ujYfbaHnh3e642NtxwmB5i +1VRLjnf1Dmb3zmLVVEuOvcIKWw2zZzQiXffgZj9oGF3Ix37gMBqP1nu82sNw +DY7YttnbDFMLq2w9TE22GGt8Yuno7YbJwQzYYadm7zgMM9DtuzR752F0Ol2/ +Z7PvM4xm52PvMczfZH+bv9LsLw+jy3HE7s3ebRhG0UPtHYapTbfvOkwPsWqq +JccsZtDbTGLVVEuO2bHA9sO8A1sPtfnMijP2GmZmmp6Of5izPYxu5mM/ZJg1 +uurhw8TYezHWfANcQpc/wF0ZxvZNfAs+nCLG2v2GOYu4cT7MmcQIdPxj3Z1h +mEaO2PsOMys22nuYmdlirPFhDjXkPnoYnYVlHuWuD6O5+NiPGGZNjlgx3k2M +Ne9oNnfM3TKj2ZwpZ8mMzhbOeMIwZwwD0P1Pd5eG0fV87KcOwyVYZx93cRgb +Cz1pGB8bhzx5GJ9aWOIZw9Rk44hnDuOj2Wn35zf7ecMwAx/7ucNoVLrzDfZq +GM1Ouz/HXR6mF+54yjA91cYJzxqmh1g11ZKDKXDDvu7iMLFqqiVHLe/sXdU0 +mxyxZpSLPV44TA0amVZ+pbM8jAbnY/t3Ltbo+lcNE4NBsMiL3eVhOAV3vNRZ +H8bGHf5dDZ9YMdbk2Ata/I3D7Ina2GG/YXrgEhzyWnd5GGawh/budcNwB255 +jbs4TKwYa3LUwiWvHqamWDXVkuPdvYPZ7YFYNdWS428DXffVYf5GmBVXvGmY +meleOveTztowZ8+ZcpacQYyCIw5s9luGycUmbx6mBluMNT6xOOOtw+TQfbjh +ne76MMxAx7/b3RmGEej4Dzb7A8MwBB/7/cNoVH/j/G370jC6Hau8z90cRsfp +ofbbh6lN179nmB5i1VRLjlnMoLeZxKqplhyz04VvG+Yd2HqozWdWHHHQMDPT +7LT7Z5zdYXQzH/tTw6zR+Z8dJoamFmPt4GE4CHd83FkfxvZNfAs+XCPG2keH ++VZqyPXNMIE9sjdfHIaB5Ij9yDCzYp8PDTMzG1t9eBgfZlFD7heGYRps8/lm +HzIMp/CxPzfMmhyxYrybGGve0b/rXKn+GYF/VuDsYYtDhzmD/sb6u7rSqMWO +ovFovb8231+G4RQs8w13d5hc3PK1YWqwxVjjE4uTvjlMDmbADt91F4ZhBrr7 +++7OMDqdjv+JuzCMZudjHzbMP7emEWnDFYfR6VjgR+7uMIyih9rfHqY2Hf+D +YXqIVVMtOWYxg95mEqumWnK8Oy181DB74F1w1LeGeSez4oifDjMz3U7H/97Z +HUaX87F/O4wO52MfPizmcaad7WFsnPGrYXxqYYM/DFMTU/gmvsWRw3AHH/vP +wzCPmmr9fJjZsM7PhpmRLcYan3exZ/bKO+EMrPInd38Y5uBj/3GYNT31EmM2 +MdbMiBGwwtHuzjCajrY7z7cfRtOOyj5nmL2VI9YeYwac8A93aZhaWOVvw9Rk +i7HGJxZb/HOYHIyAC4539ofR7HT1Ce7OMJqdDj+1PacMwwhrl33yMKzijDlb +6uISXHFSe04c5tytXLWPrdq0+7+rx5pV8+TKWaVm+FfNtHrVPLFyVqhzfEy9 +w4rV49jymRU7nFYz0/B00wXO9jAaf1r2+bVGt1xYMeOKOb++AU1Om5/dnrPK +Hta34OtVjLUzhzmLOPLXw5xJGp4uv9zZGoZ7ehV7Rs2Kg06vmTsVc0b51q8a +ci8dhmNwyCXtuXgY3bUs+6JaW79iL6l3W9SadzQbrv3NMDOy3TF36zc1K5a4 +omam8+n6m9tz0zCaf5uybxyGCbYu+4ZhuAfnXGMvysY+15ZPLTr7lqpJl9Pt +d7bnjmE0+s5l3z6MRqfV727PXcPoUjq01/7WdkfRoXzszii9cOJ11ZOOxwr/ +bc9tw2j6Hcq+tdZ2ql7/rdm2r7VbqhaOur5qblnvfEP5dqkZ76p3oNvp+NXa +LKuOosv52KuMskbrrz5KDF0uxtrKo3AQzlih2feW7TfGbwsfrhFj7X+1F7R5 +f5Q9wRx0+brNXmcUBtqjYu+pvdy97Lsr1h7aOzk4Rg25a4/CLNhlrWavOQo3 +8rHXGGVNjlgx3k2MNe/ot9E7eje/kTQdbbdNs7ceRffSuVs1e8tRND5dP2/2 +bBTu3bTO0lXDMAW2GLW14SjvjmUGo+zBYyrGGh/NTmcvm70YRbPT4Rs3e6NR +GIGPveEoveWINYO7gLuvHOZOsDepWa6sWXDNeJSZcAwO2aDZ64+i8/nY642y +pqdeYswmxpoZ6VR74N2no9SmWyej9GCLscZHw9PyOzR7+1F0PB97u1E0Nt28 +W7N3HUXji7G27SgchDu2cHdGsX0T34LPt5Ij1jfzrXxD3843wwR6qL3LKAzC +x955lL3GPpuMsudsnLTpKD7cZAa9NxtljY8txrvhnB1HeUc29tlpFB9bT734 +/G/n+N/I8d/Z7L+7GVNgi/u4O/Zro1azPTts3PZo4zAJNtmrre05io1l9h7F +h4Fwxf3c1VGYABs80F0eZQ033H+UGLlyxKpBR9Kaz/Ouo+TiiAeNUoMmp8Mf +4q6NYtPmDx3Fx8YCDxvFp5cZ9NaT5qf9H9Hsh49i44RHjuJj44BHjeLTW0+9 +zKC2GnL1EIsdHj1KDsbAGo91t0bR4bT7U9ytUbgGNzy+2Y8bxabLnzCKj02X +P3EUH5tW32cUn1q44Kmj1GRjhaeN4mPjgqeP4qPJ7am9fM4o3IFNnuVujxKL +JZ4xSo538Q5m907W5IgV4928g9m9I6bRQ+1nj1Kbj62Hv2v+9p3UnhNH+ba4 +4fmjfGMcgT32bfYLRrGxzAtH8bGx34tG8WFYHPESd3MUBsACL3f2R1nDCS8d +JUauHLFq6K2nXmaQixteMUoNmptOfZW7OYpNu+43io9N+796FJ9eZtBbTxqf +1n9Ns/cfxcYFB4ziY9P9rx3Fp7eeepnBGjZ43SgxbBzx+lF8bGzxhlF8dDgd +/zZ3YxSOwQlvctdGWaOr3j5KjDU6/c2jxNDotPqB7tootppq8YkVY00OpnSm +neUnjVKbLnrHKD1odFr9/e7CKByCTd7jbo2imWind7mLo+TysdWwJkesGO/q +nbyLd8Yoeqj93lFq87H18G18A3vvG5kFW3xglJkwBbY4yN0bRfPT+Z9wl0bh +HOzzYXdvFBuXfWQUHxtHfHQUHxtbfGwUn1rY4ZOj1GTjhoNH8bHp8E+N4qPb +6frPuxuj6HLa/7Pu1iixdPqnR8nRy8xm1dOaHLFiaH7a/4vu1ihMoIfanxul +Nh9bDzqXFj7M2RplFjXkmokGFmPth6PobFr8G+7OKByCbb7iro1i44ivjuJj +0+mHjuJj0+lfG8WnFhb45ig12TjhW6P42Njh26P4MIeZzPKDUZgDe3zP3Rsl +Fvt9Z5Qce4GTvjTKnliTI1aMNe9gdjE4Rw+1vz9KbT62HvYKJ/x4lD1jY4ef +jOKjE2nHvzsLozABHfRzd22UWGzy01Fy2GKs8Ymlk34xSg4dhwN+7a6Ncnad +UWfTGbZGt/9mlBiam87+rbs0iianzf/U7CNG4Q4s8gd3aZRY2vx3o+ToTav9 +cpQZrMkRK0YvPdTWE5PoofYfR6nNx9ZDLe9gdjXNgiX+PMpMbBxx5Cg+Op1u +/6e7Mspe8rHtqTXa/piKwT044ih3cxSuoNOPdldGsX0T34JPrBhrcvxt8DfB +34IPVG26+9jqgTno8n+7G6PMin3+MsrMOASr/Mtdq1i/eSdWjlq0/HFVc9Wq +eULlqOUdzP6Xil2lask5e9zOdHu+PWnnf5LfUtxw8ii/qTgCe5zanlPKxiWn +lY+NVU4vH+7DPWe254xRND/tf45etYYLzqqYTuWcUTVoSLrxdntRuXT8uVWD +5qazz2/PeWXT3v8pH5tWv6B8/Zrh7OqJIbDERe25sGw6/+LysWn/S8o3qp7n +1QyzqnFh9RCLJS6tHAyBJS5vz2Wj6Gi6/br2XDsK19DdV7bnirLp7qvKx6az +ry4fm/a+pnxqYYHrqyab7r+hfGwscGP5dqg9tZe3jcINWOOW9txcsTjipspZ +v97hsnqnbSrn5orZsN7hinrH7auH2rdW7e3L1sNZcoacnZPr22KDO+ob4xKs +cld77iwbG91dPvbu7bmnfJgBO9zbnv+NwgR0/UrtDK84zhpuWGGcmN0r539V +Y+fqeWfNIBcbrDxODcxAx6/a7FXGsWn71cbxsXHC6uP49qwZ9NaThqfl12z2 +GuPYuGGtcXxs3LD2OD699dTLDNbo/nXGiWFjgXXH8bFxRGccH51M14+bPRqH +KXBCr9ndcdbo/Mk4Mdbo7v44MXQ4nT1s9mAcW021+MSKsSbHWXSmr60zqTZu +mI7Tg8an4zds9gbjMARuWK/Zy3E0HW23aPZ8nFzsMRunhjU5YsV4V+/kXbwz +5tBD7fXHqc3H1kNvLLHRODOwccLG4/jY2GGTcXwYgO7futlbjcMZWGazZm86 +jo0jNh/Hx6brtxjHx6b1txzHpxYO2GacmmxssO04PjZu2G4cH11O9+/S7J3H +0fk4Ycdm7zBOLN2//Tg5epnZrHpakyNWDGagS3dr9q7jaH491N5pnNp8bD30 +liPWDHJp293HqUE308mPcPbG0ci08v2c3XGYA2fcp9l7jLNG999/nBhrOGPP +cWIwBq7Yu9l7jWOrqRafWDHW5OhNqz9ynBnUxgkPGKcHTW9Gsz1sHK7AIQ9x +98bhCpzxoGY/cJxcPrYa1uSIFePdvZN3sQeYQw+1HzpObT62HrQmzUqrfq1m +xQmPGmdmmo/2e5GzMQ4j0AGPc9fG4Qqs8Rh3bRxbjDU+sbTD48fJoctwwj7u +zjhn2Zl1Vp1pa7jhSePEYAY6/inu1jgan45/lrsxDkPghqe7W+PE0v5PHSdH +bzrwCePMYE2OWDF66aG2nphDD7WfMU5tPrYeankHs6tpFmzx7HFmYuOG54zj +o/Hp+pe4O+PsJR/bnlqj8186TgwOwRXPd1fH4Qy6fF93ZxzbN/Et+MSKsSbH +t/NNfAvf8ND6ri8bpwdGoPv3c3fGmRXrPHecmTEGrniluzdOLA549Tg5ajkr +Lx+nplg11ZKjlncwu5pi1VRLjt8evzF+W/wGqY019h+nB4bAEge4m+MwAN3/ +ZndnHO7ANq9z18axsdfrx/GxcccbxvGxcccbx/GphSXeMk5NNo44cBwfm65/ +6zg+Op7uf7e7PI5OxxHvcLfGiaXb3zZOjl5mNque1uSIFYMRsMJ7fatxGEEP +td85Tm0+th40Lq37Od9qnFnUkGsmmlmMtc+Mo5Fp5Y+4S+NwBvb4gLs7jo0r +PjiOj40rDhrHx6bbPzSOTy0c8NFxarKxwcfG8bFxw8fH8WECM5nl0+MwCTY5 +2F0aJxZrfGKcHHuBg943zp5YkyNWjDXvYHYxmEYPtT81Tm0+th72CjccMs6e +sbHE58fx0YV038+chXE4BCd8yd0aJxaXfGGcHLYYa3xi6cAvj5ODIXDDoe7y +OBqT1vyj2cdZwwVfGycGJ+CGb7gb4+hyuv97zf7uODofJ3zbXR0nlu7/5jg5 +etOiXxlnBmtyxIrRSw+19aT59VD7O+PU5mProZZ3MLuaZsEd3x9nJjZO+ME4 +PrqZzv+FuzLOXvKx7ak1uv+X48RgEhxymLs5DmPQ5T9xV8axfRPfgk+sGGty +nEVn2ll2JtXGEb8apwdmwAm/czfGmRXb/HCcmek8nHG4uzZOLE74/Tg5atGB +vx6nplg11ZKjlncwu5pi1VRLjt9cv71rNvZcY5LazoBvr8cDK8ba6pPodlzw +f+7SOJyBPf7sLo5jY50jx/GxccZfxvGx6f6/juNTC1ccPU5NNk742zg+Nnb4 ++zg+Gp1WP749x42j+Wn/Y9ytcWJxxz/GydHLzGbV09oKFSsGU+CIE9y1cRhk +5ap9bNVeqWw9aGa6+CJ7W7OsWrlmoqFp6QucvXEYgW4+3V0a53cDh5zUnhPL +xiEnl4+NO04pHxt3nFo+tWjxM6omG0ecWT42TjirfJOaySznj8MU2OLccf65 +g1hscXbl2Au/a/+uPRlUzjkVs3q9w4kVM64eap9Xtcdln1t7hSUurj1j44RL +ykfj0nn3+PbjcAVuuLw9l1Ustri0ctavmMvKJxZXXFE5NBgtdnV7rhqHgZ1R +Z/OIWsMR11QMhsAN17Xn2nGYgM6/pT03j6PzccSN7bmhYun+6ytHbxxzZc2w +ZeXcUDGbVY9rq+c21UPtm6r2NmXfWLU2qdmvrFlwxa01Extr3FY+Opmuv7c9 +/6u93KPse2qNjl9hkhjcgUXuaM/t4zAH9rirPXeWvVt9i7sqdpdak+O3wZ7a +yz9Wbayw4iQ9MAFdv1qzV51kVpzz35oZZ+CSVdraypPE+hvjb4sctXDISpPU +FKumWnJ2rHe4vWruXTXVkuNvGS5Ya5K/aWyssPYkPn9T/R18XbNfOwm34JpO +s9edJBZrrDNJDluMNT6xuKI7SQ6dihMGze5PoinpxB29+yRrWGI4SQyGoOPH +zR5Notvp/GWzF5Poctwwa/Z0klg6fTJJjt50cW+SGazJEStGLz3U1hMT6KH2 +fJLafGw9aFWalBY9oGp7J++iB8bAGus3e71JdDGdvkWzN59EI/OxN5uEM7DH +Rs3ecBIbV2w8iY+NKzaZxKcW7b/lJDXZuGCrSXw0vz21l9tPwhh87O0mmQ3X +bDDJjJgEm2zb7G0m2VvvYHZ7rDb22HqSHmLVVEuOWt7B7GqKVVMtOb4tFthp +km+MGbDDLs3eeRKNR+s91refhAmwwe7N3m2SWByy6yQ5bDHW+MTihj0myfFd +cMFezd5zkt566mUGazhh70liMALdfr9m33cSTU+3P8TdmIQZcMID3b1JYmn9 ++0+S85o6B/eZZAZrcsSK0UsPtfXEGHqo/aBJavOx9VDLO5hdTbNgiYdOMhMb +JzxsEh9NT8c/3l2ZZC/52PbUGl3/hElicAeOeKS7OQlX0OGPbvajJrF9E9+C +T6wYa3KcRdy46SRnUm067YmT9MAEdP5TnaVJZsU2D59kZkyBI57srk0SS/c/ +bZIctWi/fSapKVZNteSo5R3MrqZYNdWSYzZ3zN0yo9rY4umT9GDjhmdM4qPx +af193aVJGICP/fxJuAPbPNtdnMTGXs+ZxMfGHc+dxKcWTnjhJDXZdPuLJvHR +6XT9K9yNSTQ7H/tlk2hMWvOd9m4SnY4jXuouT9IL1zxvkp5q0/EvnqSHWDXV +koMRsMKr3L1JYtVUS45a3tm7qmk2OWLNKBc37DdJDbqYTn+Dsz3JbwMf22+E +NVr+jZPE4A4ssr+7PQlj4IoD3J1JbL8xflv4xIqxJsde0OXvmmRP1MYNb5qk +B+bABW9zdyfR9PbQ3r19EkbBKge6a5PEirEmRy0s8uZJaopVUy053t07mN0e +iFVTLTn+WYZ/huHvwP41K5Z49yQz04R03xecrUm41Zlylp45CTNgh/e5e5Pk +4pT3TFKDLcYan1g64v2T5GAGnPAhd3ESRqDbP+JuTKLp6faDnf1JmICP/YlJ +7oKZzOJOYAoc8XF3eRIdqIfaH5ykNjb46CQ9xKqplhyzmEFvM4lVUy05ZqeF +PjDJO7D1UJvPrFjjU5PMTNPT8V9x1ifR/HzsL02yRut/dZIYOluMtS9OwhhY +4xB3ZxLbN/Et+HCLGGufneRbqSHXN6Px6Xj/rvGbk3CNHLGfmWRWnPPpSWZm +i7HGhynUkPuNSRgEd3zd3Z+EcfjYh06yJkesGO8mxpp33Gz9ph3ac217rlk/ +nIEbvjPJvxPFEdjie87mJDaW+f4kPjbW+sEkPoyBKw5ztydhBKzwU3djkjUc +8eNJYjCcHLE/nERD0pLH2ZtJcnHFzyapQePT5b9wtyaxafVfTuJj44pfTeLT +ywx664kBsMBvmv3rSWwccfgkPjZu+O0kPr311MsMaqshVw+xWON3k+RgCCzx +B3dxEp1Mt//VXZqEO3DEEc7uJDaO+NMkPjad/udJfGw6+8hJfGphgaMmqcnG +Cf83iY+NHY6exLdi7am9PGYSLsEh/3CXJ4nFKX+bJMe7eAezeydrcsSK8W7e +wezecYXqofY/J6m9Qtl6+Jvmb9sDp03DTPNtccTx9Y1xBe44wV0qG4v8u3xs +/+zjxPJhChxxcntOmoQJsMFpznat4YZTKmaNyjmpaqxSPf9VM8jFEadXDZqe +7j6zPWeUTYufVT42jji7fGvXDKdWT5qf9j+3PeeUjRvOKx8bJ5xfvk71PKNm +sIYl/lMxbJxwQfnY2OHC8tHJdP0V7bl8Es6gsy9uz0W1RudfWTHWaPFLKoZm +p7Mva8+lZW9YtS6r2PVrTY6z6Ew7y0dWbbrtqupB09PpN7bnhkm4BFdc155r +J9FQtNQ17bm6cjct+6pa27xir6l3XdS7eOctq4fa11ftLcu+rr7NsPb+7JoF +O9xUM2EMrHFLe26ehAHo/rvac+ckXIN1bmvPrWXjjP+Wj033314+Nha4o3xq +YYm7qyYbR9xTPjZd/7/y0fF0/yruxjQ6HUes2OwVpoml2++tnJ1r5jurpzU5 +YsVgBKywWvu/V52GEfRQe6VpavOx9aBxad15s2fTzKKGXDPRzGKsTafRyLRy +p9nrTsMZ2GPNZq8xjY0r1prGx8YVa0/jY9Pt60zjUwsHdKepycYGvWl8bNzQ +n8aHCcxklsk0TIJNRs0eThOLNQbT5NgLHLT6NHtiTY5YMda8g9nFYBo91B5P +U5uPrYe9wg2LafaMjSWW0/hoOtput2bvOg0j4IINmr3+NLG4ZL1pcthirPGJ +pZs2nCaHrsMFmzR742nO7vZ1Nm+pNZyw6TQxNDzdvXmzN5tGw9Pl2zZ7m2k4 +BEds1ewtp4ml1beYJkdv2m2jaWawJkesGL30UFtPDKKH2ltPU5uPrYda3sHs +apoFK2w3zUxsXLH9ND4anVbfo9m7T7OXfGx7ao2uv880MTgHV+zU7B2n4Qxc +sUuzd57G9k18Cz6xYqzJ8bfB3wR/C26q2thhz2l6YAI6/v7uxjSzYqEdppkZ +p+CS+7pr08T6zfNbJ0ctHLPXNDXFqqmWHLW8g9nVFKumWnJoMRqM9qLJ/Jbi +iAdN85uKK3DHQ9y9aWws8tBpfGzs8rBpfJgHRzzCXZ6GAbDAo921adZwwiOn +iZErR6wadCNt+TJ7MU0ubnjMNDVocLr7ce7mNDYt/vhpfGza/wnT+PQyg956 +0vi0/j7NfuI0Ni540jQ+Nt3/5Gl8euuplxnUVkOuHmKxwlOmycEU2OJp7t40 +uptWf66zPQ3H4IRnuIvT2HT4M6fxsenwZ03jY9Pmz57GpxYOeN40NdnY4PnT ++Ng44AXT+Ghwe2ovXzINZ2CRF7lb08Rih32nyfEu3sHs3smaHLFivJt3MLt3 +xDB6qP3iaWrzsfVwlpwhZ8eZ8m1xwsun+ca4AWu80t2ZxsYur5rGx8YJ+03j +c0ad1f3d3WmYABu8ttkHTLOGG14zTcy361yLVUNvPfUyAybABq93N6ZhBjr+ +je7SNDZt/6ZpfGyc8OZpfHqZQW89aXha/sBmv2UaGze8dRofGze8bRqf3nrq +ZQZrdP/bp4lhY4F3TONj44h3TuOjk+n6D7gb0zAFTni3uzbNGp3/wWlirNHd +75kmhg6ns9/nrk1jq6kWn1gx1uQ4i860s+xMqo0bDpqmB41Px3/CXZiGIXDD +R92taTQdbfdhd3GaXD62GtbkiBXjXb2Td/HOmEMPtT82TW0+th56Y4lPTjMD +GyccPI2PjR0+NY0PA9D9X3B3puEMLPMZd28aG0d8dhofm67/3DQ+Nq1/yDQ+ +tXDAF6epycYGX5rGx8YNX57GR5fT/d9wNqfR+TjhUHd3mli6/yvT5OhlZrPq +aU2OWDGYgS79lrs0jebXQ+2vTVObj62H3nLEmkEubfvtaWrQzXTyr53FaTQy +rfwjd2ca5sAZ33U3p1mj+w+bJsYazvjeNDEYA1f8wN2dxlZTLT6xYqzJ0ZtW +/800M6iNE348TQ+a3oxm++U0XIFDfu4uTsMVOOOn7u40uXxsNazJESvGu3sn +72IPMIceav9imtp8bD1oTZqVVqU5zYoTDp9mZpqP9jvR2ZiGEeiAP7h703AF +1viduzmNLcYan1ja4Y/T5NBlOOHP7t40Z9mZdVadaWu44chpYjADHf9Xd2sa +jU/H/8PdmIYhcMPR7tY0sbT/UdPk6E0HHjHNDNbkiBWjlx5q64k59FD7b9PU +5mProZZ3MLuaZsEW/5xmJjZuOKZ8ND5df7K7U3u5Ztkn1hqdf0rF4BBccXx7 +jpuGM+jyE9ydslevb3FCxa5aa3J8O9/Etzi8avuup1YPjED3n+Xu1KxY59ia +GWPgijPac3rF4oCzK0ctZ+W0qtmtmmdWzkr1DsdVzXWr5umVoxa2OKdqsnHD +ueVjY4nzyocB6P5L2nPxNNyBbf7TnvPLxl4XlI+NOy4sHxt3XFQ+tbDEpVWT +jSMuKx+brr+8fHQ83X9te66ZRqfjiKvac2XF0u1XVM6iZr64em5UOVdWDEbA +Ctf7VtMwwmZV++qqvWnZetC4tO5Ks/atZplli8o1054VY22FWTQyrfzf9tw2 +DWdgj5vac2PZuOLm8rFxxS3lY9Ptt5ZPLRxwe9VkY4M7ysfGDXeW7z41k1nu +nYZJsMk97bm7YrHGXZVjL3DQDbUnu1bO3RWzVb3DjRWzR/VQ+39Ve4+y76m9 +wg0rz7JnbCyxyiw+upDuW7/Z683CIThh9WavNkssLll1lhy2GGt8YunANWbJ +wRC4Ye1mrzWLxqQ1t272VrOs4YJ1ZonBCbih0+x1Z9HldP+o2cNZdD5O6De7 +N0ss3d+dJUdvWnTNWWawJkesGL30UFtPml8PtQez1OZj66GWdzC7mmbBHeNZ +ZmLjhMksPrqZzt+w2RvMspd8bHtqje7faJYYTIJD5s2ezcIYdPmy2YtZbN/E +t+ATK8aaHGdxhzrLt1ZtHLHxLD0wA07Ywt2YZVZsM51lZjoPZ2zW7E1nicUJ +W86SoxYduMksNcWqqZYctbyD2dUUq6ZactTyzX1rNX173LDNLGfA77Tf5Tc3 ++02z6HZcsHOzd5qFM7DHds3edhYb62w/i4+NM3aYxcem+3ecxacWrthllpps +nLDrLD42dthtFh+NTqvft9l7z6L5af/7NHuPWWJxx+6z5OhlZrPqaU2OWDGY +Akfc392ZhUH0UHuvWWpjmz1n6aG3HLFmkItFHjBLDRqaln6ibzkLI9DND3e2 +Z2ESHPIgd3GWNdr6EbPEWMMlD54lBoPgjoe6q7PYaqrFJ1aMNTl60+37zDKD +2jjjkbP0wAhmNNvjZ2EMrPFYd20WxsAaj3aXZ8nlY6thTY5YMd7dO3kXe4Bx +9FD7cbPU5mPr4SzRem+Z5UyZFWs8aZaZaV66bz9nYRbOwBFPa/ZTZ2EMrPEU +d3EWW4w1PrE44+mz5NBktNmzmv3MWc62M+usOuPWcMWzZ4nBFDjiue7eLIxA +97/I3ZhF9+OKF7iLs8TigOfNkqM3rnnGLDNYkyNWjF56qK0nJtFD7X1nqc3H +1kMt72B2Nc2CM148y0xs7PGSWXx0M52/v7swy17yse2pNbr+NbPE4BBs8nJ3 +cxYGwSKvdNdnsX0T34JPrBhrcnw738S38A3Vxg4HzNIDI9D5b3R3ZpkV97x0 +lplxB055vbs3S6y/Of7WyFELl7x2lppi1VRLjlrewexqilVTLTn+s53r1r8T +9e9GnT3ccOAsZ9DfWH8X/9Oe82fhGJzzDndtFs7AHm9z12axxVjjE4sz3jlL +Dt2KG97j7syiMenGQ737LGvY4r2zxGAKuv797uIsOp7u/4i7MYtOxxEHubuz +xNLtH5glR286+V2zzGBNjlgxeumhtp4YQQ+1PzRLbT62HrQrjUqbnle1vZN3 +0QNzYI+PuYuz6GS6/XPuziyamY/9mVm4A4t80t2cxcYZB8/iY+OMT83iUwsL +HDJLTTZO+PwsPgxgT+3lV2ZhDj72l2eZDed8fJYZMQpW+ZK7Nsveegez22O1 +scgXZukhVk215KjlHcyuplg11ZLj22KDr83yjTEElviGuzKL5qP9fu/bz8IM +OOHbzf7WLLG45Juz5LDFWOMTiyO+M0uO74ITvu+uzdJbT73MYA03/GCWGMxA +x//IXZtF49PxP2/2z2ZhCNzwE3dvllja/7BZcs6tc/DdWWawJkesGL30UFtP +zKGH2j+dpTYfW4/z6myZXU2zYItfzDITGzf8chYfjU/X/9FdmWUv+dj21Bqd +f8QsMTgEV/zG3ZyFM+jy3zb78Fls38S34BMrxpocZxFHfnqWM6k23fanWXpg +BLr/KGdpllmxzq9mmRlj4Iq/uGuzxOKA/5slRy1a8M+z1BSrplpy1PIOZldT +rJpqyTGbO+ZumVFtrHH0LD3YOOJvs/hoftr/BHdpFiZYtezjZ+EQrPNPd3EW +G4sdUz42Djm2fGrhhn9XTTYdf2L56HY6/3R3YxYNv27Zp86iOWnPq+3dLLod +V5zSnpOrF845rnqqTdefVD3WrpqnVg5mwA5ntueMil2rap1UtVapdz2uZutW +7OmViyPOqhp0Mt1+YXsumOW3YVb2f2qNtr+oYnAINjmnPWfPwhw447z2nFu2 +35jzyzesmHMrx17Q6dfUnqiNIy6uHhgEJ1zRnstn0fib1N5dOQuzYJfL2nNp +xW5ca1dULWxySdXcoGpeXjn9eoezaw/Wq5qXVo7fSr+BfvsOrFmxxbU1M81L +9602b+dqHo51ppylv8/CFNjihvZcX7m45bqqsUXFXF8+sbjjxsrBELjh1vbc +Mgsz0PH/bc9ts2h8Ov6e9tw9CyPsVvZds9wFM5nFncAYuOLO9twxiw7crmrf +XLWxwu3VY5eqeVflbF8z3FYz7VQ176gcs9OVN9U7bFM9bi6fWbHH/2pmmp12 +X6vt3ZrzaH4+9hrzrNH5a88TQ2eLsbb6PAyCRVZp9srz2L6Jb8GHY8RYW2me +b6WGXN+Mrqfj+83uzcM8csSuOM+suOfempmNhVaYx4cJ1JDbnYdhcEan2evO +wyl87HXmWZMjVox3E2PNO5oFWwzmmYmNG4bz+NhYYjSPj+6k+5fNXszDHdhm +0uzxPDYOms7jY+OM2Tw+Nt06n8enFpZYb56abByx/jw+Nl2/wTw+Op7u36zZ +m86j03HExs3eaJ5Yun3DeXL0MrNZ9bQmR6wYjIAVtmj25vMwgh5qbzJPbT62 +HjQurbtXs/ecZxY15JqJZhZj7T7zaGRaeYdmbz8PZ2CPrZu91Tw2rthmHh8b +V2w7j49Nt283j08tHLDjPDXZ2GCneXxs3LDzPD5MYCaz7DEPk2CT3Zq96zyx +WGOXeXLsBQ7acp49sSZHrBhr3sHsYjCNHmrvPk9tPrYe9go37D3PnrGxxH3n +8dGMdN5TnYV5OASnPqDZ958nFpfcb54cthhrfGJxxQPnyfF3Eyc8pNkPnkcz +0o77mn2eNSzx0HliMAQd/3B3Zx7dTuc/1l2YR4fjhkc1+5HzxNLlj5gnR29/ +px80zwzW5IgVo5ceauuJCfRQ+9Hz1OZj66GWdzC7mmbBFY+bZyY21nj8PD46 +ma5/ursyz17yse2pNTr/GfPE4A4sso+7OY8mp82f7K7MY/smvgWfWDHW5DiL +zrSz7EyqTbc9c54eGAI3PM/dmGdWnPOEeWamo7DKc9y1eWJxwPPnyVGLznrW +PDXFqqmWHLW8g9nVFKumWnL8hvot/UmzfzxPbWfAt9fDb7IYa4fNo+NxwCvc +pXk4BNu82F2cx8Y+L5nHx8YdL53Hx8YBL5vHpxaOeOU8NdnY4lXz+Ni4Yr95 +fDQ8Lf+6Zr92Ho1Oq7/G3ZonFge8ep4cvcxsVj2tyRErBkPghDe4a/MwiR5q +HzBPbT62HjQxnXuQbzXPLGrINRMNLsbaB+bR3HTy292leRgDa7zZ3Z3HxiJv +mcfHxhkHzuNj44y3zuNTi/Z+xzw12bjgnfP42FjhXfP4MIeZzPL+ebgCl7zX +XZonFme8e54ce4Gd3jjPnliTI1aMNe9gdjE4Rw+13zdPbT62HvYKG3xonj1j +44gPz+Ojaem6bzoL8zAITviYuzVPLPb4yDw5bDHW+MTiiI/Pk0NH0XYHu8vz +MLIz6my+cJ413PCpeWIwAx3/GXdjHkag47/Y7C/Mo8uxyCHu6jyxdPpn58nR +m277xDwzWJMjVoxeeqitJybRQ+3Pz1Obj62HWt7B7GqaBSd8aZ6Z2Njhy/P4 +6GI6/dvuyjx7yce2p9Zo+e/ME4NLsM6h7uY8jIE1vu6uzGP7Jr4Fn1gx1uT4 +bbCn9tJvhNq44bvz9MAcuOBH7sY8s+Kgr8wzM0bBKj9w1+aJ9TfG3xY5amGR +781TU6yaaslRyzuYXU2xaqolx98y3PDTef6msbHEz+bx+Zvq7+Bk0fTXIhyC +VX7ZfL+YJxaX/HyeHLYYa3xicdCv5snBCLjgcHdvHo1IK55q1nnWsMNv54nB +DHT3793FeXQ6HX+kuzKPDqf1j3B354mly/8wT47euObX88xgTY5YMXrpobae +GEAPtf80T20+th7vqXv66LYXj1qktnfyLnpgBuzwV3dxHt1Nqx/nLsyjwVcq ++5h5OATbHO1uzmPjiL/N42PT6X+fx6cWDji+arKxwb/Kt1btqb08eR7uWKvs +k+aZDfccNc+MuAF7nNief8+zt97B7EdWbVxxQvVYo2qeVDlqeQezH1Wxq1et +E+rbYoXT6htjBKxwRntOn0fj0Xo3+BbzMAIuOLs9Z1UsFjmzcnoVc1b5xOKG +cyoHI+CC89tzXvXuVK/Tag0n/KdiMALdfmF7LphH09Ptl7fnsnmYASdc0p6L +K5bWv6hy9MYu59YMi8q5uGKm1eOC6rl+9VD70qq9ftmXVK1xzX5uzYIlrqiZ +2DjhyvLR9HT8Te25sfZy67JvqDW6/uaKwR044pr2XD0PV9Dh17Xn2rK3rG9x +XcVuXmtynEWc+I95zqTadNot1QMT0Pl3tOf2mhXbXFUzYwoc8d/23FaxdP+d +laMW7Xdr1dyxat5eOZvUO1xdNbevmrdVjtmw7D/nmVFtbHFX9WDjhrvLR+PT ++qu2u7zKIgzAx155Ee7ANvd697Kx1wqL+Ni4Y8VFfGrhhNUWqcmm21dfxEen +0/XrNnudRTQ7H3vtRTQmrblJszdeRKfjiLWaveYivXDNSov0VJuOX2ORHmLV +VEsORsAK3WZ3FolVUy05anln76qm2eSINaNc3NBbpAZdTKfPmj1d5LeBj+03 +whotP18kBndgkUGz+4swBq4YNXu4iO03xm8Ln1gx1uTYC7p800X2RG3csFik +B+bABRs2e4NFNL09tHcbLcIoWGX9Zq+3SKwYa3LUwiLLRWqKVVMtOd7dO5jd +HohVUy05tCbNSqu+tWbFEpstMjNNSPfdz9lahFv3qLN0zzzMgB22bGtbLJKL +UzZfpMbzKsYan1g6YqtFcjADTtiu2dsuwgh0+w7N3n4RTU+379bsXRdhAj72 +Lovchd1rFncCU+CIndvaTovoQD3U3maR2thgx0V6iFVTLTlmMYPeZnp51VRL +jtlpoa0XeQe2HmrzmRVr7L7IzDQ9Hf8gZ30Rzc/HfsAia/b9wYvE0NlirN1/ +EcbAGnu7O4vYvolvwYdbxFjbc5FvpYZc34zGp+Mf6S4twjVyxN5nkVlxzh6L +zMwWY40PU6gh9+GLMAjueJi7v8i54WM/ZJE1OWLFeDcx1ryjWWgQ2sNMtAh2 +eMwimuTw9vxW3LL1XYYB6P4nuZuLcAe2eZy7uIiNvR6/iI+NO56wiI+NO564 +iE8tLPHkRWqyccRTFvGx6fqnLuKj4+n+Zzf7WYvodBzxDHd3kVi6/WmL5Ohl +ZrPqaU2OWDEYASs8t9nPWYQR9FD7mYvU5mPrQePSugf4NovMooZcM9HMYqzt +v4hGppVf4m4twhnY4wXNfv4iNq7YdxEfG1e8cBEfm25/0SI+tXDASxepycYG +L1vEx8YNL1/EhwnMZJZXL8Ik2ORV7toisVjjFYvk2Asc9LxF9sSaHLFirHkH +s4vBNHqovd8itfnYetgr3PDaRfaMjSVet4iPZqTzPuosLMIh/lnHG5v9hkVi +ccnrF8lhi7HGJxZnvGmRHMyAEw501xfRjLTjF+z9ImtY4q2LxGAIOv7t7s4i +up3Of2+z37OIDscN73L3Fomly9+xSI7euObNi8xgTY5YMXrpobaemEAPtd+9 +SG0+th5qeQezq2kWXPG+RWZiY433L+Kjk+n6j7sri+wlH9ueWqPzP7FIDO7A +Ige5m4toctr8w+7KIrZv4lvwiRVjTY6z6Ew7y86k2nTbJxfpgSFww+fcrUVm +xTkfWGRmjIJVPuMuLxKLAw5ZJEctOuvgRWqKVVMtOWp5B7OrKZY2+9QiOWr5 +5r61mr49rvjiImfAb7Lf2Yvbc9EiOh4HfN3dWYRDsM2X3b1FbOzzlUV8bNzx +1UV8bBxw6CI+tXDENxapycYW31zEx8YV31rER8PT8j9wVxbR6LT6d93dRWJx +wLcXydHLzGbV05ocsWIwBE74kbuzCJPoofb3FqnNx9ZDbzlizSAXaxy2SA0a +nG4+wrdcRHPTyb90thdhDKzxE3dxkTVa+leLxFjDJj9dJAZz4Iyfu6uL2Gqq +xSdWjDU5etPhf1pkBrVxw68X6YFBzGi2PyzCGTjld4v8buEM3OF37DeL5PKx +1bAmR6wY7+6dvIs9wD16qP37RWrzsX9XZ4l2u6TOlFmxw58XmZnGpfPOdDYW +YRLccFSz/7oIg2CRv7iLi9hirPGJxRX/t0gOXUXr/d3dW+RsO7POqjNuDUf8 +Y5EYDEHXH9Oefy7CDHT9v9tzwiI6HZsc357jKpZuP7Zy9Kbjjl5khpUq57iK +0WuFqq3nqtVD7X9V7VXL1kMt72D2o2sW3HBizcTGEieVj06m2892d2ov+2Wf +WWu0/TkVg1Owz6ntOWUR5sAep7fntLK79S1Or9h1a02Ob+eb+BZ/rto44tzq +gUFwwoXtuaBmxUUn18yYBbv8pz3nV6y/ORdVjlrY5LyqOa2aF1TOWvUOp1TN +cdU8v3KcU+fuPctoMmcPV1y6yBn0N9bfxce1tccuwyXY5cr2XLEIh+CUy9tz +Wdkb1drlFYuLrqoczIATrm3PNYtoRtpxrVZ7zWXWsMR1FYMh6PAb2nP9Irqd +rr+tPbcuostp/5vbc1PF0uk3Vo7eOOfqmmHryrmpYraoHtdXz+2qh9q3VO3t +ytaDlqVRadPHVO3N6l30wBBY4vb2/HcRHU67r9jebYVldDcf+95FuATr3NWe +O8vGFXeXj02331M+tXDBSsvUZGOFlZfxPaj21F6usQyH8LFXX2Y2HHRHzYgj +sMhqbW3VZfZ2x5r9tqqNM1ZZpodYNdWSs3O9w51V835VUy05vi12WHuZb4wZ +sMO6zV5nGc1H+23h2y/DDNih1+zuMrHYpLNMDluMNT6xuKK/TI7vghNGzR4u +01tPvcxgDTeMl4nBDHT8tNmTZTQ+Hb++u7AMQ+CGRbPny8TS/rNlch5d52Cw +zAzW5IgVo5ceauuJOfRQe7lMbT62Hmp5B7OraRZsscEyM7Fxw4bL+Gh8un6r +Zm+5zF7yse2pNTp/62VicAiu2KTZGy/DGXT5Zs3edBnbN/Et+MSKsSbHWcSN +/6szqTbdts0yPTAC3b+js7TMrFhno2Vmxhi4Yvtmb7dMLA7YaZkctWjBbZep +KVZNteSo5R3MrqZYNdWSYzZ37N6aUW2ssfMyPdg4YpdlfDQ/7X9fd2kZJuBj +77UMh2Cd3Zu92zI2FttjGR8bh9xnGZ9auOF+y9Rk0/H3X8ZHt9P5D3U3ltHw +fOwHL6M5ac9n2rtldDuueJC7vEwvnLPnMj3VpusfsEwPsWqqJQczYIeHu3vL +xKqplhy1vLN3VdNscsSaUS6OeMQyNehkuv0JzvYyvw18bL8R1mj7Jy4Tg0Ow +yaPc7WWYA2c8xt1ZxvYb47eFT6wYa3Joejr92c7uMrVxxD7L9MAgOOFp7u4y +Gt8e2runL8Ms2OUp7toysWKsyVELmzxpmZpi1VRLjnf3Dma3B2LVVEuO30q/ +gX77Lq1ZscVzlpmZ5qX73uCsLcOxzpSztOsyTIEtnt/s5y2Ti1ueu0wNthhr +fGJxxwuWycEQuOHF7s4yzEDHv9RdW0bj0/H7NftVyzACH/uVy9wFM5nFncAY +uOIV7uYyOlAPtV+4TG2s8LJleohVUy05ZjGD3mYSq6ZacsxOV+67zDuw9VCb +z6zY49XLzEyz0+5vcXaX0fx87Dcts0bnH7hMDJ0txtobl2EQLPI6Z30Z2zfx +LfhwjBhrByzzrdSQ65vR9XS8f1b1zmU4R47Y1ywzK+7Zf5mZ2WKs8WECNeS+ +YxmGwRlv97dgGU7hY791mTU5YsV4NzHWvKP/LgL/nQP+f2D8/8LQbtjhvcto +uKev185+ezZcv53L9cM4uOGD7uoy3IFt3u/uLWOLscYnlk49aJkczIAdPuKu +LKMZacfveddl1nDER5eJoenp8I+7S8tofrr+M+7yMgxB1x/sri4TS7t/Ypkc +vWnlDy0zgzU5YsXopYfaeuIEPdT+1DK1+dgH1x11VxdtT+brpbZ38i564A4c +8Tl3bxnNTrsf6i4to5v52F9ZhkNwxRfcxWVsXPHFZXxsuv1Ly/jUwgVfW6Ym +Gyt8fRkfzW5P7eV3lmECPva3l5kN6xyyzIw4BZd8y11eZm+9g9ntsdo45hvL +9BCrplpy1PIOZldTrJpqyfFtccP3l/nGOAJn/NBdWkbj0XpH+fbLMCpO+LG7 +s0wsNvnRMjlsMdb4xPo7+ZNlcjACVvi5u7xMbz31MoM13PCLZWJoeDr7V+7S +Mhqfjv99s3+3DDPQ8Ye7u8vE0ua/XiZHb3+rf7rMDNbkiBWjlx5q64kL9FD7 +t8vU5mProZZ3MLuaZsEWf1hmJjZu+OMyPpqejj/aXVlmL/nY9tQaXfW3ZWJw +CB3+Z3dzGV1Ot/+l2UcuY/smvgWfWDHW5DiLuO/Ly5xJtemivy/TAzPQ9cc5 +S8vMinWOWGZmnIIzjnHXKpauP75y1KK1/rFMzRWr5rGVo5Z3MLuaYleoWnL8 +Z2v9Z2j9O2X/btms7py7ZmYc8f8s3WXYtlW17nG6u+G9ux+6QwQxEBsMTMRe +tljYjd3dgd1dWKhg093d3V17/vY5PlzHMdaYo+a8rvnc5/9l7b1wxvG+3WXR +/LT/Ge7SsjDBOmWftiycg31OchfLxh0nl4+NA04pn1q44cyqyabjzyof3U7n +X+BuLIuG36Ts85ZFc9Ketzq7ZdHtuOLc9pxTvbDHqdVTbbr+7OqxUdU8r3Iw +A3a4qD0XVuyGVevs6kUH31Y916ozOK16yMURF1cNOpluv7o9Vy2LZh6WfeWy +cAcWubQ9l5SNMy4rHxtnXF4+tbDANVWTjROuLR8GoNtvbs9Ny8IcS2XfWGtb +1VmJwShY5Yb2XF9nvVnt/YKqjUWuqx7zqnlj5WxRe7ik9jytmtdXjrPCDrfX +mdFwtNy67bdgnU40LR977U641jfk2zlhWZgBJ9zVnjurFk65o2puVzF3lk8s +HXV35WAEXHB/e+5bFs1OZy/Xej2wLJqdDl+l/c8rd8IIfOyVOvn2V61Z3AFc +gitWbGsrdKIjd6na91ZtWn75TnqIVVMtObvWDA/UTHtUTbXkmJ0WvKf2wN65 +at9Ts2KHVTuZmU6n2zdo9vqdaHY+9nqdrNH2G3YS4+zFWPMOMAbWWKvZa3Zi +eyfeBR8uEmNtjU7+9vib4G+Bv0E0u994v+2bdcI9csSu3smsOGi1TmZmi7HG +t3/VkLtpJxyDWzZp9sadMA0fe6NO1uSIFWNvYqzZI62BG5Z1ojlwBM7oNrvT +yd9Ufwff2+zDOuEUrDeg2TqJxSa9TnLYYqzxYSBcMWr2sBMmwAbTZk860Ym0 +5MOcRSdrOGHWSQzNTWcvmj3vRMPT5ds2e5tOdD7dvlWzt+wkljZf6iRHb1wy +7mQGa3LEitFLD7X1xAF6qL11J7X52Ho4K2fkbJyZ2vZkL3rgBlyxfbO360Sn +0+17NHv3TnQ4H3u3TrgGN+zkW+7Epst37sTHpst36cSnFhZ4UCc12XT/np34 +aG5n6iz36YQx+NgP6WQ27LNDJzPiDmyyd7P36uRs7cHszlhtbPHgTnqIVVMt +Of+fyZZldjXFqqmWHO8WFzy8k3eME7DFvu5OJ5qOtjvYu+/k33RxwaPcvU5i +scwjO8lhi7HGJxZLPLqTHEyADR7nW++kt556mcEaTnh8JzE0O129v7vSiaan +2w90FzphBLr9Sc1+YiextPgBneTojUse08kM1uSIFaOXHmrriQP0UPvJndTm +Y+uhlj2YXU2zYAmcZCY2TsBMfDQ83f5cd6WTs+RjO1NrdNTzOonBHXT3M93N +TnQ4nX6Qb7kT2zvxLvjEirEmx7eIFXft5JtUm+55fic9MAId/2LfUiezYpun +dzIzLsEVL3LXOoml41/SSY5atNQLOqkpVk215KhlD2ZXU6yaaskxmzvmbplR +bazw0k56sHHFyzrx0fi0/uvcpU4YgI/9mk64Buu80l3sxMYZr+rEx6b7D+nE +pxZOeH0nNdl0+6Gd+Oh0uv6t7kYnmp2P/eZONCat+Uln14lOxxFvcpc76YU1 +Xt1JT7Xp+Dd00kOsmmrJwQhY4e3uXiexaqolRy17tlc1zSZHrBnl4oZ3dFKD +LqbT3+/b7uS3gY/tN8IaLf+BTmJwBxZ5l7vdCWPgive4O53YfmP8tvCJFWNN +jrOgyz/VyZmojRs+2EkPzIELPubudqLpnaGz+3gnjIJVPuKudRIrxpoctbDI +hzqpKVZNteTYuz2Y3RmIVVMtObSmfye+Ylk0p1mxxKc7mZmmpfN+5NvqhGt9 +U76ll3eiCWiDz7l7neTilM90UoMtxhqfWLrq853kYARc8GV3sRPNTld/1d3o +RLPT4d/y7XfCCHzswzu5C2YyizuBS3DFN9zlTnSlHmp/sZPatPvXOukhVk21 +5JjFDHqbSayaaskxO234hU72wNZDbT6zYodvdzLzZXWuP/Otd6Lx+dg/6WTN +2f+8kxi6Woy1H3eiyWnzH7g7ndjeiXfBh4vEWPteJ+9KDbneGQ1Pl//WXeqE +e+SI/W4ns+Kg73QyM1uMNT6MoYbcX3fCMTjkV+5+J0zDx/5FJ2tyxIqxNzHW +7NG3NijfFTUbdvhdJzOyccbvO/HR+LT+39ydThiAj31kJ5yDa/7obnZiY50/ +deJj47Y/d+JTCyf8vZOabLr7qE58dDod/5/2/LsTzc7H/lcnupM2Pc9ZdaLT +scA/3eVOeuGev3TSU206/uhOeohVUy05GAAL/K89/+0kVk21jq5edO/51VNt +Z2Dvf6lcnHFM1aDDafdTfMud6O41yj6pEy7BOse159iyccXx5WPT7SeUTy1c +cGrVZGOF08qHMejwc3z7nXDIBmWfVWsb1VmJwSBY5Mz2nNHJWS9fe/9P1caG +p1eP9armWZWzYu3h2NrzOlXzjMpxVljhgjozGpGuu8u770QXbl/2HZ1oPFpv +5W6r2w3X4IiL23NR1cIqF1ZN9ua1dmHF0tGXVA5mwA5XtOfyTpiBbr+6PVd1 +otPp+hvbc0Mnmn1e9vWd/E32t/lJbZYndqPLccR17bm2E0bpVe3Lqjbdfk31 +mFbN6yvHLFjnyppJ7KRqXVOzY4FLaw+d6nFZ+cyKM26qmWl6Ov7e9tzTiW7e +qey7a42uuq9idqiYu+sd4BK6/Pb23Fb2dvUu+LauGGu3dvIt4sYTO/kmMQId +v2I7mxW6YZqtK/aWmhUb3Vwzs7eqNb49qobc5bvRWVhmuWY/0Inm4mPfX2ty +xIrZpWLurz2azR07qWY0m2/Kt2RG3xbOWKWbbwwD0P3rNHvtbnQ9H3utbrgE +66zW7FW7sbHQ6t342DhkjW58amGJdbupycYR63Xjo9lp902avXE3zMDH3qgb +jUp3btXsLbvR7LT7hs3eoJteuGPNbnqqjRPW76aHWDXVkoMpcMNmzd60m1g1 +1ZKjlj3bq5pmkyPWjHKxx+bd1KCRaeVhswfdaHA+tv/mYo2uH3UTg0GwyLJm +b9ENp+CObrM73di4w3+r4RMrxpocZ0GLb93NmaiNHcbd9MAlOGTR7Hk3zOAM +nd1SN9yBW2b1f5dHrBhrctTCJf7v9qgpVk215Ni7PZjdGYhVUy05/jbQdU/u +5m+EWXHFNt3MTPfSufv6trr59nxTviXfIEbBEds3e7tucrHJtt3UYIuxxicW +Z+zQTQ7dhxt2afbO3TADHb9bs3fthhHo+L2a/eBuGIKPvWc3GtXfOH/bDuhG +t2OVBzV7j250nB5q79RNbbp+9256iFVTLTlmMYPeZhKrplpyzE4X7tjNHth6 +qM1nVhyxdzcz0+y0+6N9693oZj72ft2s0fmP6SaGphZj7ZHdcBDueLi7043t +nXgXfLhGjLWHdvOu1JDrnWECZ+Rs9u+GgeSI3aebWbHPQ7qZmS3GGh9mUUPu +E7phGmzzeHe/G07hYz+2mzU5YsXYmxhr9ui/dfpvmLjevxX49rDFU7r5Bv2N +9Xf1j2K70Xi03pvM1g2nYJmnNfup3eTilgO7qcEWY41PLE56ejc5mAE7HOTu +dMMMdPfB7lo3Op2Of6G7241m52M/v5t/t6YRacMjOtHpWOB57mY3jKKH2s/s +pjYd/5xueohVUy05ZjGD3mb6QtVUS46908Jv7uYM7AVHPaObPZkVR7yom5np +djr+1b71bnQ5H/tV3ehwPvYru+EU7PNSd7MbG2e8rBufWtjgNd3UxBTeiXfx +hm64g499aDfMo6ZaL+5mNqzzf93MyBZjjc9enJmzsiecgVVe7653wxx87Nd2 +s6anXmLMJsaaGTECVniru9ONpqPtPuvdd6Np+dif7uZs5Yh1xpgBJ7zDXeqm +FlZ5Wzc12WKs8YnFFu/sJgcj4ILDfPvdaHa6+n3uTjeanQ7/SLM/3A0j8LE/ +1A2r+MaOqLq4BFd80F3u5rvTQ+13d1Obdn9/Nz3EqqmWHLOYQW8zHVk11ZLz ++/qO39XNHth6qM1nVuzw0W5mpuHppi/4trvR+Hzsz3WzRrd8sZsYZy/GmndA +k9Pmn/Itd2N7J94FHy4SY+0T3XyLOPLl3XyTNDxd/nXfVjfcI0fsx7uZFQd9 +rJuZ2WKs8WEMNeR+tRuOwSFfcde70V187C91syZHrBh7E2PNHs2Ga1/RzYxs +d8zd4jMrlvhGNzPT+XT9j3y73Wh+PvYPumECPvb3u+EenPNtZ9GNjX2+041P +LTr7x93UxAR0+698291odFr9F83+eTcanVb/jW+7G11Kh/7H2XejQ/nY/+qm +F078bjc96Xis8DN3vxtNz8f+STdreuolxmxirJlRLRz1vW5qsu3ZXvnMbkaz +2QPdTscf6VvvRpfzsf/czRqt/9duYuhyMdb+1A0H4YwjfOvd2H5j/Lbw4Rox +1n7XzVnQ5v+tM8EcdPk/fVvdMJAcsb/t5iz52M5UrDN0dnJwjBpyj+6GWbDL +Ue5+N9zIx/5bN2tyxIqxNzHW7NFvoz3am99Imo62u9i31Y3upXMv9C11o/Hp ++pN8u91wr2/Kt/TNbpgCWxzbnmNq71jmf3UGK1TMMeWj2ensU9ydbjQ7HX5W +e87shhHWK/uM6r1GxZrBXcDdh3dzJ9hmMsvhNQuuOa5mwjE45PT2nNaNzl+7 +7FNrbd3qdXrNtlatmXHVOgN7P6Fq063HV49VKuaE8tHwtPzl7bmsGx3fLfvS +bjQ23ez/NuY13Wj8Tq1d0g0H4Y7z23Ne2ZvWuzi/3tWyir243tXm9e68s1H1 +UPvqbhhkVPZVddbY5+w6czZOOqd8G9UMep9baxuVfU7tDedcUXtkY58ryzeo +nleV75xZy23Pd+bt7017Dtmw6YD27L5R088bhTNwyI3tuaFsbHJT+dhY5uby +YSBccWt7bumGCbDBHe25vdZww20Vs2Xl3FI16Ehac6Ne21svuTjizqpBk9Ph +d7fnrrJp83vKx8YC95Zvm5rh9upJ89P+97fnvrJxwgPlY+OA5XrxbV8976oZ +dq4a91UPsdhh+V5yMAbWWLHZK/Siw2n3NZq9ei9cgxtWbvZKvdh0+Sq9+Nh0 ++aq9+Ni0+mq9+NTCBWv2UpONFdbqxcfGBWv34ntMnamz3KAX7sAm6zV73V5i +scQ6veTYiz2Y3Z6syRErxt7swez2iGn0UHv9XmrzsfXwd83fvg81+4O9vFvc +sHEv7xhHYI9Nm71JLzaW2awXHxv7bd6LD8PiiGXN3qIXBsACvWZ3e1nDCZ1e +YuTKEauG3nrqZQa5uKHfSw2am04dNnvQi027jnrxsWn/cS8+vcygt540Pq0/ +bfakFxsXzHrxsen+eS8+vfXUywzWsMGilxg2jljqxcfGFlv24qPD6fgdmr19 +LxyDE7Zu9la9rNFVO/YSY41O36aXGBqdVt+u2dv2YqupFp9YMdbk+BZ9075l +36TadNFOvfSg0Wn1B/mWeuEQbLJbs3ftRTPRTrs0e+decvnYaliTI1aMvdqT +vdgzRtFD7d17qc3H1sO78Q6cvXdkFmyxZy8zYQpssZe72Ivmp/Mf4S71wjnY +5yHuYi82LtunFx8bRzy0Fx8bWzysF59a2GHfXmqyccMje/Gx6fD9evHR7XT9 +492NXnQ57f8Yd6uXWDr9Ub3k6GVms+ppTY5YMTQ/7b+/u9ULE+ih9mN7qc3H +1oPOpYWf79vqZRY15JqJBhZj7bm96Gxa/GnuTi8cgm2e5K71YuOIJ/fiY9Pp +T+nFx6bTD+zFpxYWeHovNdk44Rm9+NjY4Zm9+DCHmczynF6YA3s8293rJRb7 +PauXHGeBkw7o5UysyRErxpo9mF0MztFD7YN7qc3H1sNZ4YQX9HJmbOzwwl58 +dCLt+A7vvhcmoINe7K71EotNXtRLDluMNT6xdNJLesmh43DAy921Xr5d36hv +0zdsjW5/RS8xNDed/Sp3qRdNTpu/vtmv64U7sMhr3KVeYmnzQ3rJ0ZtWe2kv +M1iTI1aMXnqorScm0UPt1/ZSm4+th1r2YHY1zYIlDu1lJjaOeEMvPjqdbn+X +b7+Xs+RjO1NrtP27e4nBQDjiLe5iL1xBp7/N3e7F9k68Cz6xYqzJ8bfB3wR/ +C/yNUJvufk8vPTAHXf4Bd6uXWbHPG3uZGYdglfe5y73E+s3zWydHLVr+sF5q +ilVTLTlqYbc39VJTrJpqyfl0v32z7XnWoH3Pg/yW4oYP9/KbiiOwx0fdtV5s +XPKxXnxsrPLxXny4D/d80t3rRfPT/p/Rq5c1XPCpXmLkyhGrBg1JN/7C7L3k +0vGf7aUGzU1nf97d6cWmvb/Qi49Nq3+xF59eZtBbTwyBJb7c7C/1YtP5X+nF +x6b9v9qLT2899TKD2mrI1UMslvhaLzkYAkt8w93sRUfT7d9zd3rhGrr7m+5C +Lzbd/a1efGw6+9u9+Ni093d68amFBb7fS0023f+DXnxsLPDDXnw0ujN1lj/r +hRuwxk/c3V5iccSPesmxF3swuz1ZkyNWjL3Zg9ntEdfoofZPe6nNx9bDt+Qb +8u34prxbbPDLXt4xLsEqv3Z3erGx0W968bGvc8d68V3fDSf83t3thQno+j+6 +W72s4YYjeomRK0esGnrrqZcZ5GKDP/VSAzPQ8X9x93qxafsje/GxccJfe/Hp +ZQa99aThafm/N/tvvdi44ahefGzccHQvPr311MsM1uj+f/QSw8YC/+zFx8YR +/+rFRyfT9cf5VnthCpzwH3ex1uj84yvGGt3934qhw+nsY9zVsleqWsdU7Aq1 +Jse36Jv2LX+nauOGE6oHjU/Hn+5b6oUhcMMp7lovmo62O8ldqtzVyj6h1tao +WDH2ak/2Ys9rVQ+1T63aa5V9SvXGEmfUDGyccGb52NjhrPJhALr/wvZc0Atn +YJlz2nN22Tji3PKx6frzysem9c8vn1o44KKqycYGF5ePjRsuKR9dTvdf1Z4r +e9H5OOHy9lxWsXT/pZWzSc18QfXsVM5lFYMZ6NJr2nN1L5p/ULWvqNr9si+v +3qOKvapyadtrqwbdTCff1557e9HItPIt7bm5F+bAGde357pao/tvrRhrOOOG +isEYuOKm9txY9pZV66aKXdTaDdWbVr+/ZlAbJ9xWPXaqGc12Ty9cgUPuas+d +vXAFzrijPbdX7rZl31Zr21fsHbX3ae3FGexYPdS+u2rvWLYetCbNSqseWLPi +hAdqZpqP9tu8/cZu1g8j0AErNnuFfrgCayzf7OX6scVY4xNLO6zUTw5dhhNW +bfYq/XzLvlnf6lm1hhtW6ycGM9DxazR79X40Ph2/XrPX7YchcMPazV6rn1ja +f81+cvSmA1fuZwZrcsSK0UsPtfXEHHqovU4/tfnYeqhlD2ZX0yzYYv1+ZmLj +hg368dH4dP2yZm/Rz1nysZ2pNTq/008MDsEVGzd7o344gy7ftNmb9GN7J94F +n1gx1uR4d96Jd/FA1fZeu/30wAh0/6jZw35mxTob9jMzxsAVg2b3+4nFAeN+ +ctTyrfT6qSlWTbXkqGUPZldTrJpqyfHb4zfGb8tfqzbWmPTTA0NgiVmzp/0w +AN2/TbO37oc7sM2i2fN+bOy11I+PjTu27MfHxh1b9eNTC0ts209NNo7Yrh8f +m67fvh8fHU/379rsXfrR6Thip2bv2E8s3b5DPzl6mdmselqTI1YMRsAKu3tX +/TCCHmrv3E9tPrYeNC6t+zhn288sasg1E80sxtpj+tHItPI+zX5IP5yBPfZ0 +d/uxccWD+/GxccVe/fjYdPve/fgwAw54mLvYj40NHt6Pj40bHtGPDxOYySyP +7odJsMl+7lY/sVhj335ynAUO2qOfM7EmR6wYa/ZgdjGYRg+1H9VPbT62Hs4K +Nzy+nzNjY4kn9OOjC+m+F3v3/XAITnhisw/oJxaX7N9PDluMNT6xdOCT+snB +ELjhwGY/pR+NSWu+ztn3s4YLntpPDE7ADU931/rR5XT/wb79fnQ+TniWu9dP +LN3/jH5y9KZFn9zPDNbkiBWjlx5q60nz66H2Qf3U5mProZY9mF1Ns+CO5/Qz +ExsnPLcfH91M57/Ut9/PWfKxnak1uv9l/cRgEhzyAnexH8agy1/kbvdjeyfe +BZ9YMdbk+BZ9075l36TaOOLl/fTADDjh1e5WP7Nim+f1MzOdhzNe5S73E4sT +XtNPjlp04Cv6qSlWTbXkqGUPZldTrJpqyfGb67f3b83+az+1fQPevR5+p8VY +O7If3Y4L3uou9cMZ2OMN7l4/NtZ5Yz8+Ns54Uz8+Nt3/5n58auGKt/VTk40T +3t6Pj40d3tGPj0an1d/b7MP60fy0/7vdrX5iccc7+8nRy8xm1dOaHLFiMAWO +eL+71g+D6KH2e/qpzcfWg06mi7/kXfUzixpyzURDi7H2hX4YgW7+uLvUz+8G +DvmQu9uPjUM+3I+PjTs+0o+PjTs+2o9PLVr8E/3UZOOIT/bjY+OET/XjwwRm +Msvn+2EKbPHZfv7dQSy28O8QcpyF37UP9HMm1uSIFWPNHswuBtPoofbn+qnN +x9bDWWGJL/dzZmyc8JV+fDQunfcb30I/XIEbvu5u9ROLLb7aTw5bjDU+sbji +G/3k0GC02Lfc5X4Y2Dfq23x9P2s44tv9xGAI3PBdd6MfJqDzf9zsH/Wj83HE +D9zVfmLp/u/1k6M3jjm8nxmsyRErRi891NYTg+ih9g/7qc3H1kMtezC7mmbB +FT/pZyY21vhpPz46ma7/nbvSz1nysZ2pNTr+9/3E4A4s8gt3sx/mwB6/clf6 +sb0T74JPrBhrcvw2OFNn6TdCbaxwRD89MAFd/xd3o59Zcc7P+pkZZ+CSP7lr +/cT6G+Nvixy1cMgf+qkpVk215KhlD2ZXU6yaasnxtwwX/L2fv2lsrHBUPz5/ +U/0dXBo0vTUIt+CafzbfP/qJxRpH95PDFmONTyyu+Fc/OXQqTvivu9ePpqQT +L7f3WsMS/6sYDEHHH+su9qPb6fyT3ZV+dDluOKE9x1csnX5c5ehNF/+7nxlW +rpzjK2aF6nFM9Vyteqh9YtVerWw9aFWalBalWdVervaiB8bAGqe255R+dDGd +fl57zu1HI29U9jn9cAb2OKM9p5eNK84sHxtXnFU+tWj/86smGxdcUL5Onamz +vLQfxuiUfUnNhmtOqxkxCTa5uD0X1dmuWbOfXLWxx4XVY4uqeUnlrF17OL1q +blY1L6oc7xYLXFHvGDNgh6vac2U/Go/WW6F9W8sPwgTY4Nr2XFOxOOTqymGP +a+3qisUN11WO94ILbmzPDdV7UL2uqDWccFPFYAS6/Zb23NyPpqfb72rPnf0w +A064vT23VSytf2vlTOs7uL5m2LpybquYpepxc/XcrnqofUfV3q7s26vWvGa/ +vmbBEnfXTGyccE/5aHo6fqV2disOcpZ8bGdqja5feZAY3IEj7m/Pff1wBR2+ +XFt7oGzvxLvg27ViHqgc3yJuPLufb1JtOm2VQXpgAjp/rWavOcis2ObemhlT +4Ig12trqg8TS/WsPkqMW7bfqIDXFqqmWHLV2qdnvrVjss9ogOWZzx86pGdXG +FusM0oONG9YdxEfj0/qbNXvTQRiAj73JINyBbTZo9vqD2Nhrw0F8bNyx0SA+ +tXDC5oPUZNPtWwzio9Pp+kGz+4Nodj52bxCNSWvuYq+D6HQc0W12Z5BeuGbj +QXqqTccvG6SHWDXVkoMRsMKo2cNBYtVUS45a9myvappNjlgzysUN40Fq0MV0 ++lbN3nKQ3wY+tt8Ia7T81oPE4A4sMm32ZBDGwBXzZs8Gsf3G+G3hEyvGmhxn +QZfvOsiZqI0bthmkB+bABTs2e4dBNL0zdHY7DcIoWGX7Zm83SKwYa3LUwiLb +DlJTrJpqybF3ezC7MxCrplpy/FuGf8Pwd2BSs2KJ3QaZmSak+/b3bQ3Crb4p +39J6gzADdnhQs/cYJBen7D5IDbYYa3xi6Yg9B8nBDDjhIe7OIIxAtz+02fsM +ounp9v18+4MwAR9730HugpnM4k5gChzxCHd5EB2oh9p7DVIbGzxskB5i1VRL +jlnMoLeZxKqplhyz00IPHmQPbD3U5jMr1njUIDPT9HT8k33rg2h+PvYTB1mj +9Z8ySAydLcbaAYMwBtZ4vLsziO2deBd8uEWMtccO8q7UkOud0fh0vP/W+IxB +uEaO2McMMivOefQgM7PFWOPDFGrIffogDII7ntbspw7COHzsAwdZkyNWjL2J +sWaP/t+4zOu/KfnvRDgDNxw0yH8TxRHY4mB3aRAbyzxnEB8baz13EB/GwBXP +d5cHYQSs8CJ3bZA1HPGCQWLkyhGrBg1JS77H3gfJxRX/N0gNGp8uf4m7OIhN +q790EB8bV7xsEJ9eZtBbTwyABV7hbg9i44hXDuJj44ZXDeLTW0+9zKC2GnL1 +EIs1DhkkB0Ngide4a4PoZLr9Tb7lQbgDR7zO3RvExhGvH8THptMPHcTHprPf +MIhPLSzw5kFqsnHCWwbxsbHDWwfx0fDO1Fm+axAuwSHvcHcHicUpbxskx17s +wez2ZE2OWDH2Zg9mt0fMoYfa7xykNh9bD3/T/G27oz23D/JuccRhg7xjXIE7 +3uduDWJjkfcP4mP7t48PDOLDFDjiQ+7mIEyADT7q7g6yhhs+PEiMXDli1dBb +T73MIBdHfGyQGjQ93f0Jd2sQmxb/5CA+No741CA+vcygt540P+3/mWZ/ehAb +N3x2EB8bJ3xuEJ/eeuplBmtY4vODxLBxwhcG8bGxwxcH8dHJdP03fKuDcAad +/WV3cZA1Ov/wQWKs0eJfGSSGZqezv+auDmKrqRafWDHW5PgWfdO+Zd+k2nTb +NwfpQdPT6T/wLQ3CJbjiu+7aIBqKlvq2uzRILh9bDWtyxIqxV3uyF3vGJHqo +/b1BavOx9fBuvANn7x2ZBTv8cJCZMAbW+LG7OAgD0P2/cpcG4Rqs81N3cRAb +Z/xsEB+b7v/5ID42FvjFID61sMSvB6nJxhG/GcTHput/O4iPjqf7/+RuDKLT +ccQR7tYgsXT77wbJ0cvMZtXTmhyxYjACVviLuzUII+ih9h8Gqc3H1oPGpXVP +9G0NMosacs20SsVYO34QjUwr/9PdGYQzsMff3LVBbFzx90F8bFxx1CA+Nt1+ +9CA+tXDAvwapycYG/x7Ex8YN/xnEt3LNZJbjBmESbHKMuzdI7PK+g8pxFjjo +yEHOZPnK+V/FWLMHs4tZqXqofWzVXqlsPTAGbji5PSeVjSVOKR9NR9td690P +wgi44PT2nFaxuOTUylmrYk4rn1i66YzKoetwwdntOWuQb9c36tv8ca3hhHMq +hoanu89rz7mDaHi6/JL2XDwIh+CIC9tzQcXS6udXjt6025k1wyaVc0HFbFg9 +zq2em1cPtS+q2puXfWHVWr9mP7NmwQqX1kxsXHFZ+Wh0Wv369lxXZzkt+9pa +o+tvqBicgyuubM8Vg3AGrri6PVeVPa53cXXFDmtNjr8N/ib4W/DDqo0dbqwe +mICOv609t9asvfZcXjPjFFxyS3turli/ebdXjlo45qaquVXVvLVyerWHK6rm +UtW8uXJoMRqM9qLJ/JbiiDsH+U3FFbjj7vbcVTYWuad8bOxyb/kwD464vz33 +DcIAWGD54XLtYLKGEx6omJ0r576qQTfSlr0W2x0mFzesMEwNGpzuXqnZKw5j +0+IrD+Nj792eVYbx7VYz6K0njU/rr9b+51WHsR/antWH8bHp/jWG8emtp15m +2LtqyNVDLFZYc5gcTIEt1m72WsPoblp9o2ZvOAzH4IR1m73OMDYdvt4wPjYd +vv4wPjZtvsEwPrVwwMbD1GRjg02G8bFxwKbD+J5SZ+osO8NwBhbZotmbDxOL +HTYbJsde7MHs9mRNjlgx9mYPZrdHDKOH2suGqc3H1mP7+obuqm/Ku8UJ/WHe +MW7AGsNmD4axsctoGB8bJ4yH8flGfavTZk+GYQK6ftHs+TBrB7dnNkzMs+q7 +FquG3nrqZQa5z3MvhqmBGej4rZq95TA2bb/1MD42TthmGJ9eZtBbTxqelt+u +2dsOY+OG7YfxsXHDDsP49NZTLzNYo/t3HCaGjQV2GsbHxhE7D+Ojk+n6PX2r +wzAFTti12bsMs0bnP3iYGGt0927DxNDhdPYezd59GFtNtfjEirEmx7fom/Yt ++ybVxg17DdODxqfjH+FbGoYhcMND3bVhNB1t9xB3aZhcPrYa1uSIFWOv9mQv +9ow59FD7YcPU5mProTeW2HeYGdjv8M0O42Njh/2G8WEAuv8J7tIwnPFud9Bd +HMbGEY8Zxsem6x87jI9N6z9uGJ9aOGD/YWqyscEBw/jYuOGJw/jocrr/ac1+ +6jA6Hyc8xd0aJpbuf9IwOXqZ2ax6WpMjVgxmoEuf4W4No/n1UPvAYWrzsfXQ +W45YM8ilbZ85TA26mU5+uW9xGI1MKz/P3RmGOXDGQe7aMGt0//OHibGGM549 +TAzGwBXPcXeGsdVUi0+sGGty9KbVXzHMDGrjhBcM0+Obg8xotpcOwxU45MXu +4jBcgTNe5O4Ok8vHVsOaHLFi7N2e7MUZHD5ID7VfMkxtPrYetCbNSqseXbPi +hFcOMzPNR/t9wLcxDCPQAa9x94bhCqxxiLs5jC3GGp9Y2uG1w+TQZTjhUHdv +mG/ZN+tb9U1bww1vGCYGM9Dxb3K3htH4dPw73I1hGAI3vNXdGiaW9n/zMDl6 +04GvG2YGa3LEitFLD7X1xBx6qP22YWrzsfVQyx7MrqZZsMU7h5mJjRveNYzv +b4Po+g+5O8OcJR/bmVqj8z88TAwOwRWHuavDcAZd/j53ZxjbO/Eu+MSKsSbH +u/NOvAvv8Kh6rx8ZpgdGoPs/6e4MMyvWefcwM2MMXPFxd2+YWBzwqWFy1PKt +fHSYmmLVVEuOWvZgdjXFqqmWHLWwxaeHqcnGDZ8ZxsfGEp8dxocB6P6v+vaH +4Q5s83l3bRgbe31hGB8bq31xGB8GwR1fdreHqYUlvjZMTTaO+PowPjZd/41h +fHQ83f/dZn9nGJ2OI77l7g4TS7cfPkyOXmY2q57W5IgVgxGwwvfdnWEYQQ+1 +vz1MbT62HjQurftHZzvMLGrINRPNLMbaEcNoZFr5577tYTgDe/zIXRzGxhU/ +HsbHxhU/GcbHptt/OoxPLRzwi2FqsrHBL4fxsXHDr4bxYQIzmeX3wzAJNvmt +uzVMLNb49TA5zuLC9vxgmDOxJkesGGv2YHYxmEYPtX83TG0+th7OCjf8aZgz +Y2OJPw/jowvpvtN8C8NwCE74a7OPHCYWl/xlmBy2GGt8YunAvw2TgyFww9Hu +2jAak9a8yOzDrOGCfwwTgxNww7/ctWF0Od1/rG+/PXQ+TvivuzdMLN3/72Fy +9KZF/z7MDNaWq1gxeumhtp4rVA+1/1e1VyhbD7Xswex/r1lwx3E1ExsnHF8+ +upnOP8NdqbNcp+zTao3uP7NiMAkOOak9Jw7DGHT5Ke05uey16l2cUrFr1Joc +36Jv2rf806qNI86qHpgBJ5zfnvNqVmxzQs1M5+GMc9tzTsXihAsqRy068Oyq +uVHVPK9yVq09nFg1N6ia51TOpvXOL6ya3j1uuLi+Ab/Tfpe3GTW9PYpuxwVX +tefKYTgDe1zankvKxjqXlY+NMy4vH5vuv6J8auGKq6smGydcUz42dri2fDQ6 +rX5ze24aRvPT/je05/qKxR3XVY5eg5r1ilqbVawYTIEjbm3PLcMwyFLVvrFq +L8q+oXpvVbE3Vy4Wua1q0NC09CrtrFYehRHo5nvbc88wTIJD7mjP7bVGW99X +MdZwyZ0Vg0Fwx93tuavsnarW3RW7Q63dWb3p9lVHmUFtnHF/9XhwzWi2lUZh +DKyxQrOXH4UxsMZyzX6gcvkeqBrW5IgVs03t6fY6gz2rh9orjlKbj62Hb4nW +23aUb8qsWGO1UWameem+UbOHo3AGjlir2WuOwhhYY41mrz6KLcYan1icsfYo +OTQZbbZes9cd5dv2zfpWL641XLH+KDGYAkds2OwNRmEEun/zZm82iu7HFZs0 +e+NRYnHARqPk6I1r1hllBmtyxIrRSw+19cQkeqi96Si1+dh6qGUPZlfTLDhj +i1FmYmOPZaP46GY6f9Ls8Shnycd2ptbo+ukoMTgEm/Sa3R2FQbDIoNn9UWzv +xLvgEyvGmhzvzjvxLrxDtbHDbJQeGIHO36rZW44yK+7pjDIz7sApS81ejBLr +b46/NXLUwiXzUWqKVVMtOWrZg9nVFKumWnL873b63xH130T9t1HfHm7YbpRv +0N9Yfxe/0OzPj8IxOGenZu84Cmdgjx2avf0othhrfGJxxs6j5NCtuGG3Zu86 +isakG59i76OsYYvdR4nBFHT9g9zFUXQ83b+PuzGKTscRezX7waPE0u17jpKj +N528yygzWJMjVoxeeqitJ0bQQ+29R6nNx9aDdqVRadPPVm17shc9MAf2eJi7 +OIpOptsf6+6Mopn52I8ehTuwyL7u5ig2znjkKD42zthvFJ9aWOBxo9Rk44TH +j+LDAM7UWT5pFObgYz9xlNlwzsNHmRGjYJUD3LVRztYezO6M1cYiTxilh1g1 +1ZKjlj2YXU2xaqolx7vFBgeO8o4xBJZ4mrsyiuaj/V7jXYzCDDjhmc1+xiix +uOTpo+SwxVjjE4sjnjVKjveCEw5210bpradeZrCGG54zSgxmoOOf7+6MovHp ++Jc0+8WjMARueFGzXzhKLO3/glFyPlPfwUGjzGBNjlgxemGV547SE3Poofb/ +jVKbj62HWvZgdjXNgi1eOspMbNzwslF8ND5d/zrf/ihnycd2ptbo/NePEoND +cMUr3Y1ROIMuP8TdHMX2TrwLPrFirMnxLeLIR43yTapNtx06Sg+MQPe/xV0Y +ZVas8/JRZsYYuOJN7vIosTjgraPkqEULvmGUmmLVVEuOWvZgdjXFqqmWHLO5 +Y+6WGdXGGm8bpQcbR7x9FB/NT/u/37c+ChPwsd87CodgnXfZ+yg2Fnv3KD42 +DnnPKD61cMMHRqnJpuM/OIqPbqfzP+7bHEXD87E/OormpD2/7exG0e244iPu +9ii9cM5ho/RUm67/0Cg9xKqplhzMgB0+6S6NEqumWnLUsmd7VdNscsSaUS6O ++NQoNehkuv1Lzf7iKL8NfGy/EdZo+y+PEoNDsMln3NVRmANnfM63PortN8Zv +C59YMdbkOAs6/TujnInaOOIro/TAIDjhcHd3FI3vDJ3dN0dhFuzydXdtlFgx +1uSohU2+OkpNsWqqJcfe7cHszkCsmmrJ8VvpN9Bvn99Ms2KL744yM81L9/3F +tzUKx/qmfEvvGIUpsMUP3L1RcnHL90apwRZjjU8s7vjhKDkYAjf81F0chRno ++J+7G6NofDr+t779URiBj/3rUe6CmcziTmAMXPErd3kUHaiH2j8epTZW+MUo +PcSqqZYcs5hBbzOJVVMtOWanK380yh7YeqjNZ1bs8btRZqbZafe/+9ZH0fx8 +7L+OskbnHzVKDJ0txtqRozAIFvmTuzOK7Z14F3w4Roy1P4zyrtSQ653R9XT8 +f9ylUThHjtgjRpkV9/x+lJnZYqzxYQI15P5rFIbBGf9090fhFD720aOsyREr +xt7EWLNHs2CL/9ZMbNzwv/KxscQx5aM76f6T3c1RuAPbHOculo2Dji8fG2ec +UD423Xpi+dTCEqdUTTaOOLV8bLr+tPLR8XT/Oe05exSdjiPObM8ZFUu3n145 +q9XMJ1XPdSrnjIrBCFjhvPacOwojbFC1z6ra65etB41L697o3dQsG1WumWYV +Y+36UTQyrXxZey4dhTOwx4XtuaBsXHFR+di44uLysen2S8qnFg64vGqyscEV +5WPjhivLN62ZzHLdKEyCTa5pz9UVizWuqhxngYPOrzMZVs7VFbNJ7eGCiplU +D7WvrdqTsq+ps8INN9WZsbHEzeWjGem8NcftWxiHQ3Dqbe25tWJxyS2Vw966 +1m6pWFxxe+X4u4kT7mrPnaNoRtpx01Z7k3HWsMTdFYMh6Ph723PPKLqdzl+h +xS4/jg7HDQ+05/6Kpcvvqxy9/Z2+o2awtmvF3le9dqraeu5ePdRebpzafOwH +qtb2NfsdNQuuWHGcmdhYY6VxfHQyXb92s9ca5yz52M7UGp2/zjgxuAOLrNrs +VcbR5LT56s1ebRzbO/Eu+MSKsSbHt+ib9i1fUrXptnXH6YEhcMNGzd5wnFlx +zsrjzExHYZUNmr3+OLE4YONxctSis9Ybp6ZYNdWSo5Y9mF1NsWqqJcdvqN/S +FzX7hePU9g1493r4TRZj7QXj6Hgc0G92bxwOwTZbNHvzcWzss2wcHxt3dMbx +sXFAdxyfWjhiME5NNrYYjuNj44rROD4anpZfNHs+jkan1afNnowTiwPG4+To +ZWaz6mlNjlgxGAInbNXsLcdhEj3Uno1Tm4+tB01M5+7tbMdhFjXkLo2jwcVY +e/A4mptO3qnZO47DGFhj22ZvM46NRbYbx8fGGduP42PjjB3G8alFe+88Tk02 +LthlHB8bK+w6jg9zmMkse47DFbhkj2bvPk4szthtnBxngZ22HudMrMkRK8aa +PZhdDM7RQ+0HjVObj62Hs8IGDxnnzNg4Yp9xfDQtXfcM734cBsEJD2/2w8aJ +xR4PHSeHLcYan1gc8Yhxcugo2m6/Zj9yHEb2jfo2NxtnDTc8apwYzEDHP8Zd +G4cR6PgDmr3/OLocizze3Rsnlk5/7Dg5etNt+44zgzU5YsXopYfaemISPdR+ +wji1+dh6qGUPZlfTLDjhiePMxMYOTxrHRxfT6c/y7Y9zlnxsZ2qNlj9onBhc +gnUOdBfHYQys8TR3exzbO/Eu+MSKsSbHb4MzdZZ+I9TGDc8epwfmwAXPdzfG +mRUHPXmcmTEKVnmuuzxOrL8x/rbIUQuLHDxOTbFqqiVHLXswu5pi1VRLjr9l +uOH/xvmbxsYSLx7H52+qv4PHtefYcTgEq7ys2S8dJxaXvGScHLYYa3xicdDL +x8nBCLjgVe7eOBqRVvyIvY+zhh0OGScGM9Ddr3EXx9HpdPwb3ZVxdDit/3p3 +d5xYuvy14+TojWteMc4M1uSIFaOXHmrriQH0UPvQcWrzsfXYve7p8pP2mzpJ +bXuyFz0wA3Z4s7s4ju6m1d/j7oyjs/nY7xqHQ7DN29zNcWwc8fZxfGw6/R3j ++NTCAYeNU5ONDd47jg9TOFNn+aFxuIOP/cFxZsM9bxlnRtyAPT7gro1ztvZg +dmesNq543zg9xKqplhy17MHsaopVUy053i1W+Og47xgjYIWPuyvjaDxa7/ve +/TiMgAs+1exPjhOLRT4xTg5bjDU+sbjh0+PkYARc8Dl3bZzeeuplBms44fPj +xGAEuv2L7to4mp5u/7q7MQ4z4ISvuHvjxNL6XxonR2/s8plxZrAmR6wYvfRQ +W0+MoYfaXx2nNh9bD7XswexqmgVLfGOcmdg44fBxfDQ9Hf9Dd2Wcs+RjO1Nr +dP2PxonBHTji2+7mOFxBh3+32d8Zx/ZOvAs+sWKsyfEt4sR3jvNNqk2n/Xic +HpiAzv+Fb2mcWbHNN8eZGVPgiJ+5a+PE0v2/HCdHLdrvJ+PUFKumWnLUsgez +qylWTbXkmM0dc7fMqDa2+NU4Pdi44dfj+Gh8Wv/P7tI4DMDH/uM43IFtfucu +jmNjr9+P42PjjiPG8amFE/4yTk023X7kOD46na7/h7sxjmbnYx81jsakNc9y +duPodBzxd3d5nF645g/j9FSbjv/rOD3EqqmWHIyAFf7l7o0Tq6ZactSyZ3tV +02xyxJpRLm749zg16GI6/QTf9ji/DauUfVyt0fInVgzuwCL/bc9/xmEMXHGM +u1O235hjy7d8xfyvcpwFXX52nYnauOGk6oE5cMHp7TltHE2/Xp3dGeMwClY5 +tT2nVOy6tXZ61cIiJ1fNtarmaZVj78vV7P+u2DWrlhxak2alVXeoWbHEOTUz +TUj33epbG4dbfVO+pd+MwwzY4fz2nFe5OOXcqrFRxZxXPrF0xAWVgxlwwiXt +uXgcRqDbL2vPpeNoerr9mvZcPQ4TjMq+apy7YCazuBOYAkdc2Z4rxtGBW1Tt +i6o2Nri8egyq5lWVs6xmuLRm6lXNKyrH7LTQhbWHzarHReUzK9a4tmam6en4 +O9tzxziaf7uyb681535XxWxTMdZuG4cxsMbN7bmp7K3qXfDhFmx0Y3tuqHe1 +TeV6ZzQ+Hf9Ae+4fh2vmFXt9zYpzrquZpxVzffl2qRpy7xuHQXDHve25Z5zv +Zqey7661XSr23trbjrV2V81Cg9AeZqJFsMMKk2iSV7bnVe3ZddrqTMMAdP/q +zbfaJNyBbVZq9oqT2Nhr5Ul8bNyxyiQ+Nu5YdRKfWlhijUlqsnHEmpP42HT9 +WpP46Hi6f4Nmrz+JTscR6zZ7nUli6fa1J8nRy8xm1dOaHLFiMAJW2KjZG07C +CHqovd4ktfnYetC4tO682bNJZlFDrploZjHWppNoZFq50+xlk3AG9ti02ZtM +YuOKzSbxsXHF5pP42HT7FpP41MIB3UlqsrFBbxIfGzf0J/FhAjOZZTIJk2CT +UbOHk8RijcEkOc4CB208yZlYkyNWjDV7MLsYTKOH2uNJavOx9XBWuGExyZmx +scTSJD6akc57mG9hEg7xbx1bN3urSWJxyZaT5LDFWOMTizO2mSQHM+CE7Zu9 +3SSakXZ8gtknWcMSO0wSgyHo+J2aveMkup3O36PZu0+iw3HDrs3eZZJYunzn +SXL0xjXbTjKDNTlixeilh9p6YgI91N5tktp8bD3Usgezq2kWXPGgSWZiY409 +J/HRyXT9I9yVSc6Sj+1MrdH5+04SgzuwyN7u5iSanDbfx12ZxPZOvAs+sWKs +yfEt+qZ9y75Jtem2R07SA0Pghse6G5PMinMePMnMdBRWebS7NkksDnjcJDlq +0Vn7TVJTrJpqyVHLHsyuplg11ZKjlnfuXavp3eOK/Sf5Bvwm+539crO/NImO +xwFPdZcm4RBs80R3cRIb+zxpEh8bdzx5Eh8bBzxlEp9aOOJpk9RkY4unT+Jj +44pnTOKj4Wn55zT74Ek0Oq1+kLs1SSwOeOYkOXqZ2ax6WpMjVgyGwAnPc9cm +YRI91H72JLX52HroLUesGeRijedPUoMGp5tf59ubRHPTyS91lyZhDKzxQnd3 +kjVa+mWTxFjDJi+aJAZz4IwX+9YnsdVUi0+sGGty9KbDXz/JDGrjhpdP0gOD +mNFsr5mEM3DKIZP8buEM3OF37BWT5PKx1bAmR6wYe7cne3EGuEcPtV89SW0+ +th6+JdrtK5N8U2bFDodOMjONS+d9wrcxCZPghje7a5MwCBZ5o7s2iS3GGp9Y +XPGWSXLoKlrv7e72JN+2b9a36hu3hiPeMUkMhqDr3+WuTMIMdP37m/2+SXQ6 +NjnM3Z0klm5/9yQ5etNxb51kBmtyxIrRSw+19cQoeqj93klq87H1UMsezK6m +WXDDByaZiY0lPjiJj06m2z/l7kxylnxsZ2qNtv/0JDE4Bft8xF2dhDmwx8fc +nUls78S74BMrxpoc78478S68Q7VxxGcm6YFBcMIX3Z1JZsVFH5pkZsyCXT7v +7k0S62+OvzVy1MImn52kplg11ZKjlj2YXU2xaqr12fqmfXe7TaPJfHu44quT +fIP+xvq7uFJbW3EaLsEuh7trk3AITvm6uzaJLcYan1hc9M1JcjADTviOuzOJ +ZqQdjzLrJGtY4ruTxGAIOvz77uIkup2u/6m7MYkup/1/5O5OEkun/2CSHL1x +zrcmmcGaHLFi9NJDbT0xgR5q/3iS2nxsPWhZGpU2pWnVtid70QNDYImfu4uT +6HDa/Qh3ZxLdzcf+3SRcgnV+5W5OYuOKX0/iY9Ptv5nEhxFwwR/dnUlsrPCn +SXwYw5k6y79NwiF87L9OMhsO+sUkM+IILHKkuzzJ2dqD2Z2x2jjjz5P0EKum +WnLUsgezqylWTbXkeLfY4ehJ3jFmwA7/dJcm0Xy03/nexSTMgB3+425OEotN +/jVJDluMNT6xuOK/leO94IRj3Y1Jeuup19G1hhuOqxjMQMef4O5MovHp+NPa +c+okDIEbTm7PSRVL+59YOcvXd/C/mmG1yjmpYlauHsdXzzWrh9qnVO01yz65 +aq1Ys/+vZsEWp9dMbNxwRvlofLr+wvZcUGe5adnn1xqdf1HF4BBccXZ7zpqE +M+jyc9tzTtkb17s4t2I3rDU5vkXc+NtJvkm16baLqwdGoPuvaM/lNSvWObNm +xhi44rL2XFqxOODKylGLFrykanar5uWVs17t4ayquaxqXlo5ZnPH3K3fVm2s +cVX1YOOIq8tH89P+t7Tn5kmYYMuyb5qEQ7DOdfZeNha7vnxsHHJD+dTCDbdW +TTYdf1v56HY6/5723D2Jht+x7Lsm0Zy053rtb+260+h2XHFne+6oXjjnxuqp +Nl1/e/XYvmreVTmYATvc1557K3a7qnV71Vqqvd5Ys+1csfdULo64v2rQyXT7 +Km22laf5beBj+42wRtuvOk0MDsEmyzX7gUmYA2es0P7n5aex/cb4beHbvWKs +yXEWdPr605yJ2jhitWl6YBCcsHaz15pG4ztDZ7fONMyCXdZs9hrTxIqxJkct +bLL6NDXFqqmWHHu3hwfqDMSqqZYcv5V+A/32fbVmxRYbTDMzzUv3bdnspWk4 +dlLf0jWTMAW22LitbTRNLm7ZcJoaj60Ya3xicccm0+RgCNywRbM3n4YZ6PhO +s5dNo/Hp+FGzh9MwAh97MM1dGNcs7gTGwBX9ttabRgfqofZm09TGCt1peohV +Uy05ZjGD3mY6sGqqJcfsdOWm0+yBrYfafGbFHuNpZqbZafdtm73NNJqfj731 +NGt0/nbTxNDZYqxtNQ2DYJFFs+fT2N6Jd8GHY8RYm03zrtSQ653R9XS8f6va +eRrOkSN2Os2suGcyzcxsMdb4MIEacneahmFwxo7N3mEaTuFjbz/NmhyxYuxN +jDV7nHbz/8fa9fV/x4d2ww67T6Ph1p61b7s9p7fntFkYBzc8uK3tOQ13YJsH +NXuPaWwx1vjE0ql7TZODGbDDPu7eNJqRdny2vU6zhiMeOk0MTU+HP9zdm0bz +0/WPdpenYQi6/pHu4jSxtPsjpsnRm1bee5oZrMkRK0YvPdTWEyfoofZ+09Tm +Yz+y7qi7enJ7Tpqmtj3Zix64A0c81t2bRrPT7k9xl6bRzXzsJ03DIbjiCe7i +NDau2H8aH5tuP2Aan1q44MBparKxwlOn8dHsztRZPmsaJuBjP3Oa2bDO46aZ +Eafgkme4y9OcrT2Y3RmrjWOeNk0PsWqqJUctezC7mmLVVEuOd4sbDp7mHeMI +nPFcd2kajUfrvdm7n4ZRccIL3J1pYrHJ86bJYYuxxifW38kXTpODEbDCi93l +aXrrqZcZrOGGl0wTQ8PT2S9zl6bR+HT8q5t9yDTMQMe/0t2dJpY2f/k0OXr7 +W/2iaWawJkesGL30UFtPXKCH2q+apjYfWw+17MHsapoFW7xmmpnYuOG10/ho +ejr+re7KNGfJx3am1uiqt00Tg0Po8EPdzWl0Od3+Rt/yNLZ34l3wiRVjTY5v +Efc9cZpvUm266O3T9MAMdP1h7sI0s2Kd100zM07BLu9y16aJpevfO02OWrTW +O6apiUnUVOvd09SyB7OrKVZNteT4361dqf6bsv+2bFZ3zl0zM47AGe9396bR +/LT/J3zr0zABH/tj03AO9vmQvU9j444PT+Nj44CPTONTCzd8cpqabDr+U9P4 +6HY6/wu+zWk0PB/7c9NoTtrzJ/Y6jW7HFZ91t6fphT0+Ok1Pten6T0/TQ6ya +asnBDNjhS+7SNLFqqiVHLzr4p9P0VNsZ2LsecnHEl6epQSfT7d9yd6bRzHzs +w6fhDizyVXdzGhtnfG0aHxtnfH0an1pY4NvT1GTjhO9M48MAdPuPfPvTMAcf ++wfTrDkzZyUGo2CV77tr05y1M7B3Z642FvnuND3EqqmWHHu1B7Pbs1g11ZLj +rLDDz6Y5MxqOlvu3b2kaTcvH/uc0XOsb8u18YBpmwAm/bPYvpqmFU34+TU22 +GGt8YumoX02TgxFwwe/clWk0O519hLs1jWanw4/0rU/DCHzsP0/z7ZvJLO4A +LsEVf3J3p9GReqj9m2lq0/J/mKaHWDXVkmMWM+htJrFqqiXH7LTgr6fZA1sP +tfnMih3+Os3MdDrd/j/f9jSaffmy/1NrtP0xFePsl6s17wBjYI1/uCvT2N6J +d8GHi8RYO2qavz3+Jvhb4G8Qze433m/7idNwjxyxf59mVhz0t2lmZouxxrdq +1ZB7wjQcg1uOb89x0zDNymUfW2urVuzxtbeVas0eaQ3ccMo0mgNH4IzT2nPq +NH9T/R3cs2mzB83CKbjmzPacUbHY5PTKYa9ba6dXLK44q3IwATY4tz3nTKMT +acm77LXWcMJ5FUNz09kXtOf8aTQ8XX5Zey6dRufT7Re356KKpc0vrBy9ccnZ +NcNmlXNRxWxcPc6vnsuqh9qXVO1lZV9cZ7VWnc0pVXvD2oseuAFXXNGey6fR +6XT7je25YRodPi/7+mm4Bjdc3Z6ryqbLrykfmy6/tnxqYYGbqiab7r+5fNvV +mTrLO6ZhjO3Kvr1mwz5X1oy4A5vc1p5b62x7NftlVRtb3FI9tqmat1fOoPZw +VdXcqmreWjneLS64u94xTsAW97bnnmk0HW23cfu2Nprl33RxwQPtub9iscx9 +lcPetdbuq1gssdwsOZgAG6zY/ucVZum9U/W6u9ZwwkqzxNDsdPUqzV55Fk1P +t6/V7DVnYQS6ffVmrzZLLC2+6iw5euOS5WeZwZocsWL00kNtPXGAHmqvMUtt +PrYee9QezK6mWbAETjITGydgJj4anm7ftNmbzHKWfGxnao2O2myWGNxBd6/f +7PVm0eF0+obN3mAW2zvxLvjEirEmx7eIFa+rb1JtumfzWXpgBDq+1+zuLLNi +m3WL83AJrug0e9kssXR8f5YctWipLWapKVZNteSoZQ9mV1OsmmrJMZs7dn3N +qDZWGMzSg40rhrP4aHxaf8tmL83CAHzsxSxcg3UmzR7PYuOM6Sw+Nt0/m8Wn +Fk7YapaabLp961l8dDpdv2Ozd5hFs/Oxt59FY9Ka+zm7WXQ6jtiu2dvO0gtr +zGfpqTYdv80sPcSqqZYcjIAVdm72TrPEqqmWHLXs2V7VNJscsWaUixt2maUG +XUyn7+XbnuW3gY/tN8IaLb/3LDG4A4vs5m7Pwhi4Yg93Zxbbb4zfFj6xYqzJ +cRa0/KNmORO1ccNDZumBOXDBI9zdWTS9M3R2+87CKFjlYe7aLLFirMlRC4vs +M0tNsWqqJcfe7cHszkCsmmrJoTX9O/E3ptGcGAJLPMbdmUXT0nnP963NwrW+ +Kd/SaBZNQBs8vtmPmyUXpzx2lhpsMdb4xNJVT5glByPggie5O7Nodrr6Ke7a +LJqdDn9ms58xCyPwsZ8+y10wk1ncCVyCK57mbs6iK/VQ+4BZatPuB87SQ6ya +askxixn0NpNYNdWSY3bacP9Z9sDWQ20+s2KHZ80y89fqXP/PtzuLxudjv3CW +NWf/4lli6Gox1l4wiyanzZ/rW5/F9k68Cz5cJMbawbO8KzXkemc0PF3+Sndh +Fu6RI/bZs8yKgw6aZWa2GGt8GEMNuS+fhWNwyMv8LZiFafjYL5llTY5YMfYm +xpo9+tYw0eG1b7Nhh1fNMiMbZxwyi4/Gp/Xf5FufhQH42G+YhXNwzWvdxVls +rPO6WXxs3Pb6WXxq4YQ3z1KTTXe/ZRYfnU7Hv8vdnUWz87HfMYvupE0/56xm +0elY4O3u5iy9cM+hs/RUm45/6yw9xKqplhwMgAXe0+x3zxKrplpy9KJ7Pz9L +T7Wdgb3rIRdnHDZLDTqcdv+Ib3kW3c3H/tAsXIJ13ufuzmLjivfP4mPT7R+Y +xacWLvjoLDXZWOFjs/gwBh3+Gd/+LBzCx/7ULGvOzFmJwSBY5JPu3ixn7Qzs +3ZmrjQ0/PksPsWqqJcde7cHs9ixWTbXkOCus8IVZzoxGpOt+4VuaRRfysX82 +i8aj9f6s9ixcgyO+3OwvzVILq3xxlppsMdb4xNLRX5klBzNgh2+427MwA93+ +TXdlFp1O13/ftz6LZudjf3eWv8n+Nq8+bxpsHl2OI77jLs7CKHqo/bVZatPt +35qlh1g11ZJjFjPobSaxaqolx+xY4Kuz7IGth9p8ZsUZP5hlZpqejv+1b3sW +3czH/uUsa3TVb2aJcfZirHkHuIQu/6m7MovtnXgXfDhFjLUfz/It4sYPzvJN +YgQ6/o/uzixMI0fsj2aZFRv9cJaZ2WKs8WEONeQeMYvOwjK/d9dn0Vx87N/O +siZHrBh7E2PNHs3mjrlbZjSbb8q3ZEbfFs74yyzfGAag+//pLs2i6/nYR8/C +JVjnr+7iLDYW+tssPjYO+fssPrWwxL9mqcnGEf+exUez0+7HtefYWZhhpbKP +mUWj0p0XO6tZNDvt/r/2/HeWXrjjqFl6qo0T/jNLjxWq5jGVgylwwwnu4iyx +y1ctOWrZs70eVbOtUrHHVS72OLFq0Mi08pm+5Vk0+Lpln15rdP1ZFYNBsMjJ +7TlpFk7BHaf61svGHaeVb42KOaVynAUtfkmdidrY4ezqgUtwyAXtOX8WZti8 +zu7CWbgDt5zXnnMrdrNau6Bq4ZJzqubGVfP8ylmt9nBSncGGVfPcyvG3ga5b +Y56/EWbFFZfWzHQvnXtve+6Z5dvzTfmWfIMYBUdc0Z7LKxebXFY1uhVzefnE +4owrK4fuww3XtueaWZiBjr++PdfNwgh0/K3tuWUWhtiq7Jtn0aj+xvnbtuo8 +uh2r3NSeG2fRceOqfXXVputvqB5LVfPmypnUDNfVTGIXVeuGmp0uvKr2MKwe +V5fPrDjitpqZZqfdl2uzPTCLbuZj319rdP7y88TsUjHW7puFg3DH3e25q+yd +6l3wbV8x1u6sd7VL5Xpne9cZOZtV5mGg7Sv2jpoV+9xeM7O3qzW+vaqG3JXn +YRpss1KzV5yHU/jYK8yzJkesGHsTY80e/bdO/w0T1/u3At8etlhznm/Q31h/ +V18jdh6NR+ttb/Z5OAXLrNPstefJxS1rzVODLcYan1ictO48OZgBO2zU7A3n +YQa6e5NmbzyPTqfjO+7CPJqdj73FPP9uTSPShq+eRadjgc3b2mbzMIoeam8w +T206ftN5eohVUy05ZjGD3mbav2qqJcfeaeEd5jkDe8F+682zJ7PiiO48M9Pt +dPyi2fN5dDkfezaPDudjT+fFPL7jZg/msXHGaB6fWthgaZ6amMI78S62nYc7 ++NjbzMM8aqrVn2c2rNObZ0a2GGt8mNCZOav15+EMrLJ1s7eahzn42FvOs6an +XmLMJsaaGTECVtip2TvOo+lou8d59/NoWj72Y+Y5WzlinTFmwAm7uovz1MIq +O89Tky3GGp9YbLHbPDkYARfs6W7Mo9np6r3cjXk0Ox3+8GY/bB5G4GM/dB5W +8Y29uuriElyxT1t7yDzfnR5q7zFPbdp973l6iFVTLTlmMYPeZnpD1VRLziH1 +He8+zx7YeqjNZ1bs8Ih5Zqbh6ab9fdvzaHw+9uPnWaNbDpgnxtmLseYd0OS0 ++aOb/ah5bO/Eu+DDRWKs7TfPt4gjx/N8kzQ8Xf5U39Y83CNH7CPnmRUH7TvP +zGwx1vgwhhpynzIPx+CQJ7vr8+guPvYT51mTI1aMvYmxZo9mw7WTeWZku2Pu +Fp9ZscTT5pmZzqfrn+/bnUfz87GfOw8T8LGfMw/34JxnOYt5bOxz0Dw+tejs +F8xTky6n21/a7JfMo9H52C+eR6PT6i93V+bRpXToO53lPDqUj/32eXrhxGfP +05OOxwr/5+7Po+n52C+cZ01PvcSYTYw1M6qFow6epybbnu2Vz+xmNJs90O10 +/KHu0jy6nI/9unnWaP03zBNDl4ux9tp5OAhnHOKuzmP7jfHbwodrxFh75Txn +QZu/a54zwRx0+dvchXkYSI7YV8xzlnxsZyrWGTo7OThGDblvmYdZsMub3dV5 +uJGP/cZ51uSIFWNvYqzZo99Ge7Q3v5E0HW33Jd/SPLqXzv2Cs51H49P1H3Q3 +5+Fe35Rv6RnzMAW2OKzZ75ln71jm3fOcAVuMNT6anc7+sLsyj2anwz/Z7E/M +wwh87I/P01uOWDO4C7j76fPcCbaZzMJnFlzz3nlmwjE45GPu7jw6n4/9kXnW +9NRLjNnEWDMjneoM7P3989SmW983Tw+2GGt8NDwt/zXf9jw6no/9lXk0Nt38 +bXdpHo0vxtqX5+Eg3PE5d2ce2zvxLvi8KzlivTPvyjv07rwzTKCH2t+ch0H4 +2IfPc9bY51PznDkbJ316Hh9uMoPen5lnjY8txt5wztfn2SMb+3xjHh9bT734 +cAKO+K5vfx4bW3xvHh8bh3x/Hh9uwTk/8q3Os4ZVfjBPDFuMNT6xOOPH8+Rg +BKzwM3d7Ht1IWx5jL/Os4YafzxNDg9Pdv3S35tH0dPrvm/27eXQ/Hf8bd3me +WFr9V/Pk6I1TfjLPDNbkiBWjlx5q64kL9FD7t/PU5mP/pu6wu7zPov3GLlLb +nuxFDxyBM/7grs2j2+n4o9yteXQ5H/tv83AOjvizuzGPTaf/ZR4fm04/ch6f +Wtjg6HlqsnHAP+bxLV9n6iz/Ow9zLF/2f+aZDQv9cZ4ZcQhW+Xez/zXP2dqD +2Z2x2ljjn/P0ELtc1ZKjlj2YXU2xaqr1z3q3OOHYese4AWsc7+7MoxNpySu9 +i3k4D6ee1J4TKxbbnFA5q1bMieUTiytOrhxMgA1Oa8+p1Xvl6nVsreGE0yuG +xqa1z3Q35tHwdPkFvv15dD7dfm57zplHw9KyZ7fnrOqNS06pGTaonHMqZp3q +cUb13Lh6qH1e1d647HOr1lo1+yk1C1a4sGZi44qLykfD001Xt+eqOsth2VfW +Gt1yTcXgHBxxaXsumUez0+mXt+eysvv1Li6v2G6tyfEtYse/zvNNqk0HXVs9 +MASdflN7bqxZsdDFNTMuwSo3tOf6iqXtb64ctWir66rmvGreWDlb1B4uqZrT +qnl95Tx6qWnN9mywZXtXW2ZWd85dMzPOwBW3tueWeTQ+rX9fe+6dhwF2Lvue +ebgHC91h72XjtDvLx8YVd5VPLZxwf9Vk090PlI9Op+NXbn87VlpEs/OxV1xE +d9KmWzR780V0OhZYodnLL9ILy9xdPdWm45dbpIdYNdWSgwGwwKrNXmWRWDXV +kqMX3btskZ471hncUz3k4ozVFqlBh9Pu6zV73UV0Nx97nUW4BOus0ezVF7Fx +xZqL+Nh0+1qL+NTCBesvUpONFTZYxIcx6PDNmr3pIhzCx95kkTVn5qzEYBAs +snGzN1rkrJ2BvTtztbHhhov0EKumWnLs1R7Mbs9i1VRLjrPCCp1FzowupBV3 +afbOi2hEPvZOi3DstvXt3DYPI9BF/bbWW6QWVukuUvPAirHGJ5ZuGiySgwno +9Emzx4tobLp61uzpIhqcFt+62Vstwgx87C0X+fa3qVncARyCTZba2mIR3aiH +2qNFatPu80V6iFVTLTlmMYPeZnpO1VRLjtlpv+Eie2DroTafWbHFNovMTLPT +7rs3e7dFdDsfe9dF1ujiPRaJcfZirHkHmAJb7OiuLGJ7J94FH04SY237Rf72 ++Jvgb4G/QTS433i/7XsvwjlyxG63yKy4Z9tFZmaLscZ3aNWQu9cinIJlHuyu +L6Lr+dgPWmRNjlgx9ibGmj3SGjjhoYtoDtyANR7ubi3yN9XfwR81+4eLcAm2 +e2Sz910kFqc8YpEcthhrfGJx0H6L5GAALPAYd3ERzUgnvtheF1mj2x+7SAyN +TVc/3t1bRJPT5k9u9pMW0eW44AB3eZFYWvwJi+TojUMetcgM1uSIFaOXHmrr +iTP0UPuJi9TmY+vhrJyRs3FmatuTveiBK3DEge7eIpqddj/YXVpEV/OxD1qE +c+jwp7uLi9h0+DMW8bHp7mcu4lOLzn/OIjXZtP9zF/HR5M7UWb5oEe7gY79w +kdmwzlMXmRFHYI8XuMuLnK09mN0Zq40znrdID7FqqiVHLXswu5pi1VRLjneL +BV6yyDvGIdjkZe7SIpqOtjvMu1+EEXDBK5v9ikVisdLLF8lhi7HGJxY3vGqR +HEyADV7jLi/SW0+9zGANJ7x2kRiana5+vbu0iKan29/iXS/CCHT7G5v9hkVi +afFDF8nRG5ccssgM1uSIFaOXHmrriQP0UPtNi9TmY+uhlj2YXU2zYIm3LjIT +Gye8bREfDU+3v89dWeQs+djO1Bod9f5FYnAH3f1Od3MRHU6nv9u3vIjtnXgX +fGLFWJPjW8SCz1rkm1Sb7vnAIj0wAh3/Ud/SIrNim7cvMjMuwRUfdtcWiaXj +P7ZIjlq01AcXqSlWTbXkqGUPZldTrJpqyTGbO+ZumVFtrPDxRXqwccUnFvHR ++LT+F92lRRiAj/35RbgG63zaXVzExhmfWcTHpvs/u4hPLZzwpUVqsun2Ly/i +o9OxwOHuxiKanY/99UU0Jq35O3tdRKfjiK+5y4v0whqfW6Sn2nT8VxbpIVZN +teRgBKzwbXdpkVg11ZKjlj3bq5qYQ47Yby6Sixu+s0gNuphO/4m7s8hvAx/b +b4Q1Wv6ni8TgDizyPXd1EcbAFT/wrS9i+43x28InVow1Oc6CLv/9ImeiNm74 +2SI9MAcu+LW7soimd4bO7jeLMApW+aW7t0isGGty1MIiP1+kplg11ZJj7/Zg +dmcgVk215NCa/l147UU0p1mxxBGLzEzT0nkn+tYW4VrflG/pk4toAtrgT83+ +4yK5OOUPi9Rgi7HGJ5au+vMiORgBF/zN3VlEs9PVR7lri2h2Ovw/7fn3IozA +x/7XInfBTGZxJ3AJrvinu7mIrtRD7SMXqU27H71ID7FqqiXHLGbQ20xi1VRL +jtlpw78ssge2HmrzmRU7/LdmXrPO9VTf7iIaf82yT641Z39axaxeMdZOWkST +0+bH+9bLXrXeBd9KFWPt2HpXq1eud0bD0+XnuEuLcM9KFXtMzYqD/lczr1Ax +x5Rv/aoh96xFOAaHnNmeMxZhmnXLPr3W1q/YM2tv69SaPX5m+3bv23PQDu3v +2w759jDSOhWLI3DGeb7dRTQ+rX95ey5bhAG6ZV+6COfgmgvbc0HZWOei8rFx +28XlUwsnXFE12XT3leWj0+n469tz3SKafVr2tYvoTtp0xcbQKyxFp2OBa9pz +dfXCPZdUT7Xp+Kuqx7hqXls5GAAL3NieGyp2VLWuql5070pL6bmszuDS6iEX +Z9xUNehw2v2u9ty5iO7evuw7FuESrHNLe24uG1fcWj423X5b+dTCBXdXTTZW +uKd8GIMOX77NttxSOISP/UCtOTNnJQaDYJH723NfnfW89n591caG91YPsWo+ +UDlLtYeba89id6la99ZZYYWVl3JmNCJd12t2dym6kI/dWYrGo/W2bPbSUrgG +R6zW7FWXUgurrLKUmmwx1vjE0tGrLyUHM2CHtZu91lKYgW5ft9nrLEWn0/Ub +N3ujpWh2PvaGS/mb7G/zm5r9xqXochyxQbPXXwqj6KH2mkupTbevt5QeYtVU +S45ZzKC3mcSqqZYcs2OBNZayB7YeavOZFWdsspSZaXo6ftjswVJ0Mx+7v5Q1 +umq0lBhnL8aad4BL6PJlzd5iKbZ34l3w4RQx1jZfyreIG2+vbxIj0PGLtjZf +CtPIEbvZUmbFRpsuZWa2GGt8B1cNubOl6CwsM232ZCmai489XsqaHLFi7E2M +NXv0rvzOvnkp78ys7twdNbNvC2dstZRvDAPQ/Ts1e8el6Ho+9g5L4RKss02z +t16KjYW2XYqPjUO2W4pPLSyx81JqsnHELkvx0ey0+57NftBSmIGPvcdSNCrd +eYCzWopmp913d5eX0gt3bL+UnmrjhF2X0kOsmmrJwRS4Ya9mP3gpsWqqJUcv +WveJS+mptjOwdz3kYo+9l1KDRqaVH+lbXooG52M/YinMgT32cXeXYmOThy7F +x8YdD1uKTy0csN9SarKxwaOW4sMYOOAJvv2lcAgf+3FLWXNmzkoMzsApj13K +v6s6a2dg785cbRzi3131EKumWnLs1R7Mbs9i1VRLjrPCDU9aypnRsHTcK7z7 +pehcPvbLlvKt+YZ8O745TIIbDmz2U5ZSC4s8eSk12WKs8YnFFU9dSg6dhxOe +6W4vhRHo9oPclaUwAd3+/P9H012H4VaV28Omu7u73u4XUIxjoih2d4uKjR4b +FVvsQLEDC7s74ICCdEh3g5LS8Zv3N57vj3Wd4dNzrjnXO8bem+s0/IJONAMb +/PxOOKlvmm/Zmzrh6bTJ89zFTnibHmo/vZPaePxzOukhVk215JjFDHqbSaya +askxOx74tE7WAOuhNptZ6YYXdTIzjo6rv8ZZ7YQns8EHduLD61/bSYy9F8Pn +HdA9tNDL3ZVONId34l0c0ImOEcP30k6+XX5z/Nb4htEA9sjeHNSJ5pEj9iWd +zErrvLiTmWExfGw0ihpy39iJhqFl3uDud6JL2ODXdeKTI1aMtYnhs0bfMtrh +rZ1803wzfTsvas+FnXxTNy98QSecDrf7itk70SW0yzvc1U5q0Slv66QmLIaP +TSxd9M5OcmgEWuE97kInGgHPPsTd6YSX4+0fdjc64ehs8Ac7+XNqnBAXvGCP +8HLc/wPubieaRA+1D+6kNt7+vk56iFVTLTlmMYPeZvpj1VRLjrXjvl/tZA+s +hW56VydrMivd8JFOZsbT8fbPObud8HA2+DOd8G42+NOd0jjOtLPdCaYrPtGJ +TS1a4POd1KQhvBPv4kud6Aw2+PBONI6aah3ayWy0zUc7mREWw8dmLfbMXlkT +XUGbfLHhL3SiMdjgwzrx6amXGLOJ4TMjTUAbfL3hr3XC4XC533n3nXBYNvg3 +neytHLH2mI6hC77V8Dc7qUWbfKOTmrAYPjaxtMS3O8mhCeiA7zn7nXB0PPoH +7k4nHB3v/mnDP+lEE7DBP+5Em2xWZ0tdOoSO+JG73Mm500Pt73RSG1c/spMe +YtVUS45ZzKC3mS6rmmrJOb96HdHJGi6os602m1lphZ91MjPOjif90dnuhNOz +wb/vxIeX/KmTGHsvhs87wMFx8V87y51g78S7YKODxPD9spOzSDd+spMzibPj +4Uc7W53oHDlif9HJrHTPzzuZGRbDx0ZTqCH3b53oFrrjr+56J7yKDf5zJz45 +YsVYmxg+azQbHfupTmaE3TF3i82stMP/dTIzXo/Hn+zsdsLxVy98YicaYLXC +J3Sic+iaf9iLwrTOcWVTC68+pWri4Xj6v9pzZiecfL3CZ3TCyXHzs92VTngo +3vlve9kJ79yl8LXViy48vnri7bTB6e05rRMOv3bhU8u3bvU6vWZbq3ynVC26 +6Z9Vc5Va8wllW79mPKvWgKfj7Ze255JOePhWhS8uH25/WcVsUTF8flPoHrri +/PacV9hvzAVl27hi+M6tvcDF/1N7QmPg4de05+pONM/GFXtO7eVGhc+u2J1r +7+TsUDXkXtWJRqFVrmzPFZ3oxO0KX16+HSr2ylrbtuWzRn/Wskl9o3yHcDrc +bvVuOzfd8EK8dtWGV+mG0+Pxt7bnv53oXGfKWTq2E46AK9zYnhtq7bTL9bUH +u1fMDWXD0fHo29tzWyccHe++tz33dKIJFoXvrt6jijWDu0BnH9PJnYDNZJZj +ahY65qaaiW6hO+5qz52d8Php4TvKN69ed9Vsk/KZEU8d1Npvqdp4683Vo1cx +t5QNj39oe9Zue7dWN5yeDV6zG46NN/u3DRt0owHE8K3Rje7By1dueKVusHfi +XbB5V3LEemfelXfo3XlnNIIeaq/fjYZgg9frZq9pnftqz2G6aIVubHSSGfRe +sRsfGyzG2uiadbpZI0zrrNuNDdZTLzY6gY7YuJt/00FX0CqbNrxJe65uzzXt ++Vmv/T70olvori2abfNuYumYzbrJgcXwsYmlO7bsJodGoBW2aXjrbngjbnm/ +hvfuxkc3bNtNDA6Od2/f8HbdcHo8fdeGd+mG9+PxOzW8YzexuPoO3eToTads +1c0MfHLEitFLD7X1pAv0UHvnbmqzwXq4p+7yjxr+YTe1rcla9KAj6IzdG96t +G96O248bHnXDy9ngYTc6h47oNtzpBuPpvW5sMJ7e78ZGE9AG04Yn3WDabdaN +DQe3p/Zyr240Bxu8Zzez0UJ7dDMjHUKrLBtedLO31mB2e6w2nTLvpodYNdWS +o5Y1mF1NsWqqJce7pRPu3807phtojQf4lnXDE3HJp3oX3eg8fw7yYHepm1ja +5oHd5MBi+NjE0hn/000OTUAbPMxd6Ka3nnqZgY9OeHg3MTg2XvpId7EbDo+X +P9Zd6Ibn4+2PbvhR3cTisvt2k6M3XfKQbmbgkyNWjF56qK0nHaCH2vt1U5sN +1kMtazC7mmahFfbvZiaYrnhcNzYcHm96urPfzV6ywfaUD295RjcxdA4d8UR3 +oxvOjqc/2d3sBnsn3gWbWDF8cpxF2nHQzZlUGw96Zjc9aAg8/XnuQjez0kKP +72ZmuoRWeY673E0sbv/8bnLUwq2e1U1NsWqqJUctazC7mmLVVEuOb5lvmG+X +b5pZ3Tl3zcx0Bl3xQnevG46P67/SXepGA7DBB3Sje2ihl1h7N5hOe2k3Npiu +eFk3NrXohFd1UxPGuw/sxoan4/FvcDe64exs8Ou64Z246QftXTc8nRZ4rbvc +TS9a5uXd9FQbj391Nz3EqqmWHBqAFjjI3esmVk215OiF936om55q2wNr10Mu +nfGmbmrg4bj7O53tbng3G/z2bnQJrfO/7nI3mK54Szc2GG9/azc2teiCd3VT +E6YVDu7GRmPg4e93F7rRIWzwId347Jm9EkOD0CLvdbe62Wt7YO32XG3a8N3d +9BCrplpyrNUazG7NYtVUS469ohU+3M2e4YW44jedpW44Ihv89W50rDPk7Lyo +G42AFx3qrnVTi1b5SDc1YTF8bGLxpo91k0MT4Omfcve64dh49WfchW44OC7+ +RWe9G83ABh/Wzdk3k1ncATqENvm8u9sNb9RD7U90Uxt3/2w3PcSqqZYcs5hB +bzOJVVMtOWbH/T7ezRpgPdRmMyttcXg3M+PsuPsRznY3vJ0N/lY3Pn929J1u +Yuy9GD7vgKagLb7mrnSDvRPvgo1OEsP3lW6+Pb4JvgW+QTi433i/7Ud2o3Pk +iP1yN7PSPV/qZmZYDB8bjaKG3B90o1Nome+7691oFjb4u9345IgVY21i+KwR +16ATftwN56AbaI2fulvdfFN9B7do3GzzXnQJbfeLZvt5N7F0ys+6yYHF8LGJ +pYN+2U0ODUAL/MZd7IYz4olnWGs3Prz9t93E4Nh49e/dvW44OW7+14b/0g0v +pwv+5C53E4uL/6GbHL3pkF91MwOfHLFi9NJDbT3pDD3U/nM3tdlgPeyVPbI3 +9kxta7IWPegKOuIod68bzo67/9Nd6oZXr1z4uG50Dh5+jLvYDcbDj+3GBuPd +f+/Gphaef0LVhHH/E8u2du2pvTytG92xduFTu5mN1jm6mxnpCNrjlPac3M3e +WoPZ/1q16YyTqseaVfPUylHLGsx+dMWuUbVOqndLC5xZ75gOoU3Oas+/uuF0 +uN2N3n03GsHv6rntOadiaaWzK2fDijmnbGL9Fp9XOTQBbXBhey6o3utXrzPL +RydcVDE4O159SXsu7obT4+1XedfdaAS8/fL2XFaxuPillaM3XXJ+zbB15VxW +MVtUj4ur53bVQ+0rqvZ2hS+vWpvV7OfXLLTE1TUTTCdcUzYcHm+/uT031V52 +C99YPjzqloqhO/Duf7fnum54OJ5+fXv+U3iPehfXV+xu5ZPjLNKC/+jmTKqN +9/y3etAIePxd7bmzZqVtrq2Z6RJa5fb23FaxePzdlaMWLnVr1ZxUTbXuqFq7 +1OzXVuyoat1as7ljx9WMatMK91QPmK64t2w4Pq6/evu2rdaLBmCDV+1F19A6 +Kza8Qi+YzlipFxuM96/ci00tOmGNXmrCePuavdjwdLx+/YbX64Wzs8Hr9sIx +cc1dGt65F55OR6zT8Nq99KI1Vumlp9p4/Fq99BCrplpyaARaYcOGN+glVk21 +5KhlzdaqptnkiDWjXLpho15q4MV4+lYNb9nLbwMb7DeCD5ffupcYuoMW2aTh +jXvRGHTFZg1v2gv2G+O3hU2sGD459gIv37WXPVGbbtimlx40B12wY8M79MLp +7aG926kXjUKrbN/wdr3EiuGToxYtsm0vNcWqqZYca7cGs9sDsWqqJQfX9OfC +b+uGc5qVltitl5lxWjzvgc5WL7rWmXKW7uuGE+AGnfa/9+gll07ZvZcaz6sY +PjaxeFW3lxwagS4YNjzohbPj1eOGR71wdjx82fCiF43ABs97uQtmuq/uBF1C +V8yab9oLr9RD7X4vtXH3SS89xKqplhyzmEFvMx1QNdWSY3bcsNfLGmA91GYz +K+2wZy8zv6X29SHOei8cnw1+cC8+e//QXmLwajF8D+qFk+Pm+7g7vWDvxLtg +o4vE8N2vl3elhlzvDIfHyx/lLvWie+SI3buXWemgvXqZGRbDx0ZjqCH3kb3o +GDrkEe5+L5qGDX5YLz45YsVYmxg+a3TWaKK317rNRjs8upcZYTpjv15sOD6u +/2R3pxcNwAY/sRedQ9fs7272gmmdx/Vig+m2x/diU4tOeEovNWG8+6m92PB0 +PP7ZDT+rF87OBj+zF96Jm77OXvXC02mBZ7jLvfSie57QS0+18fin9dJDrJpq +yaEBaIHnNvycXmLVVEuOXnjv63vpqbY9sHY95NIZz+ulBh6Ou7/MWe6Fd7PB +L+lFl9A6L2j4+b1guuKFvdhgvP1FvdjUogte3ktNmFY4oBcbjYGHv8bZ70WH +sMEH9uKzZ/ZKDA1Ci7zK3etlr+2BtdtztWnDV/TSQ6yaasmxVmswuzWLVVMt +OfaKVnhDL3uGI+J1H3WWeuGFbPCHe+F4uN5haveia+iINzV8UC+1aJU39lIT +FsPHJhaPfnMvOTQD7fA2d7sXzYC3v8Nd6YWn4/XvddZ74exs8Lt7+Sb7Nl/R +nst74eV0xMHuYi8aRQ+139JLbbz9nb30EKumWnLMYga9zSRWTbXkmJ0W+N9e +1gDroTabWemMQ3qZGafH4z/ubPfCm9ngQ3vx4VWf6CXG3ovh8w7oErz8Q+5K +L9g78S7Y6BQxfB/o5SzSjS/u5UzSCHj859ydXjSNHLHv72VW2uh9vcwMi+Fj +oznUkPuZXngWLfNpd70XzsUGf7IXnxyxYqxNDJ81ms0dc7fMaDZnylkyo7NF +Z3yhlzNGA+D9X3eXeuH1bPBXe9EltM7h7mIvmBb6Ui82mA75ci82tWiJb/RS +E6YjvtmLDWfH3b/X8Hd70Qxs8Hd64ah455/sVS+cHXc/wl3upRfd8ZVeeqpN +J3yrlx5i1VRLDk1BN/zAXewlVk215KhlzdaqptnkiDWjXNrjyF5q4Mi48i+c +5V44OBvs71z48Ppf9hJDg9AiP3KXe9EpdMdPnPVeMN3h72rYxIrhk2MvcPE/ +97InatMOv+qlB11Ch/zeXe5FM9hDe/eHXnQH3fJbd7GXWDF8ctSiS37dS02x +aqolx9qtwez2QKyaasnxbcDrruzlG2FWWuQvvcyM9+K5ZztrvZw9Z8pZcgZp +FDri6IaP6kWT0CZ/cxd7wWL42MTSGf/XSw7eRzf8w13oRTPg8ce7S71oBDz+ +FGe/Fw2xRuGTeuGovnG+bZf1wttplRPbc0IvPG6Fqn1s1cbr/1k9VquaJ1XO +ijXDcTXTKlXzhMoxO154TC9rgPVQ+5ialY44tWbG2XH3853dXnjzJoXPLR+e +f0HFbFQxfOf0ooPojn+158zCG9S7YFu3YvjOqHe1UeV6Z1vXHtmbS3vRQOtW +7Ok1K+1zWs28dsWcXratqobcS3rRNLTNxe25qBedskXhC8u3VcVeXGvbvHzW +6N92+u/I/L2pvwd29miLq3o5g76xvquP7Tft0w/Hw/XWaXjtfnQKLXNte66p +XLrl6qoB71S+qyuWTrqucmgG2uGG9lzfi2bAu29qz4298HQ8/vb23NYLZx8V +vrWXP7fGEXHDx/TC02mB/7bnll40yu5V+z9VG4+/uXoMquatlbNHzXBjzdSr +mrdUjrXjwuv2swfWQkf9u9ZkVjrijpoZb8fjV2mxK/fDy9nglfrh4Wzwiv3o +FNrnnvbcXZjOuLdsatEGq/ZTk6bwTryLtfrRHWzwmv1onnnVuqtmo3XurBnh +WfnurLXsVntlTXQGrbJGq7V6P5qDDV6tH5+eeokxmxg+M9IItML6Da/XD6fD +7fZoePd+OC0bvFs/eytHrD2mGeiEjRresJ9atMoG/dSExfCxiaUtNu4nh0ag +CzZveLN+ODtevWXDW/TD2fHw7Rverh+NwAZv249WccYeU3XpErpim+bbup9z +p4fam/ZTG3ffqp8eYtVUS45ZzKC3mZ5YNdWSs1+d4036WQOsh9psZqUdduhn +Zhweb+o13O2H47PBnX58eEu/nxh7L4bPO8DJcfNdneV+sHfiXbDRRWL4du7n +LNKR99WZxOHx8mnzTfrRPXLE7tTPrHTQjv3MDIvhY3t51ZA77kfH0CGjhof9 +8C42eNCPT45YMdYmhs8azUbXrtDPjLA75m6xmZWWmPUzM56P1z/Q2e2H87PB ++/SjCdjg+/eje+icPe1FP5j22asfm1p49oP6qYmX4+0Pb/hh/XB0Nvih/XB0 +XP2R7ko/vBQPfZa97IeHssHP6KcXnbh3Pz3xeFrhIe5+P5yeDX5wPz499RJj +NjF8ZlSLjrpfPzVha7ZWNrOb0WzWgLfj8U9wl/rh5Wzw4/rx4fpP7CcGLxfD +t38/OojO2M9d7Qf7jfHbwkbXiOF7VD97gZs/u589oTnw8qe7C/1oIDli9+1n +L9lgeyrWHto7OXSMGnKf2o9moV2e4q72oxvZ4Cf145MjVoy1ieGzRr+N1mht +fiNxOtzuIGepH96L577B3vbD8fH6F7ub/eheZ8pZWvSjKWiL5zX83H7WTss8 +p589gMXwseHsePZL3ZV+ODse/qqGX9mPRmCDX9FPbzlizeAu0N3zfu4EbCaz +sJmFrnl+PzPRMXTIAe5uPzyfDX5ZPz499RJjNjF8ZsRT7YG1v7Cf2njrC/rp +AYvhY8Phcfm3ONv98Hg2+M39cGy8+V3uUj8cXwzfm/rRQXTH69ydfrB34l2w +eVdyxHpn3pV36N15ZzSBHmq/ox8Nwga/vZ+9pn0O7GfPYTrp1f3Y6CYz6P2a +fnxssBhro3Pe2s8aYdrnbf3YYD31YsPV/Dc8/l0bzmbttMPB/ewBTGe8ux8b +jo/rf8TZ7UcDsMEf6kez0C6HuIv9YFrnff3YYDrp/f3Y1KITPtpPTRjvPrQf +G56Ox3+64U/1w9nZ4E/2wztx0+/am354Oi3wCXe7n150zgf66ak2Hv+xfnqI +VVMtOTQALfBZd6WfWDXVkqMX3vu9fnqqTUt9sJ8ecumMz/VTAw/H3b/ibvXD +u9ngL/WjS2idw9yNfjBd8YV+bDDe/sV+bGrRBV/tpyZMK3ytHxuNgYcf4ez0 +o0PY4G/147Nn9koMHUGLfNPd7mev7YG123O16Yyv99NDrJpqybFWazC7NYtV +Uy059opW+H4/e4YT4oZHOUv9cEY2+K/98Dxc8CS1+9E1tN8PGz6yn1q0yg/6 +qQmL4WMTS+f+qJ8cGoFW+Jm70Y9GwKt/4S70w8vx9t81/Nt+ODob/Jt+vsu+ +3dsMGqcahMfi/r9utl/1o0n0UPsn/dTGc3/ZTw+xaqolxyxm0NtMYtVUS47Z +6aQf97MGWA+12cxKN/y+n5lxeLzpGGe7H17OBh/djw9vObafGHsvhs87oHvo +ir84y/1g78S7YKNjxPD9qZ+zSDce3s+ZpBnw8hPcnX40jxyxf+xnVlrnD/3M +DIvhY1u5asg9vh9eRbsc155/9MOxViz89/KtXLFirG2F8h1b78rv6raDvDOz +unPu2uF1tuiKk+uM4fx4/lnuUj86Yf3CZ/ajQ2ifU9tzSmHa6LSywXTb6WVT +i3Y4u2rCdMM5ZcPRcfWL2nNhPzx/88IX9MM5cc//2qt+ODqufn57zqtedMwZ +1VNtvP7c6rFp1bygcmgGOuCS9lxcsZtUrXOrFy58a/Vct/bgzOohl5a4tGrg +2bj4te25ph/OvVPhq/vRJLTJ5e25rDDtc0XZYDrjyrKpRQtcVzVhOuHfZaM7 +6Iyb23NTPzqjW/jG8vVrr8TQfXTIDe25vvZ6y1r7RVWbFvlP9dijat5YOVvX +Gi6rNe9WNa+vHHtFJ9xWe4YT4oYbtLO2/iC8lg1eb5Cz5gw5O84cXUIn3Nme +O6oW7XF71RxXzB1lE4tH3VU5eB1dcF977u2Hs+PZK7ZeKwyiEfDw1RtebRDd +wQavOgjH9E3zLdtqEF5Oi6zS8MqD8LRl1b6nauPtKw3SQ6yaasnZs2bQ+76K +VVMtOWbH++6uNcCLqn13zUo7rDHIzHg63r5xwxsNwsPZ4A0H8eH2mwwSY+/F +8HkHdBBdsW7D6wyCvRPvgo1uEcO39iDfLr85fmt8w2gMe2RvthxE48gRu9Yg +s9JCaw4yMyyGj+3xVUPuFoPweFpl84Y3G4TTs8GbDuKTI1aMtYnhs0bfMlph +u0G+ab6Zvp3va/iQQb6pbPB7B+F8eN5DzDaIRqFVdmx4h0Fq0SrbD1ITFsPH +JpY23GmQHJqANtit4V0H4ex49R4N7z4I78fDhw0PBtEAbHB/kHvjXv2m4V8P +wsNx9V7D3UE0iB5q7zJIbTy9M0gPsWqqJccsZtDbTGLVVEuOteO1Dx1kD6yF +ztt5kDWZlXYYDTIzzo6736/hvQfh1WzwXoPwajZ4z0F0CO0za3g6CMbT54PY +1MLz7z9ITbrCO/EuHjyIjmCDHzSIplFTrckgs9E+40FmhMXwsVmLPbNX1kRj +0BoPdNcH0Rls8D6D+PTUS4zZxPCZkQ6gPR7u7gzC4XC559nrQTgsG/ycQfZW +jlh7TCPQBfs2/MhBatE2jxikJiyGj00sLfGoQXJoAjrgsc7+IBwdj36cuzMI +R8e7n+ouDKIJ2OAnOwPDdhbac317/jOMDqEjntR8TxxEg+ih9n6D1MbVHz9I +D7FqqiXHLGbQ20w0jZpqPWGQ2d/TnkcPsgZYD7XZzEorPG2QmXF2POmFzuog +nJ4Nfv4gPrzkRYPE2HsxfN4BDo6LP9u7HwR7J94FGx0khu+Zg5xFOnExyJnE +2fHwA5ylQXSOHLHPGGRWuufpg8wMi+FjoynUkPuyQXQL3fFSd38QXsUGv3gQ +nxyxYqxNDJ81mo1OXQ4yI+yOuVtsZqUdXjHIzHg9Hn+Qsz0Ix2eD3zCIBmCD +Xz+IzqFrXq3XIJjWec0gNrXw6jcNUhMPx9Pf7mwPwsnZ4LcOwslx83c624Pw +ULzzU/ZyEN7JBn9ikF504WsH6Ym30wZv8S0YhMOzwW8exKenXmLMJobPjGrR +Ta8bpCZszdbKZnYzms0a8HS8/YPO+iA8nA1+/yA+3P5Dg8T4LRHD5zeF7qEr +3uOsD4L9xvhtYaNjxPAdPMhe4OKfHmRPaAw8/OPuwiCaR47Ydw2yl2ywPRVr +D+2dHLpFDbmHDqJRaJWPuquD6EQ2+MOD+OSIFWNtYvisEdf058RX9cM5cTrc +7khnaRBeiNd+394Owunx+MPdzUF0rjPlLL1qEI6AK3yu4c8Osnba5TOD7AEs +ho8NR8ejv+yuDMLR8e5vNvyNQTQBG/z1QXrLEWsGd4HOfuUgdwI2k1nYzELH +fH6QmegWuuNr7u4gPJ4N/sogPj31EmM2MXxmxFPtgbV/YZDaeOthg/SAxfCx +XVl7+xNnexBOzwb/aBCOjTf/yl0aRAOI4fvhILoHL/+uuzMI9k68CzbvSo5Y +7+ySeofenXdGI+ih9i8G0RBs8M8H2Wta51uD7DlMF317EBudZAa9jxjExwaL +sTa65qeDrBGmdX42iA3WUy82Z23Hyrmq1o4D4T72ABeiNX47CCfC8XH9v7qb +g2gANvjPg+gcuub37uIgmNb5wyA2mG774yA2teiEvw1SE8a7jxrEhqfj8f9o +z98H4ewrFD52EN6Jm15gLwbh6bTAMe7mIL3onj8N0lNtPP7oQXqIVVMtOTQA +LXB8e44bJFZNtY6uXnjvhdVTbXtg7X+qXDrjn1UDD8fdT3dXBuHdaxc+dRBd +Quuc2J4TCtMVJ5UNxttPLptadMEZVROmFc4sG42Bh5/nLA2iQzYufE75Nq29 +EkOD0CJnt+es2uuVau3/qNq04b+qx4ZV85zKWaXWcEKtef2qeVbl2Cta4aLa +M5wQN7zDWRqEM44L3zYIz8MFV23caJVhdA3td2l7LqlatMrFVRPeqnwXV6w/ +R7mscmgEWuGq9lw5iEbAq69pz9WD8HK8/Yb2XD8IR9+98H8G+S77dj+pzfLE +YXgs7v/v9lw3iCbZvmpfUbXx3Gurx65V8z+Vs0PNcHXNJHaXqnVtzU43XV5r +2LZ6XFE2s9INN9bMODzedHd77hqEl88K31k+vOWeiplUzJ31DugeuuLW9vy3 +8KjeBVu/YvhuGeQs0o2nDHImaQa8fOW2NysNo3n6FXtzzUrr3FQzw73yse1d +NeSuOAyvol1WaPi+QTgWG3xv+eSIFbOomHtrjWZzx06tGc3mTDlLZnS26IrV +hjljOD+ev17D6w6jE9jgdYbRIbTPGg2vPgymjdYcxgbTbWsNY1OLdlh/mJow +3bDBMDYcHVffrOFNh+H5bPAmw3BO3LNvL4fh6Lj6xg1vNEwvOmbtYXqqjddv +OEwPsWqqJYdmoB22aHjzYWLVVEuOWtZsrWqaTY5YM9IQtMRWDW85DM/GxXdq +eMdhODcbvMMwPtx+52FiaBRaZZuGtx5GB9EZ2zW87TAYj99+GJtYMXxy7AWu +PhhmT9SmI3YZpgfdQZt0Gt5jGE1iD+1ddxgdSJfQXLSXWDF8ctSiTXYdpqZY +NfconWbt1mB2eyBWTbXk+DbgcU8e5hthVjpiOMzMeC7e+AhnbZiz50w5S84g +nUI3TBoeD5NLi4yGqQGL4WMTi1dNh8nB8+iEpbswDIfHu/dyl4bRDHj5A539 +YXQIG7zPMJzTN8637QnD8HTa5P4N328Y3qaH2vNhauPxew/TQ6yaaskxixn0 +NpNYNdWSY3Y8cDbMGmA91GYzKy3xoGFmxtvx+Ec7u8PwcjZ432F8uP5+w8Tg +6WL4HjmMLqIzHuasD4O9E++CjY4Rw/eQYd6VGnK9M5rDHtmbxw+jeeSI/Z9h +ZqWNHjzMzLAYPjY6Rg25jxtGs9Au+zf82GH+HIwNfswwPjlixVibGL796kw6 +mzcM82cIzh4t8ZRhzqBvrO/q7xr+7TAcEO97i9mG0Sy0y9MbftowubTLU4ep +AYvhYxNLKz5jmBwagVZ4jrszDIfHs5/nrg2jA/Dyl7i7w2gCNvhFw3BJHBE3 +xCnxctz9he7mMJpED7WfNUxtvP35w/QQq6ZacsxiBr3NdFjVVEuOteO5bx1m +D6yF7nvmMGsyKy3x0mFmxuFx+dc568PwbDb4NcPwbDb41cPoElroFe7mMBhv +f+UwNrXw/tcPU5PO8E68izcPoyvY4DcNo3HUVOvlw8xGC71smBlhMXxs1mLP +7JU10Ry0x0Hu+jC6gw1+wzA+PfUSYzYxfGakC2iRt7s7w3A63O6z3v0wnJYN +/vQweytHrD2mGWiHd7lLw9Sidd4xTE1YDB+bWLri4GFyaAS64BBnfxjOjle/ +390ZhrPj4R9t+CPDaAQ2+MPDfJN9m/3Z2r+H0SV0xYfc5WHOnR5qv2eY2rj7 +B4bpIVZNteSYxQx6m0msmmrJ+U2d43cPswZYD7XZzEo7HDrMzDg83nSYsz0M +x2eDPzeMD2/5wjAx9l4Mn3eAk+Pmn3KWh8HeiXfBRheJ4fvEMGeRbnzVMGcS +h8fLv+psDaN75Ij9+DCz0kEfG2ZmWAwfG42hhtwvD6Nj6JAvuevD8C42+IvD ++OSIFWNtYvis0Wx064HDzAi7Y+4Wm1lpia8NMzOej9f/wNkdhvOzwd8bRhOw +wd8dRvfQOd+yF8Ng2ufbw9jUwrOPHKYmXo63/6zhnw7D0dngnwzD0XH1X7gr +w/BSPPTv9nIYHsoGHzNMLzrxiGF64vG0wo8b/tEwnJ4N/uEwPj31EmM2MXxm +VIuO+s4wNWFrtlY2s5vRbNaAt+Pxf3KXhuHlbPAfhvHh+n8eJgYvF8P3+2F0 +EJ3xG3d1GOw3xm8LG10jhu9Xw+wFbv6P2hOaAy//P3dhGA0kR+wvh9lLNtie +irWH9k4OHaOG3KOG0Sy0y9/c1WF0Ixv8l2F8csSKsTYxfNbot9Earc1vJE6H +213qbA3De3Hli+ztMBwfrz/F3RxG9zpTztI3htEUtMU/23N8rZ2WOa72YKWK +Ob5sODuefZq7Mgxnx8PPbs9Zw2iEDQr/q3qvVbFmcBfo7q8PcydgM5nl6zUL +XXNCzUTH0CFntueMYXj+uoVPL9/61evMmm2d8plx9doDaz+pauOtJ1aP1Srm +pLLh8Lj8le25Yhgev13hy4fh2L7Rvs3XDcPxty3fZcPoILrjgvacX3jzehcX +1LvapmK9sy3rHXp3Fw+jCXap2tcOo0F2LnxN7TXtc07tOUwnnVu2TWoGvc8r +3yaFz6210TlX1Rph2ufqsu1YPa8pm/9fBZ9tz3Mm+f9ZgLvRHTcOw+GOHrVz +3p5DW8xHx+F5uOCmzbbJKDqG7rmlPTdXLu1yU9WAe+W7qWLpjv9WDt1AJ9zR +ntuH4dx49l3tuXMYDo+Xr9h6rTAKb2eD7xvm3rhbH2r/+4Oj6AC8/t723DOM +JhlX7duqNi5/d/UQq+Z9lTOpGe6smcQuqtbdtXa8d7NR9sBa6KBba01mpSVW +GmVmHB6XX7fhdUbh8Wzw2qPwdDZ4rVF0D12xWsOrjoLx9tVHsamF9683Sk2c +2zvxLjYeRWOwwRuNonvUVGuVUWajg1YeZUZYDB/bsPbstloTnULLbNh8G4yi +O9jg9Ufx6amXGLOJ4TMjnUBbbNHw5qPwQtxx0vB4FI7IBo9G2Vs5Yu0x3Ue3 +bt3wVqPUonW2HKUmLIaPTSydsc0oOXQCXbBDw9uPwqnx0J0a3nEUzo6H79Hw +7qPwdDZ4t1H+uzD/BtPfSfq7Sbwfj9+1+XYZRYPoofZ2o9TGdXcepYdYNdWS +YxYz6G0msWqqJcfsdM62o6wB1kNtNrPSDp1RZsaZ8JJ5w7NROD0bPB3Fh6cs +Romx92L4vAOaAm8fOsujYO/Eu2Cji8Tw9Uc5i3TjGqOcSZwcN7+/szWK7pEj +tjfKrHRQd5SZYTF8bDSHGnL3HkW30DJ7ueuj8Cw2eDmKT45YMdYmhs8afQv8 +rn54lG+CWWndNUeZ2ay0xj6jzIzn4/WPdHZH4fxs8MNH0QRs8MNG0UG00YPt +xSiYbvufUWxq4dn7jlITL8fb92/4saNwdDb4MaNwdFz98e7KKLwUD32xvRyF +h7LBLxylFx3zkFF64vG0wn7u/iicng1+1Cg+PfUSYzYxfGbUC/d9ySg91aaV +HjpKD7Ob0WzWgLfj8c90l0bh5Wzw00fh4Wzw00bRKbTPk9zlUTCd8eRRbGrR +Bs8apSZNgXe/wFkfRXewwc8bRfOoqdYTRtk7NtgeyrVn9koNa/EOvTtrolFo +lee6m6PoRDb42aP49NRLjNnE8JkRD8QN3+msjMIJ2eC3j8Jr2eC3jcLh8eoD +3cVRdK0z5Ow8cBTNgCe9vOGXjfIuaJeXjvJOYDF8bDg1Hv0ad2MUzo17H9Tw +G0fRCGzwG0bpLUesGZx9uvoBo9wB2ExmYTMLnnbAKDPRKbTL693VUXg8G/za +UXx66iXGbGL4zIiH2gNrf+UotXHBV4zSAxbDx4a3473vcZZH4fBs8MGjcGS/ ++X7rPzAKrxfD965RdBBd8VZ3ZRTsnXgXbN6dHLHeoW+D32C/vb4RNIYear9/ +FB3CBr9vlL2mhd40yp7DdNCbR7HRRWbQ+39H8bHBYqwNt3/vKGuEaZlDRrHB +eurFRifQFh91d0b5Zvp23tyem0b5pnYL3zgKx8PrfmqWUXQKrffxhj82Si26 +5dBRasJi+NjE0kWfGCWHLsDTP+MujMKp8ejPuUujcHBc/EsNHz6KDmCDvzjK +t9gazO6bjKfTCV9o+LBRNIcean9qlNq4+udH6SFWTbXkmMUMeptJrJpqybF2 +3PZno+yBtdA5nxxlTWalLb48ysx4Oy31XWd3FA7PBh8xCs9mg789iu7By7/u +royC8fJvjGLD8XH977s7o3Bw78S7+PEoOoMN/tEoukZNtb46ymx0zldGmREW +w8dmLfbMXlkTnUGb/LDhI0fRHGzwD0bx6amXGLOJ4TMj3UGL/KLhn4/CA3HF +E+z1KJxwlcLHj7K3csTaY3+O5c95f+1ujVKLdvrlKDVhMXxsYumK34ySQxfQ +AX9wF0bh0Hjnn9y9UTg63k2HHDUKL2eD/zbKf0uDo+Fm/i0Zno+3/7X5/jKK +5tBD7d+NUhu3/fMoPcSqqZYcs5hBbzOJVVMtOWana347yhpgPdRmMyutQDOZ +GUfCO052Vkfh8KsXPrF8vjunVMyqFXNivQMaAk8/zrsvvHK9C7YVKobv76Oc +RTrwm6OcSRwcF/+XszSKzlmhYo8dZVa655hRZobF8LGtWzXknjGKTqFdTm/P +aaN8N9cufGr51q3Y02tta5XPGs1Gq35rlBlhd8zd+lbNSlucVTPj9Xj8pc7u +KBx/q8IXj6IBtix80Si6hxY6T6/CdNr5ZVMLr76sauLhePo17bl6FE6+Y+Gr +RuHkuPl17bl2FB6Kd67QtPB9o/BONvje6kW3XFA98Xba4Mr2XDEKh9+u8OXl +26F6XVmzbVu+y6oWLXRh1dy81nxR2XaqGa+tNeDpePut7fnvKDx8UPiW8uH2 +t1VMr2L4/KbQPXTFDe25vrDfmBvLtlvF8P2n9gIXX3GcPaEx8PB72nP3KJpn +t4r9d+3lroWvq9hl7Z0cumVeuXeNolFolTvbc8coOnFS+PbyzSr2zlrbuHzW +iGv6c+KnjMI58UTccas265bj8Fq8cYuGNx+Hw+PVaza8xji61plyls4ZRTPg +Tas038rjrJ12WWmcPbhfxfCx4dB459oNrzUO58a9N2x4g3E0Ahu8/ji95Yg1 +g7tAV589yp2AN6pZzq5Z8LZVx5mJTqFd1mt43XF4Lxu8zjg+PfUSYzYxfGbE +S+2Bta8+Tm3ccLVxesBi+Njs61Pbs13D246zx2zwNuNwZtx5l4Z3Hofzi+Hb +ehwdRFds1vCm42DvxLtg867kiPXOHl/v0LvzzmgGPdTeaRwdwgbvOM5e00Ib +jbPnMB208Tg2usgMem8yjo8NFmNtdMz246wRpm12GMcG66kXm7Pm7yGeWvsA +00RPq32yF7TGruPsCV6PZ48bHo3D8dng4TgagA0ejKOD6JpOw3uMg2md7jg2 +tfDqyTg18X48fM+Gl+NoADZ4MQ5Px+X3bnivcTgn7vlYezMOJ2WD9xunFy3a +G6cn3o7bzxuejcPh2eDpOD499RJjNjF8ZtQLt95/nJ5q06H9cXqY3YxmswYc +Hpd/qLs1Ds9mg/9nHJ7NBj94HF1CC+3T8P3HwXj7A8axqUWrPWycmnQGXv5o +Z2ccXcEG7zuOxlFTrfuNs3dssD2Ua8/slRrW4h16d9ZEc9Aej3QXx9GNbPDD +x/HpqZcYs4nhMyMOiAu+zFkZhyOywS8Zh9OywS8eh9Pj9k91V8fhfbjhG+3N +ODrKn5M8wd0a513QPo8b553AYvjYaAI8+unuwjg8HE9/bsPPGYeTs8HPHqe3 +HLFm8N32nf5bw38d5zvNBv9lnFnohCeOMxOeSxs8y10fh/Oywc8Yx6enXmLM +JobPjDSOPbD2J49TmxZ50jg9YDF8bDgTXvJKZ3kcTs8GHzAOp8ebX+/dj8Pb +xfC9fBydQvu8yF0ZB3sn3gWbdydHrHfoLNKNDxznTNIdtMhrnf1xdAcb/Opx +9prOed44ew7TPs8fx0YjmUHvF4zjY4PFWBue9apx1gjjXgeOY4P11IvNbHTr +g8aZEXbH3C02e+FMOUv2BMfH9d/pbI+jAdjgt4+jG9jgt42jS2ihN+s1DqaV +/nccm1p0wrvGqYmT4+bva/iQcXg9G/zecTg9nv4BZ3kc3ombHu7djMNB2eAv +jNOLTnzLOD1xeFz+PQ2/exyOzwYfPI5PT73EmE0MnxnVooveOk5N2Jqtlc3s +ZjSbNeDhuPsnnd1xeDcb/PFxfHj+p8aJwcnF8H1sHN1CC33E2R4H0x3+roaN +phHD96Fx9gIv/9I4e0KH0B2HOUvj6Bw5Yj84zl6ywfZUrD20d3LoFDXkfm4c +nUi3+Dslf7dEp7DB/n9j88kRK8baxPBZo28DLnfUON8IHBFX/KWzNA7HxXV/ +bm/H4WV4/bec7XE0rjPlLB00jm6hI77a8FfGWTtt8uVx9gAWw8eGs+PZR7gL +42gEPPzIhn8wju5gg78/Tm85Ys3g22oGvX1jcVDfON+2P48zCx73tXFmwuNp +l+81/N1xOD0b/J1xfHrqJcZsYvjMiAfaA2v/xji18cKvj9MDFsPHhsPj8r91 +tsfh8Wzwr8fRBGY265/G4e1i+H41jk6hjX7q7oyDvRPvgs27kiPWO/OuvEPv +zjujQ/RQ+4/j6A42+A/j7DXt88Nx9hymlX40jo1GMoPePx7HxwaLsTZ/Fva7 +cdYI0zW/H8cG66kXG83rTrlL/o7TN9K3suPvQif5xvqu7t7wbpNwetz+eGd3 +HE6IB15plnE0DC1zjNrjnGVa5uhxzjQsho8NZ8erT3B3xuH9ePhp7Tl1HA2w +VuFTqvcqFXt89cY7r6oZ/Lk1jogb7j7OLLTpsePMhLfj9ie356RxOPzqhU8s +35rV6+SabbXymRHPxa2v8e7H0Twr1V78YxwNuGLhv4/D4/H0i5ztcTj95oUv +GId3b1b4/HF496aFzxtHp9BGZ7XnX+Poiu1qry8fR0dsW/iycTTN+hV75ji6 +YZvyXVp7S+ucXnsM00ZnlM1erVCzH1u+9arWGbUW2uPiWhNMl1xStq2q56Vl +wwtxxZWdnUk4Ihu84iS8lg1eYRIOj1ff1J4b693uWHvtHdMMtMO/23NdvQva +59p6JztXzHVlw6Hxzlvac/M4nBv3vrM9d4yjESaFb6/evYo1A63i70F2q76w +M+Zs/f82//c/NROdQrvc1p5bx+G9w8L/Ld+4et1Wsw3KZ0bntlNrv6Fq63V9 +9di9Ym4oG06Ch6ze9m61STgUG7zqJJwZd1634XUm4fxi+FaZRAfRFfe1597C +3ol3cV+9OzlivUNnkY48e5wzSTPoofbak+gQNnitSfaaFrqr9hymg+4uG120 +rN73lG9R+O5aG661xiRrhGmbNSexwXrqxWY2uvacmhGmY88tG+yOnVc2HBsP +3qrlbjkJz2eDt5iE87PBm0+iCdjgzSbRRXTORnpPwvPx7h0a3n4Szs8GbzcJ +L8fdd2p4x0l4uRi+bSfhnbjunt7NJByUDV5M0ou22niSnjirGL75JGvB47ee +ZE0wbr/NJDZYT73Y1KJtN5mkJkyHbjqJDbZma2XD42mrQcP9STg9G9ybRCfg +1TPvfhIeLoavO4lOoY12bXiXSbDfGL8tbH575Ij1G4R34WJP8G4m0Rl6qD2Z +REewweNJ9t4e21vvwF7TRTtPsuewGfRmM6s9tHdmtjZacjjJGmE6ZTSJDdZT +Lza/jX4z/Vb6jcQRzWzWx03CcXHd/e3lJJwYD36IszsJx8e7H9zwgybRvc6U +s7TBJJqCtrh/w/eb5GzQRntNckZwbtx734YfOYlGYIMfMUltPfXSgw4Rw/fw +Sb4NtPd6k3wjYLp7/UlsNJ4Z9N57Ep8ZzSbGWnD7h06yJpi2edgkNlhPvdis +BS/cZ5I14an2wNofOIkPd3zAJDE4MW78LO9yEl6PpzzFWZ5EE4jhe8YknF8M +35MmOTu4/xMnOUN0knfiXTxmEp8csWK8K+/Qu/PO6Bw5YvebhCfRHk9ztyeZ +1RrMbmbvglZ61CTvhI4xo9mePomPbnr0JDFqieFT09r0UNsaxZpBbzn+Wxz/ +TY9/1+bfutEQtMRzJ/k3bOvv2d5Ne85qz7/2jC6hU57vrE6CaZcXTGKjA+mg +F7mrk2gAWuCl7sIkPjrhxZPEyJUjVg2cEo98r1knycXrXzZJDRwc7z7AXZ0E +4+KvmMQG4+6vnMSmlxn01pOmoC0ObPhVk2C8/9WT2GBa4DWT2PTWUy8zqK2G +XD3E0havnSSHpqAtXu+sT8Kr8fi3uDuT6Bw8/I3O7iQYDz9oEhuMd79pEhuM +i795EptatMFbJ6kJ0wFvm8QG0wZvn8SGs9tTe/nuSXQE7fEud3uSWLriHZPk +WIs1mN2a+OSIFWNt1mB2a6Rz9FD74Elqs8F6+Mb5rt3Wnlsnebe0wiGTvGM6 +hXZ5f8PvmwTTSh+YxAbTeh+cxObPtejYD7uLk3B+3P9Qd3kSH13wkUli5MoR +q4beeuplBrl4/McmqYGj4qqfcJcmwbj3Jyexwbj5pyax6WUGvfWkIWiJzzT8 +6Ukwnv/ZSWww7v+5SWx666mXGfhoh89PEgPTDYdNYoNpiS9MYsPL8aavOauT +6Bo8/HB3cRIf3vL1SWL48PIvTRKDg+PiX3FXJ8FqqsUmVgyfHGfRmXaWnUm1 +8aBvTNIDh8fbv+csTaI7aJEj3LVJOBKu9C13aZJcNlgNPjlixVirNVmLNdM5 +eqj9nUlqs8F6eDfegb33jsxCN3x/kpnoCNrlSHdxEo6P1//cXZpE99BCP3IX +J8F04I8nscF0w08mscG0xE8nsalFK/xikpowHv/LSWww3v2rSWx0AZ7+B3dj +Eh6Oq//W3ZokFi//9SQ5epnZrHryyRErBu+nDf7kbk2iOfRQ+3eT1GaD9cB5 +8dxTnK1JZlFDrplWrxi+kybh0bj1Me7OJLqD1vmruzYJxsv/NokNxsuPmsQG +4+FHT2JTiy44dpKaMK3w90lsMC33j7KtVjOZ5cRJNAQt8U93r2JpweMqx17Q +RX+eZE9WqpzjK4bPGswuZtXqofYJVXvVwv+svaINTq09g2mT08qGA+KC1zkL +k3AgvOfM9pxRsbTN6ZWzTsWcUTaxeNC/Kgdvw8vPac/Zk5xdZ9TZPLJ8ePa5 +FYNj49Xnt+e8STg7nn5pey6ZRGfQHhe158KKxcUvqBy9cbGzaobNKufCitm4 +epxXPbesHmpfXLW3LHxR1dqwZj+rZqETLquZYNrh8rLh7Lj7f9rz79rLXQtf +Vz68+PqKoYPw8qvac+UkPB0vv6Y9Vxfeud7FNRW7Y/nk+Db4JvgWfL9q4943 +VA86BG//b3tuqVlpqytqZrqCFrm5PTdVrN+8WytHLbrjxqrZq5q3VM52tYYr +q2anat5UOSvO2pltz3Ht+ccsv6W0wu2T/KbSKbTLne25ozDdcVfZYFrk7rLR +dbTfve25ZxIOjouvNG29pvHRAfdVzLxy7qkaeCReuX2L3W6aXLx75Wlq4Nh4 +66oNrzINxmVXm8YG4+arT2PTi/ZYYZqeNAVtsWb732tMg+mAtaaxwXTA2tPY +9NZTLzM8qGrI1UMsnbDONDl0A62yXsPrTsPDcfdNG95kGp2DZ2/Q8PrTYLx6 +w2lsMN680TQ2GJfeeBqbWnj+ZtPUhHH/zaexwbTcFtPYnlJ7ai+3nUYz0A5b +N7zVNLF0xpbT5FiLNZjdmvjkiBVjbdZgdmukW/RQe5tparPBeozrDN1RZ8q7 +pR12mOYd0xm00E4N7zgNpp12nsYG++9cdpnGRjPQDrs1vOs0mgCv7zS8xzQ+ +umH3aWLkyhGrht566mUGubRBd5oaNAMe32+4Nw3G7QfT2GA6YTiNTS8z6K0n +Do/LjxseTYPphsk0NphumE5j01tPvczAh/fPpomBaYH5NDaYjlhMY8OT8fp9 +nNVpNAWdsKe7No0Pz3/ANDF8ePde08Tg4Xj2/RreexqsplpsYsXwyXEWnWln +2ZlUm2544DQ9cHw8/uHO0jQagm54iLs2DafD7R7sLk2TywarwSdHrBhrtSZr +sWaaQw+1HzpNbTZYD71piUdMMwNMJzxyGhtMO+w7jY0GwPsf5y5NozNomUe7 +i9NgOmK/aWwwXv+YaWwwrv/YaWxq0QGPn6YmTBs8YRobTDc8cRobXo73P73h +p03D8+mEp7hb08Ti/U+aJkcvM5tVTz45YsXQDHjpM92taTi/Hmo/dZrabLAe +essRawa5uO2zpqmBN+PJr3IWp+HIuPIL3Z1pNAed8Rx3bRof3v+iaWL46Izn +ThNDY9AVz3d3psFqqsUmVgyfHL1x9QOnmUFtOuHF0/TA6c1otldMoyvokJe7 +i9PoCjrjpe7uNLlssBp8csSKsXZrshZ7QHPoofYB09Rmg/XANXFWXPXompVO +ePU0M+N8uN8HnY1pNAIe8Hp3bxpdQWu81t2cBovhYxOLO7xhmhy8jE54k7s3 +zVl2Zp1VZ5qPbnjzNDE0Ax7/FndrGo6Px7/L3ZhGQ9ANb3e3ponF/d86TY7e +eOAbp5mBT45YMXrpobaeNIcear9jmtpssB5qWYPZ1TQLbXHwNDPBdMO7p7Hh ++Hj9h92dafaSDbanfHj+R6aJoUPoikPc1Wl0Bl7+fndnGuydeBdsYsXwyfHu +vBPvwjs8qt7rR6fpQSPg/Z90d6aZldZ5zzQz0xh0xcfdvWli6YBPTZOjlrNy +6DQ1xaqplhy1rMHsaopVUy05fnv8xvht8RukNq3x6Wl60BC0xGfdzWk0AN7/ +JXdnGt1B23zeXZsG016HTWOD6Y4vTGOD6Y4vTmNTi5b48jQ1YTriK9PYYLz+ +q9PY8Hi8/9vu8jQ8nY74hrs1TSze/rVpcvQys1n15JMjVgyNQCt8x7uaRiPo +ofY3p6nNBuuB4+K6v/OupplFDblmwpnF8P1mGo6MK//YXZpGZ9Ae33d3p8F0 +xQ+mscF0xZHT2GC8/YfT2NSiA34yTU2YNvjpNDaYbvjZNDaawExm+fU0moQ2 ++aW7NE0srfHzaXLsBR303Wn2hE+OWDF81mB2MTSNHmr/aprabLAe9opu+P00 +ewbTEn+YxoYX4n1nevfT6BA64c/u1jSxdMkfp8mBxfCxicUD/zJNDg1BNxzl +Lk/DMXHNS+z9ND664OhpYugEuuEYd2MaXo73n+DsT6Mx6ITj3L1pYvH+Y6fJ +0RsX/es0M/DRBn+fJkYvPdTWc+XqofbxVXvlwnqoZQ1m/2vNQnecWDPBdMJJ +ZcOb8fyznP3ay/ULn1k+vP/siqFJ6JBT23PKNBoDLz+9PacVXrfexekVu3b5 +5DiLzrSz/MOqTUecUz1oBjrhwvZcULPSNifXzHgenXF+e86rWDrhospRCw88 +t2puWjUvqJw1ag2nVM2Nq+Z5leM312/vqGnP4Sy1t6x3r8dLKoZvMAtvpwuu +ac/V0+gM2uPy9lxWmNa5omwwnXFl2WC8/6qyqUVXXFs1YTrhurLBtMO/y4aj +4+o3t+emaTg/7n9De66vWLrjP5Wj144161Xl271ixdAUdMR/23PLNBqkW7Vv +rNqdwnrgyXjxGm0vVp9lln7lmumBFcO32iwaAW++pz13T/O7QYfc3p7bCtMh +d5QNpjvuLBtMd9xVNrVw8XurJkxH3Fc2mE5YYRbbA2oms6w6i6agLVauP3cQ +S1v4cwg59sLv2q21J3xyxIoZ1hpuq5h9qofaq8xSmw3Ww17REmvOsmcwnbDW +LDYcF8/bueGdZtEVdMO6Da8zSyxtsfYsObAYPjaxdMV6s+TgYLjYhg1vMIsG +dkadzUvLR0dsNEsMDUE3bNLwxrNoAjx/q4a3nIXn0xGbN7zZLLF4/6az5OhN +x6w/ywx8csSK0UsPtfWkQfRQe4tZarPBeqhlDWZX0yx0xdazzATTGtvMYsOT +8fpdG95llr1kg+0pHx6/2ywxdActsn3D282iOWiPHRveYRbsnXgXbGLF8Mnx +27B17eUlVZtW2H2WHjQBXt93N2aZlc7ZdpaZ6Qy6pNtwZ5ZY3xjfFjlq0SF7 +zFJTrJpqyVHLGsyuplg11ZLjW0YXjGf5psG0wmQWm2+q7+BhDX9+Ft1C18wb +ns0SS2tMZ8mBxfCxiaUrFrPk4Kl0wl4N7zkLp8QTn2Lts/hoib1niaEh8Pj7 +u4uz8HY8/3/clVl4Od3wwIYfMEssnr7PLDl648XLWWbgkyNWjF56qK0nTaCH +2g+apTYbrAeuipPiop+t2tZkLXrQGLTGQ93FWXgxnv4Yd2cWjswGP3oWnUF7 +PMLdnAXTFY+cxQbTFfvOYlML93/sLDVhumD/WWw4vz21l0+aRWOwwU+cZTa6 +5mGzzEiT0CZPcNdm2VtrMLs9Vpv2eNwsPcSqqZYctazB7GqKVVMtOd4tLfDU +Wd4xzUA7PN1dmYXj4Xqv8+5n0QS0wbMafuYssXTIM2bJgcXwsYmlG549S473 +Qhc8r+HnztJbT73MwEcnPH+WGBoBb3+huzYLp8fbX+5uzKIZ6ISXuHuzxOL6 +L5ol5zN1Dp4zywx8csSK0UsPtfWkMfRQ+6Wz1GaD9VDLGsyuplloiQNmmQmm +E14xiw2nx+Pf4K7MspdssD3lw+vfOEsM3UFHHOhuzqIr8PDXNPzqWbB34l2w +iRXDJ8dZpBsfNcuZVBtPO2iWHjQBnv9WZ2mWWWmbV84yM01BR/yvuzZLLN7/ +tlly1ML93jRLTbFqqiVHLWswu5pi1VRLjtncMXfLjGrTFm+fpQdMN7xjFhuO +j+u/312aRQOwwYfMojtom4PdxVkw7fXuWWww3fGeWWxq0QkfmKUmjLd/cBYb +no7Xf8zdmIWzs8EfnYVj4prfstZZeDod8RF3eZZedM17Z+mpNh7/oVl6iFVT +LTk0Aq3wCXdvllg11ZKjljVbq5pmkyPWjDQJ3fApd28WXoynf9HdmeW3gQ32 +G8GHyx8+SwzdQYt8xl2dRWPQFZ9z1mfBfmP8trCJFcMnx17g5d+eZU/Uphu+ +NEsPmoMu+Lq7Mgunt4f27huzaBRa5avu3iyxYvjkqEWLfHmWmmLVVEuOtVuD +2e2BWDXVkuPPMvwZhu/Ap2tWWuKIWWbGCfG+Pzlrs+hWZ8pZeucsmoF2+F7D +350ll075ziw1YDF8bGLxiO/PkkMz0Ak/cndm0Qh4+0/ctVk4Pd7+q4Z/OYsm +YIN/MctdMJNZ3Amago74ubs5Cw/UQ+0jZ6lNG/x0lh5i1VRLjlnMoLeZxKqp +lhyz40I/mGUNsB5qs5mV1vj1LDPj9Hj835zdWTg/G/yXWXy4/lGzxODZYvj+ +PIvGoDX+4KzPgr0T74KNbhHD97tZ3pUacr0zHB+P93eNf59F18gR+9tZZqVz +fjPLzLAYPjaaYoXKPXYWDUJ3HNPw/82icdjgo2fxyRErxtrE8Fnjaxftu96e +vZeNHy2jM+iG42f5O1E6grY4wV0qTMucWDaY1jqpbDQGXXFKe06eRSPQCqe7 +a+WjI06tmNUr5+SqgUPikjdae+XSFWdUDRwfL/9Xe84sjKufVTaYrji7bGvV +DKdVTxqAFji3PecUpiPOKxtMN5xftnWr55k1w4ZV45zqIZbWuKByaAha4qL2 +XDgLT8bbr2zPFbPoDjrikvZcXJiOuLRsMJ5+WdlgPPvysqlFC1xVNWE64eqy +wbTDNWXbvfbUXl4/iy6hQ/7dnusqlk65tnI2qzVcWGvauXKuq5gtag0X1xp3 +qx5q/6dq71ZYD98037aXzhsfmefd0hE31TumK+iOW9pzc2Fa5L9lg/3Zx61l +oynoiNvbc9ssmoA2uKs9d5aPbrijYoaVc1vV6FbPm2sGuXTE3VUDp8e7723P +PYVx8fvKBtMRK8xjm9QMd1ZPnB/3X6n5V5wH0w0rz2OD6YRV5rHNq+c9NQMf +LbHqPDEwnbDaPDaYdlh9HhuejNev1/C68+gMPHvNhteYx4fnrz9PDB8uvtY8 +MTg7nr1Ow2vPg9VUi02sGD45zqIz7SxfXrXxtg3m6YHT4+mbN7zZPLqErtik +4Y3n4VC41EYNbzhPLhusBp8csWKs1ZqsxZppEj3U3nSe2mywHnvVO7D33pFZ +aIct5pmJxqA1tmp4y3k0AN6/Y8M7zKNraJ1tGt56HkxnbDuPDcb7t5vHBtMC +289jU4uW2GmemjAdsfM8Nhiv32UeGx6P93fdjXl4Oh2xe8O7zROLt+86T45e +ZjarnnxyxIqhEWiFfsO9eTSCHmrvMU9tNlgPHBfXfZCzNc8sasg1E84shu8B +83BkXHne8GwenUF7jBoezoPpivE8NpiumMxjg/H26Tw2teiAxTw1YdpgOY8N +phv2nMdGE5jJLPvMo0lok/s1vPc8sbTGXvPk2As6aDDPnvDJESuGzxrMLoam +0UPt+89Tmw3Ww17RDQ+eZ89gWuJ/5rHhdLjds7z7eTQCXfAwd22eWLrkIfPk +wGL42MTiTQ+fJwevowv2bfiR85xdZ9TZdIb56IRHzRODw+Pd+7lL83B4vPwJ +DT9+Hh1CR+zvLs0Ti6s/Zp4cvXG3R8wzA58csWL00kNtPWkQPdR+3Dy12WA9 +1LIGs6tpFlrhifPMBNMVT5rHhqPj6s9x9ufZSzbYnvLh9c+dJ4bOoSue6m7O +ozNok6e7K/NoDO/Eu3jGPLFi+OT4Nvgm+Bb4RqhNOzxvnh40AR7/Yndrnllp +oSfPMzOdQpe80F2eJ9Zvnt86OWrRMc+fp6ZYNdWSo5Y1mF1NsWqqJQcXw8Fw +L5zMbykd8bJ5flPpCrrjAHdtHkyLvGIeG0y7vHIeG81DRxzo7s2jAWiB17o7 +8/johFfPEyNXjlg18Ebc8lCzz5NLN7xunho4ON79BndnHoyLv3EeG4z7HzSP +TS8z6K0njo/rv7nhN82D6YL/nccG4/1vmcemt556mUFtNeTqIZZWeOs8OTQF +bfF2d3Me3o2rv9fdmUfH0AnvdBfmwXj4u+axwXj4wfPYYNz83fPY1KIDDpmn +JkwbvG8eG0wHvH8eGw5uT+3lR+bRGbTIh9zdeWJphw/Mk2Mt1mB2a+KTI1bM +/6fBZpndGmkYPdT+8Dy12WA9nCVnyNlxprxbOuFj87xjuoHW+IS7NQ+mXT45 +jw2mEz41j+34OqufcXfn0QR4/efdrXl8dMNn54k5rs61WDX01lMvM8ilDQ6b +pwbNgMd/0d2bB+P2h89jg+mEL81j08sMeuuJw+PyX2n4y/NguuGr89hguuFr +89j01lMvM/Dh/V+fJwamBb4xjw2mI745jw1Pxuu/76zOoynohG+7i/P48Pwf +zBPDh3cfMU8MHo5nf9ddnQerqRabWDF8cpxFZ9pZdibVphuOnKcHjo/H/9xZ +mkdD0A0/cdfm4XS43Y/cpXly2WA1+OSIFWOt1mQt1kxz6KH2T+epzQbroTct +8Yt5ZoDphF/OY4Nph1/NY6MB8P4/ukvz6Axa5jfu4jyYjvjtPDYYr//dPDYY +1//9PDa16IA/zVMTpg3+PI8Nphv+Mo8NL8f7j3E35uH5dMJR7tY8sXj/X+fJ +0cvMZtWTT45YMTQDXvp3d2sezq+H2kfPU5sN1kNvOWLNIBe3/UfVwJvx5HOc +xXk4Mq58srszj+agM45318qH959SMXx0xj8rhsagK050dwqvXrVOrNhVy/fP +6o2rn1szqE0nnFo9NqgZzXbWPLqCDjmzPWfMoyvojNPbc1rlrl341PKtW7Gn +19pXqrXYg/Wrh9r/qtrrF9YD18RZcdVpzUonnFcz43y4363OxjwaAQ+4qD0X +zqMraI0L2nN+4c3Ld0HF4g4XVw5eRidc1p5L5znLzqyz+qvy0Q2XVwzNgMdf +2Z4r5uH4ePy/23PdPBqCbrimPVdXLO5/VeXojQdeUjPsUDlXV8y21eOK6rlz +9VD72qq9c+FrqtbWNfslNQtt8Z+aCaYbri8bjo/X396e22ovR4VvLR+ef0fF +0CF0xU3tuXEenYGX39KemwsP6l3cUrG98t1U727TehfnVW3v9c7qQSPg/fe1 +596alda5oWamMeiKe9pzd8XSASsskqOWs3JX1VxUzXsrZ49aw41Vc1Y1764c +tWiLFRepCdMNKy1ig2mJlRex0QB4/1oNr7mI7qBtVm14lUUw7bXaIjaY7lh9 +ERtMd6yxiE0tWmLtRWrCdMQ6i9hgvH7dRWx4PN6/ccMbLcLT6YgNGl5/kVi8 +fb1FcvQys1n15JMjVgyNQCts2vAmi2gEPdTecJHabLAeOC6u22l4j0VmUUOu +mXBmMXy7L8KRceVtG95mEZ1Be2zR8OaLYLpiy0VsMF2x1SI2GG/fehGbWnTA +dovUhGmD7RexwbTEDovYaAIzmWW3RTQJbbJLwzsvojFojZ0a3nGRvaCDNltk +T/jkiBXDZw1mF0PT6KH2rovUZoP1sFd0Q3eRPYNpid4iNrwQ73uYd7+IDqET +hg0PFomlS/qL5MBi+NjE4oGjRXJoCLph2vBkEY6Jaz7e3i/iowtmi8TQCXTD +ouH5Irwc77+/s78Iz6cT9mp4z0Vi8f7lIjl646LjRWbgkyNWjF56qK0nzq+H +2nsvUpsN1kMtazC7mmahO/ZZZCaYTnjAIja8Gc9/hLO/yF6ywfaUD+9/5CIx +NAkd8mB3cRGNgZc/xN1eBHsn3gWbWDF8cpxFZ9pZdibVpiP2XaQHzUAnPNbd +WmRW2uaBi8yM59EZ+7nLi8TSCfsvkqMWHvioRWqKVVMtOWpZg9nVFKumWnLU +8s69azW9e7rhCYucAb/Tfpe/1PDhi/B2uuDp7s4iOoP2eJK7twimdZ68iA2m +M56yiA3G+5+6iE0tuuIZi9SE6YRnLmKDaYdnLWLD0XH1FzT8/EU4P+7/XHdr +kVi649mL5OhlZrPqySdHrBiago54kbu2iAbRQ+3nLVKbDdZDbzlizSCXFnnx +IjVwaFz6IGdvEY2AN7/SXVpEk9AhL3V3F/Hh1q9aJIaPLnnZIjE0CN1xgLO+ +CFZTLTaxYvjk6I23v2mRGdSmMw5cpAeNYEazvWERjUFr+Dsif1dEY9Aar3E3 +F8llg9XgkyNWjLVbk7XYAxpHD7Vfv0htNlgPZwnX+/IiZ8qstMabF5kZ58X7 +PulsLKIz6Ii3uWuLaAxa4y3u2iJYDB+bWDrj7Yvk4GS42bvc7UXOtjPrrDrj +fHTFwYvE0BR0xHvclUU0At7/wYY/sAjvpyve5+4uEksHvHeRHL3pmncsMgOf +HLFi9NJDbT1pEj3Ufv8itdlgPdSyBrOraRY640OLzATTHh9exIY34/mfdncW +2Us22J7y4fWfWSSGDqFNDnVXF9EgtMjH3Z1FsHfiXbCJFcMnx7vzTrwL71Bt +2uGzi/SgEfD8L7o7i8xK93xkkZnpDjrlMHdvkVjfHN8aOWrRJZ9bpKZYNdWS +o5Y1mF1NsWqqJce/7ZzX34n6u1Fnj274yiJn0DfWd3G1ZeNfy+gYOucb7toi +OoP2+Jq7tggWw8cmls745iI5eCvdcIS7swjHxBuPsvZFfLTFdxaJoSnw+u+5 +i4vweLz/x+7GIjydjjjS3V0kFm///iI5euPJ31pkBj45YsXopYfaetIIeqj9 +w0Vqs8F64K44Km6Kw6ptTdaiB81Be/zUXVyEJ+Ptv3N3FuHMbPBvFtEdtMgv +3M1FMJ3xy0VsMJ3xq0VsatECv1+kJkwn/GERGw1gT+3lXxfRHGzwXxaZjc75 +2SIz0ii0yp/dtUX21hrMbo/VpkX+uEgPsWqqJUctazC7mmLVVEuOd0sbHL3I +O6YhaIlj3JVFOB/ud6F3v4hmoBP+0Z6/LxJLlxy7SA68QvmOrVg64rjK8V7o +hBPctUV666nX0eWjG06sGJoBjz/ZXVuE4+PxZ7bnjEU0BN1wmrtXsbj/KZWz +Up2D42uGNSvn1IpZrXqcVD3XqR5qn1611yl8WtVapWY/vmahLf5VM8F0w1ll +w/Hx+ovbc1Ht5RaFLywfnn9JxdAhdMW57TlnEZ2Bl5/fnvMKb1bv4vyK3aR8 +cpxFOvLXi5xJtfG2S6sHjUArXOUs1ay0ztk1M41BV1zRnssX0Qh0wDXtubpq +4YKXVc3tquaVlbNhreGcqrlN1by8cszmjrlbv67atMa11QOmI64rG86P+/+3 +Pbcsogn6hW9eRIfQOtdbe2Fa7IaywXTIjWVTi264tWrCePxtZcPb8fx72nP3 +Ihx+XviuRTgn7rlR+9ZuuAxvpyvubM8d1YvOual6qo3X3149plXzrsqhGWiH ++9pzb8VOqtbtVatXa72pZltW7D2VS0essEwNPBlvX6P979WX+W1gg/1G8OH2 +ay4TQ4fQJis1vOIymoPOWKXhlZfBfmP8trCJFcMnx17g6Rsvsydq0xFrLdOD +BqET1m94vWU4vj20dxsso1lol3UbXmeZWDF8ctSiTdZepqZYNdWSY+3WYHZ7 +IFZNteT4rfQb6LfvKzUrbbHJMjPjvHhfv+HeMjp2tzpL/15EU9AWmzffZsvk +0i2bLlPjsRXDxyaW7thimRwagm7YpuGtl9EMePx2DW+7DMfH43dpeOdlNAIb +vNMyd2HXmsWdoDHoih2bb4dleKAeam+1TG1aYftleohVUy05ZjGD3mZ6WtVU +S47Z8cotl1kDrIfabGalPXZdZmacHXcfNzxahvOzwcNlfHj+ZJkYPFsM32AZ +DUKLdBvuLIO9E++CjY4Rw7fHMu9KDbneGV6Px+/Z8HIZnSNH7O7LzEr37LbM +zLAYPjaaQA25i2U0DJ0xb3i2jE5hg6fL+OSIFWNtYvis0Sy0hX+rZiaagXa4 +3zL/hu33j22/0+05ZP/Gp/ePxqEbHth8D1hGd9A2+7iLy2AxfGxi8dQHLZND +M9AOD3H3luGMuOPzrHUZHx3x0GVicHo8/OHu3jKcH6/fz11eRkPg9fu6i8vE +4u6PWCZHb1z5wcvMwCdHrBi99FBbTzpBD7UftUxtNnjfuqPu6untOW2Z2tZk +LXrQHXTEY929ZTg77v5Ud2kZ3swGP3kZHUJXPN5dXAbTFU9Yxgbj7U9cxqYW +XfC0ZWrCtMLTl7Hh7PbUXj5nGU3ABj97mdlonf2XmZFOoUue5S4vs7fWYHZ7 +rDYd84xleohVUy05almD2dUUq6ZacrxbuuH5y7xjOoLOeKG7tAzHw/Xe5t0v +o1HphJe4O8vE0iYvWiYHFsPHJtZ38qXL5NAItMIB7vIyvfXUywx8dMMrlonB +4fHsV7lLy3B8PP717sIymgGPf427u0wsbn7gMjl6+1a/bJkZ+OSIFaOXHmrr +SRfoofZrl6nNBuuhljWYXU2z0BZvWGYmmG544zI2nB6Pf4e7ssxessH2lA+v +eucyMXQIHv5md3MZXo63v8VZXgZ7J94Fm1gxfHKcRbrvScucSbXxonct04Nm +wOsPcZaWmZXWOWiZmekUOuM97toysXj9+5bJUQvXOniZmmLVVEuOWtZgdjXF +qqmWnPP3bFyzPUfs1d7FXpnVnXPXzExH0BkfcHaX4fy4/yfcpWU0ARv8sWV0 +Du3zYXdxGUx3fGQZG0wHfHQZm1p0wyeXqQnj8Z9axoa34/mHuRvLcHg2+HPL +cE7c84f2bhneTld81l1ephftcegyPdXG6z+9TA+xaqolh2agHb7o7i0Tq6Za +cvTCg3+0TE+17YG16yGXjjh8mRp4Mt7+TWd7Gc7MBn99Gd1Bi3zZXV4G0xlf +WcYG0xlfXcamFi3wrWVqwnTCt5ex0QB4+w/chWU0Bxv8vWV89sxeiaFRaJXv +ulvL7LU9sHZ7rjYtcsQyPcSqqZYca7UGs1uzWDXVkmOvaIcfL7NnOBwu9w/v +fhlOu0LhY5fRtc6Qs/PBZTQDnfDzhn+2TC3a5ifL1KRZxPD9dJlYPOoXy+TQ +CHTBb9yVZTg7nv07d2sZzo6H/6XhPy+jEdjgPy1z9s1kFneALqEr/uguLsMj +9VD7V8vUxuV/v0wPsWqqJccsZtDbTGLVVEuO2XHBXy6zBlgPtdnMSjv8dZmZ +8XS8/Z/O6jKcfeXCx5UPtz+hYlasmOPqHdAYtMYxzvIy2DvxLtjoIjF8Ry/z +7fFN8C3wDcLZ/cb7bT91Gd0jR+xRy8xKB/1tmZlhMXxsa1YNuacso2PolpPb +c9Iymmb1wieWb82KPbnWtlr5rBHXoBvOWIZz0BF0xr/ac+Yy31TfwQe0b94+ +e0an0DXntOfsiqVNzqoceMPynVWxdMW5lUMT0AYXtOf8ZXgiLnmXtZaPTriw +YnBuPPvi9ly0DIfHy69szxXL8Hy8/bL2XFqxuPn/Y+kuozaqqjUM093d3d1v +92djImIHdsuxu7u7u7sbMUARQRrp7u6us67xzB97jHnmmrXXXuv9nhs8eknl +6I1LzqsZNqucSytm4+pxUfXcsnqofXnV3rLsy2qv1qq9OaNqb1jvogduwBVX +t+eqg6LT6fZb2nPzQdHhu5Z900HhGtxwXXuuLZsuv758bLr8hvKphQVurZps +uv+28u1be2ov7z4ojLFv2XfVbNjnmpoRd2CTO9tzR+3tNjX7lVUbW9xePfau +mndVznb1DtdWzT2r5h2V49vigvvqG+MEbPFAe+4/KJqOttu4na2NOuEEXLBs +s5fpJBY3PFg5bDHWHqxYLLFcJzmYABus2OwVOul9YPW6r9ZwwkqdxNDsdPUq +zV65E01Pt6/V7DU7YQS6ffVmr9ZJLC2+aic5euOS5TuZwZocsWL00kNtPXGA +Hmqv0UltPrYeankHs6tpFizhv3faTGyc4L+Dmo+Gp9s3bfYmnewlH9ueWqOj +NuskBnfQ3es3e71OdDidvmGzN+jE9k18Cz6xYqzJcRax4o11JtWmezbvpAdG +oOO3afbWncyKbdat/95sXIIrtmr2lp3E0vHbdpKjFi21RSc1xaqplhy1vIPZ +1RSrplpyzOaO3VQzqo0VtuukBxtXbN+Jj8an9fdo9u6dMAAfe7dOuAbr7NTs +HTuxccbOnfjYdP8unfjUwgl7dlKTTbfv1YmPTqfrD2j2/p1odj72fp1oTFrz +EfauE52OI/Zt9j6d9MIau3bSU206fu9OeohVUy05GAErHNTsAzuJVVMtOWp5 +Z++qptnkiDWjXNzQ6aQGXUynT5ztTv428LH9jbBGy087icEdWKTnbnfCGLhi +4O50Yvsb428Ln1gx1uTYC7r8kZ3sidq4YdZJD8yBCx7q7nai6e2hvXtYJ4yC +VZbctU5ixViToxYWmXdSU6yaasnx7t7B7PZArJpqyaE1/XPibxwUzWlWLPGo +Tmamaem85zpbnXCtM+Us7dCJJqANHuPudZKLUw7upAZbjDU+sXTVYzvJwQi4 +4JBmP6ETzU5XH+pudKLZ6fCnOfudMAIf+ymd3AUzmcWdwCW44snucie6Ug+1 +H99Jbdr9SZ30EKumWnLMYga9zSRWTbXkmJ02fFwn78DWQ20+s2KHp3cy89dq +X1/orHei8fnYz+9kzd6/qJMYulqMted1oslp8+e4O53YvolvwYeLxFh7Viff +Sg25vhkNT5e/wl3qhHvkiH1mJ7PioGd0MjNbjDU+jKGG3Jd1wjE45KXufidM +w8d+cSdrcsSK8W5irHlHZw0TfbPe22zY4ZWdzMjGGa/qxEfj0/pvctY7YQAs +8AZ3tRPOwTWvdjc7sbHOazrxsXHbazvxqYUT3txJTTbd/ZZOfHQ6Hf8ud7cT +zc7HfkcnupM2/by97ESnY4G3u5ud9MI9r+ukp9p0/Fs76SFWTbXkYAAs8B53 +p5NYNdWSoxfd+4VOeqptD7y7HnJxxns7qUGH0+4fdZc60d187A93inHcQXex +ExtXfKATH5tu/2AnPrVwwcc6qcnGCh/vxIcx6PDPOkudcAgf+9OdrNkzeyUG +g2CRT7nLney1PfDu9lxtbPiJTnqIVVMtOd7VO5jdO4tVUy059gorfLGTPaMR +6bpfOUud6EI+9i860Xi03lFqd8I1OOIr7lIntbDKlzqpyRZjjU8sHf3VTnIw +A3b4prPfCTPQ7d92dzrR6XT9D5v9g040Ox/7+538JvttXr3bNFg3uhxHfM9d +7oRR9FD7653Uptu/00kPsWqqJccsZtDbTGLVVEuO2bHA1zp5B7YeavOZFWf8 +qJOZaXo6/rfOdie6mY/9607W6KrfdRJj78VY8w1wCV3+c2e5E9s38S34cIoY +az/t5Czixg91ciYxAh1/pLvTCdPIEfuTTmbFRj/uZGa2GGt8mEMNuX/qRGdh +mT+6651oLj727ztZkyNWjHcTY807ms0dc7fMaDZnylkyo7OFM/7ayRnDAHT/ +se5SJ7qej/3PTrgE6/zdXezExkL/6MTHxiFHd+JTC0v8u5OabBxxXPlodtr9 +pPac2AkzrFT2fzvRqHTnZfaqE81Ou5/QnuM76YU7jumkp9o44T/VY4Wq+d/K +wRS44ZT2nFyxy1ctOWp5Z+96TM22SsWeVLnY49SqQSPTyuc4y51o8HXLPqvW +6PpzKwaDYJHT23NaJ5yCO/7nrJeNO84s3xoVc0bl2Ata/PLaE7Wxw3nVA5fg +kIvbc1EnzLB57d0lnXAHbrmwk3/OumnFXFI5auGS86vmxlXzospZrd7htNqD +DavmBZXjt4GuW6Ob3wiz4oorama6l859oD33d3L2nClnyRnEKDji6vZcVbnY +5MqqsXXFXFU+sTjjmsqh+3DDDe25vhNmoONvas+NnTACHX9He27vhCH2LPu2 +TjSq3zi/bat2o9uxyq3tuaUTHbdj1b6uatP1N1eP3avmbZWzU81wY80kdreq +dXPNThdeW++wffW4rnxmxRF31sw0O+2+XJtt2W50Mx97mW7W6Pzlu4mhqcVY +e7ATDsId97Xn3rIPrG/Bt1/FWLunvpUaD9Y3m9Ye2ZtVumGg/Sr27poV+9xV +M7P3rTW+SdWQu3I3TINtVmr2it1wCh97hW7W5IgV493EWPOO/l2nf4eJ6/2z +AmcPW6zZzRn0G+t39dViu9F4tN6+zd6nG07BMus0e+1ucnHLWt3UYIuxxicW +J63bTQ5mwA4bNnuDbpiB7t642Rt1o9Pp+C2bvUU3mp2PvXk3/9yaRqQNj+hE +p2OBzdrapt0wih5qr99NbTp+k256iFVTLTlmMYPeZnpc1VRLjnenhffrZg+8 +C45ar5t3MiuO2Kqbmel2On7XZu/SjS7nY+/cjQ7nY+/UDadgn+2avW03Ns7Y +vhufWthgt25qYgrfxLfYuxvu4GPv1Q3zqKnWNt3MhnW27mZGthhrfN7Fntkr +74QzsMqezd6jG+bgY+/ezZqeeokxmxhrZsQIWOGAZu/fjaaj7R5jr7vRtHzs +g7vZWzli7TFmwAmdZh/UTS2scmA3NdlirPGJxRbdbnIwAi4YOvvdaHa6euzu +dKPZ6fCHNHupG0bgYy+6YRVn7Iiqi1Fwxbytzbo5d3qo3e+mNu0+6aaHWDXV +kmMWM+htJrFYZ9pNzqvqHPe6eQe2HmrzmRU7PLSbmWl4uunxzmo3Gp+P/dhu +1uiWJ3QTY+/FWPMNaHLa/FG+fTe2b+Jb8OEiMdYe0c1ZxJE7dHMmaXi6/MnO +UjfcI0fsw7uZFQc9rJuZ2WKs8WEMNeQ+qRuOwSGHuvvd6C4+9iHdrMkRK8a7 +ibHmHc2Ga3fsZka2O+Zu8ZkVSzylm5npfLr+ec52N5qfj314N0zAx35ON9yD +c56hVzc29nlmNz616Oznd1OTLqfbX+psd6PR+dgv7kaj0+ovd7a70aV06Dvt +ZTc6lI/99m564cRnddOTjscKL/Jb0I2m52O/oJs1PfUSYzYx1syoFo56djc1 +2d7Zu/KZ3Yxm8w50Ox3/eme9G13Ox35tN2u0/hu6iaHLxVh7TTcchDOOcNa7 +sf2N8beFD9eIsfbKbvaCNn9XN3uCOejyt7kL3TCQHLGv6GYv+dj2VKw9tHdy +cIwact/SDbNglze7q91wIx/7jd2syRErxruJseYd/W30jt7N30iajrb7srPU +je6lc79ob7vR+HT9h9zNbrjXmXKWntYNU2CL9zb7Pd28O5Z5dzd7wBZjjY9m +p7M/4q50o9np8E81+5PdMAIf+xPd9JYj1gzuAu5+ajd3gm0ms/CZBde8r5uZ +cAwO+bi7243O52N/tJs1PfUSYzYx1sxIp9oD7/6BbmrTre/vpgdbjDU+Gp6W +/7qz3Y2O52N/tRuNTTf7zzp8pxuNL8baV7rhINzxeXenG9s38S34fCs5Yn0z +38o39O18M0ygh9rf7oZB+Njf6mavsc+nu9lzNk76TDc+3GQGvT/bzRofW4x3 +wznf6OYd2djnm9342Hrqxed/69P/pqf/nRz/2zy4AVd8v5v/zMdbe+2ct+eh +/fb3oR9uwTk/cVa7YRSs8iN3rxtbjDU+sTjjp93kYASs8At3uxvdSFv+17t0 +s4YbftlNDA1Od//a3epG09Ppf2z2H7rR/XT879zlbmJp9d90k6M3TvlZNzNY +kyNWjF56qK0nLtBD7d93U5uP/bu6w+7yvO3JrJfa3sm76IEjcMaf3bVudDsd +f4y71Y0u52P/oxvOwRFHuRvd2HT6X7vxsen0v3XjUwsb/LObmmwc8K9ufMvX +ntrL47thjuXL/k83s2GhI7uZEYdglePc7W721juY3R6rjTWO7abHslXzP5Wj +lncwu5pil6lax9a3xQkn1jfGDVjjZHenG51IS17t23fDeTj1tPacWrHY5pTK +WbViTi2fWFxxeuVgAmxwZnv+V71Xrl4n1hpOOKtiaGy69Bx3oxsNT5df1J4L +u9H5dPv57TmvYmnZcytHb1xyRs2wfuWcVzHrVI+zq+dG1UPtC6r2RmWfX7XW +qtnPqFmwwsU1ExtXXFI+Gp5uurY919Reblf21bVGt1xXMTgHR1zensu60ex0 ++pXtuaLsbepbXFmxW9WaHGcRO/69mzOpNh10ffXAEHT6Le25uWbFQpfWzLgE +q9zUnhsrlra/tXLUoq1uqJq7VM2bK2fzeofLquZOVfPGyvHb5Z+N/KCb3zCz +unPumplxBq64vT23daPxaf0H2nN/NwxwYNn3dcM9WOiu9txZNk67u3xsXHFP ++dTCCQ9WTTbdvUwvPjqdjl+p/d8r9qLZ+dgr9KI7adMtmr15LzodCyzf7OV6 +6YVl7q2eatPxy/bSQ6yaasnBAFhglWav3EusmmrJ0Yvu3bKXnvvXHtxXPTAJ +zlitra3aiw6n3ddr9rq96G4+9jq9cAnWWaPZq/di44o1e/Gx6fa1evGphQvW +76UmGyts0IsPY9DhmzV70144hI+9SS9r9sxeicEgWGTjZm/Uy17bA+9uz9XG +hhv20kOsmmrJ8a7ewezeWayaasmxV1hhq172jC6kFTvNPqgXjcjHPrAXjt27 +zs4d3TACXbRtW9uml1pYZeteah5WMdb4xNJN2/WSgwno9J2avWMvGpuu3qXZ +O/eiwWnxvZq9Zy/MwMfeo5ezv1fN4g7gEGyye1vbrRfdqIfaO/RSm3bftZce +YtVUS45ZzKC3mZ5TNdWSY3bab/te3oGth9p8ZsUWe/cyM81Ou/ed1V50Ox+7 +28saXTzoJcbei7HmG2AKbHGAs9yL7Zv4Fnw4SYy1/Xr57fGb4LfAbxAN7m+8 +v+3TXjhHjth9e5kV9+zTy8xsMdb4Xl815E564RQsM272qBddz8ce9rImR6wY +7ybGmnekNXDCohfNgRuwxkPcrV5+U/0O/rjZP+qFS7Ddw5v9sF5iccpDe8lh +i7HGJxYHPaKXHAyABQ52F3vRjHTii71rL2t0+6N7iaGx6erHunu9aHLa/NBm +P7EXXY4LnuAu9xJLiz+ulxy9ccgje5nBmhyxYvTSQ209cYYeah/SS20+th72 +yh7ZG3umtnfyLnrgChxxmLvXi2an3Z/tLvWiq/nYz+yFc+jwp7qLvdh0+NN6 +8bHp7qf34lOLzn9OLzXZtP/hvfhocntqL1/YC3fwsV/Qy2xY58m9zIgjsMfz +3eVe9tY7mN0eq40znttLD7FqqiVHLe9gdjXFqqmWHN8WC7ykl2+MQ7DJy9yl +XjQdbfde374XJvB39ZXNfkUvsVjp5b3ksMVY4xPrb/GresnBBNjg1e5yL731 +1MsM1nDCa3qJodnp6te5S71oerr9Lb51L4xAt7+x2W/oJZYWf30vOXrjkiN6 +mcGaHLFi9NJDbT1xgB5qv6mX2nxsPdTyDmZX0yxYAieZiY0TMBMfDU+3v99d +6WUv+dj21Bod9YFeYnAH3f1Od7MXHU6nv9tZ7sX2TXwLPrFirMlxFrHgM3o5 +k2rTPR/spQdGoOM/5iz1Miu2eXsvM+MSXPERd62XWDr+473kqEVLfaiXmmLV +VEuOWt7B7GqKVVMtOWZzx9wtM6qNFT7RSw82rvhkLz4an9b/krvUCwPwsb/Q +C9dgnc+4i73YOOOzvfjYdP/nevGphRO+3EtNNt3+lV58dDpd/y13oxfNzsf+ +Ri8ak9b8vb3rRafjiK+7y730whqf76Wn2nT8V3vpIVZNteRgBKzwHXevl1g1 +1ZKjlnf2rmqaTY5YM8rFDd/tpQZdTKf/1Nnu5W8DH9vfCGu0/M96icEdWOT7 +7nYvjIErfuju9GL7G+NvC59YMdbk2Au6/A+97InauOHnvfTAHLjgN+5uL5re +Htq73/bCKFjlV+5aL7FirMlRC4v8opeaYtVUS4539w5mtwdi1VRLDq3pnwuv +3YvmNCuW+GMvM9O0dN6pzlovXOtMOUuf6kUT0AZHunu95OKUP/VSgy3GGp9Y +uuovveRgBFzwd3exF81OVx/tbvSi2enw45z9XhhhmbKP7eUumMks7gQuwRX/ +cpd70ZV6qP3XXmrT7sf00kOsmmrJMYsZ9DaTWDXVkmN22vCoXt6BrYfaR9Ws +2OE/NfOata//c3Z70fhrln16rdn7Mytm9Yqxdlovmpw2P8ndKRurnFy+FSvG +2n/rW61eub4ZDU+Xn+8u9MI9K1bsCTUrDjq+Zl6+Yk4o3/pVQ+65vXAMDjmn +PWf3wjTrln1Wra1fsefUu61Ta97RWcNE69Sa2bDDBTUjG2dcWD4an9a/qj1X +9sIAW5d9RS+cg2suac/FZWOdS8vHxm2XlU8tnHB11WTT3deUj06n429qz429 +aPady76hF91Jm67Yb/vUj07HAte357rqhXsur55q0/HXVo8dq+YNlYMBsMAt +7bm5YneoWtdWL7p3pX56bll7cEX1kIszbq0adDjtfm977ulFd+9X9t29Ypz2 +3N6e28rGFXeUj02331k+tXDBfVWTjRXuLx/GoMOXb7Mt1w+H8LGX7WfNntkr +MRgEiyzT7Adrr3etd7+pamPDB6qHWDXVkrN7vcNt9c5i1XywcuwVVli5nz2j +Eem6bZq9dT+6kI+9VT8aj9bbQ+1+uAZHrNbsVfuphVVW6acmW4w1PrF09Or9 +5GAG7LB2s9fqhxno9nWbvU4/Op2u37jZG/Wj2fnYG/bzm+y3+Y3NfkM/uhxH +bNDs9fthFD3UXrOf2nT7ev30EKumWnLMYga9zSRWTbXkmB0LrNHPO7D1UJvP +rDhjk35mpunp+O2bvV0/upmPvW0/a3TVDv3E2Hsx1nwDXEKXb9nsLfqxfRPf +gg+niLG2eT9nETfeVWcSI9Dxu7W1XfthGjliN+tnVmy0aT8zs8VY43t21ZC7 +Sz86C8vs3Oyd+tFcfOwd+1mTI1aMdxNjzTuazR27u2Y0mzPlLJnR2cIZe/Zz +xjAA3X9gsw/oR9fzsffvh0uwzt7N3qsfGwvt04+PjUP27cenFpY4qJ+abBzR +6cdHs9Puo2YP+2EGPvagH41Kdz7BXvWj2Wn3vrvcTy/csV8/PdXGCd1+eohV +Uy05mAI3TJo97idWTbXkqOWdvauaZpMj1oxysce0nxo0Mq38cGe5Hw3Ox/bv +XKzR9Y/oJwaDYJG5u9wPp+COJWe9Hxt3+Hc1fGLFWJNjL2jxQ/rZE7WxwyP7 +6YFLcMhj3eV+mMEe2rvH9cMduOXR7mI/sWKsyVELlzyqn5pi1VRLjnf3Dma3 +B2LVVEuO3wa67k39/EaYFVc8sZ+Z6V4692XOVj9nz5lylpxBjIIjDmv2k/rJ +xSaH9lODLcYan1ic8eR+cug+3PB0d70fZqDjn+nu9MMIdPzzmv3cfhiCj314 +PxrVb5zfttf3o9uxynPczX50nB5qP7Wf2nT9s/rpIVZNteSYxQx6m0msmmrJ +MTtd+JR+3oGth9p8ZsURz+9nZpqddn+Vs96PbuZjv6KfNTr/iH5iaGox1l7e +Dwfhjpe4O/3YvolvwYdrxFh7UT/fSg25vhkmsEf25nX9MJAcsS/sZ1bs84J+ +ZmaLscaHWdSQ+9p+mAbbvMbd74dT+Nj/18+aHLFivJsYa97Rvyvw7zBx/Q+K +Q7DFm/s5g35j/a5eLLYfjUfrfcPs/XAKlnlbs9/aTy5ueUs/NdhirPGJxUlv +7ycHM2CHd7s7/TAD3f1ed60fnU7Hf9jd7Uez87E/2M8/t6YRacOLetHpWOAD +7mY/jKKH2u/spzYd/75+eohVUy05ZjGD3mY6qmqqJce708Lf7GcPvAuOekc/ +72RWHPGRfmam2+n4zzvr/ehyPvZn+9HhfOzP9MMp2OcT7mY/Ns74ZD8+tbDB +F/qpiSl8E9/ia/1wBx/7q/0wj5pqfayf2bDOR/uZkS3GGp93sWf2yjvhDKzy +FXe/H+bgY3+pnzU99RKDIcVY+2I/jIAVvu2u9KPpaLsj7XU/mpaP/ad+9laO +WHuMGXDC99zFfmphle/0U5MtxhqfWGzx/X5yMAIu+LG70Y9mp6t/6m70o9np +8F83+1f9MAIf+5f9sMomdbbUxSW44hdt7ef9nDs91P5hP7Vp95/100OsmmrJ +MYsZ9DbTFVVTLTkXVq8f9PMOF9XZVpvPrNjhN/3MTMPTTX91VvvR+Hzsv/Sz +Rrf8rZ8Yey/Gmm9Ak9Pmf/Tt+7F9E9+CDxeJsfb7fs4ijvxUP2eShqfL/+Us +9cM9csT+rp9ZcdBv+5mZLcYaH8ZQQ+4x/XAMDjna3e9Hd/Gx/97PmhyxYryb +GGve0Wy49tP9zMh2x9wtPrNiiWP7mZnOp+tPdXb70fyrln1yP0ywStkn9cM9 +OOd4vcrGPieUTy06+7SqSZfT7We356x+NPo6ZZ/Zj0an1c91V/rRpXTojfay +Hx26U9nXVy+c+N/qScdjhf+154x+NP2aZZ9ea2tXr//VbGvU2mlVC0edWDVX +qnc+qXzr1ozn1DvQ7XT85e25rB9dvkXZl9YarX9FxWxWMdYu6YeDcMaF7bmg +bH9jLirfhhVj7fzaC9r8ptoTzEGXX9eea/thoA0r9rzayw3KPrdid6y9k7Nd +1ZB7TT/Mgl2ubs9V/XDjNmVfWWvbVezV9W5b15p33LTe0bv5G0nT0XarDtq5 +GUT30rkrN3ulQTQ+XX9Xe+7sh3udKWfpuH6YAlvc2p5b6t2xzM21B7tWzC3l +o9np7Hvac3c/mp0OX6b1erAfRuBjP1C9961YM7gLuPvf/dyJZWqm48pnFlxz +W82EY3DI/e25rx+df0DZ99baQdXr/ppt/1ozI526d737HVWbbr29euxZMXeU +j4an5dds77PGIDqej736IBqbbl6/2esNovHFWFttEA7CHSs2e4VBbN/Et+Dz +reSI9c0m9Q19O98ME+ih9rqDMAgfe51B9hr7LDvInrNx0nKD+HCTGfRefpA1 +PrYY74Zz1hrkHdnYZ+1BfGw99eLzrlhhg0HemY0rNhzEx8YaGw3iwyTYZJNm +bzyIjWU2HcSHgXDF5s3ebBAmwAZbNXvLQdZwwxaDxMiVI1YNOpLWHHi3QXJx +xNaD1KDJ6fBtm73NIDZtvt0gPjYW2H4Qn15m0FtPmp/237HZOwxi44SdBvGx +ccDOg/j01lMvM6ithlw9xGKHXQbJwRhYY7dm7zqIDqfd9232PoNwDW7Yo9m7 +D2LT5XsO4mPT5XsN4mPT6nsP4lMLF+w3SE02Vth/EB8bFxwwiI8mt6f2sjcI +d2CTTrMPGiQWSxw4SI538Q5m907W5IgV4928g9m9I6bRQ+3uILX52Hr4XfPb +94tm/3yQb4sbhoN8YxyBPcbu0iA2lpkM4mNjv+kgPgyLI+bu2iAMgAUe0uyl +QdZwwmKQGLlyxKqht556mUEubnjoIDVobjr14e7KIDbt+ohBfGza/5GD+PQy +g9560vi0/sHu1iA2Lnj0ID423f+YQXx666mXGaxhg8cOEsPGEY8bxMfGFo8f +xEeH0/FPdlYH4RiccIi7OMgaXfWUQWKs0elPHCSGRqfVn+SuDmKrqRafWDHW +5DiLzrSz7EyqTRc9dZAeNDqtfri7MAiHYJNnumuDaCba6enu0iC5fGw1rMkR +K8a7eifv4p0xClZ5trs3SG0+th6+jW9g730js2CL5w4yE6bAFs939wbR/HT+ +y9ydQTgH+7zQ3RvExmUvGsTHxhEvHsTHxhYvGcSnFnZ4+SA12bjhFYP42HT4 +Kwfx0e10/WudzUF0Oe3/f+7uILF0+qsGydHLzGbV05ocsWJoftr/9e7SIEyg +h9qvHqQ2H1sPOpcW/pC9HmQWNeSaiQYWY+0Dg+hsWvztzu4gHIJt3uRuDmLj +iDcP4mPT6W8ZxMem0986iE8tLPCOQWqyccI7B/GxscO7BvFhDjOZ5f2DMAf2 +eK+7Nkgs9nv3IDn2Aie9YZA9sSZHrBhr3sHsYnCOHmq/b5DafGw97BVO+PAg +e8bGDh8ZxEcn0o7fdRYGYQI66OPu1iCx2OSjg+SwxVjjE0snfWKQHDoOB3za +XRnk7DqjzqYzbI1u/8wgMTQ3nf05d28QTU6bf6XZXx6EO7DIF5v9hUFiafPP +D5KjN632yUFmsCZHrBi99FBbT0yih9pfGqQ2H1sPtbyD2dU0C5b46iAzsXHE +1wbx0el0+/fdlUH2ko9tT63R9j8YJAb34IhvupuDcAWd/m13ZRDbN/Et+MSK +sSbHb4PfBL8FfiPUprt/OEgPzEGX/8zdGGRW7PP1QWbGIVjlJ+7aILH+5vlb +J0ctWv5Hg9QUq6ZactTyDmZXU6yaasn547Cd6fa8a9TO/yh/S3HDLwf5m4oj +sMev3b1BbFzym0F8bKzy20F8uA/3/N5dHkTz0/5/0muQNVzwh0Fi5MoRqwYN +STeeaS8GyaXj/zxIDZqbzv6LuzmITXsfNYiPTav/dRCfXmbQW08MgSX+3uy/ +DWLT+f8YxMem/Y8exKe3nnqZQW015OohFkscM0gOhsAS/3L3BtHRdPt/ne1B +uIbu/re7OIhNdx9XPjad/Z/ysWnv48unFhY4sWqy6f6TysfGAieXb83aU3t5 +xiDcgDVOc7cqFkecUjnexTuY/ZhaW61ixXg372B277hG9VD79Kq9Rtl6OEvO +kLPzy/q22OCs+sa4BKuc056zy8YJ55aPjRPOKx9GwAoXtOf8QZiArr+4PRfV +Gm64sGI2qJzzq8Y61fPsmkEuNrikamAGOv6y9lxaNm1/efnYOOGK8m1cM1xU +PWl4Wv6q9lxZNm64unxs3HBN+TarnpfWDNbo/msrho0FrisfG0dcXz46ma6/ +rT23DsIUOOHG9txQa3T+7RVjje6+qWLocDr7lvbcXPbuVeuWit211uQ4i860 +s3x81cYNd1QPGp+Ov7899w3CELjhnvbcPYimo+3uas+dlbt32XfU2r4Ve1e9 +6071Lt55/+qh9r1Ve/+y76neWOKBmoGNEx4sHxs7LDOMDwPQ/Su3/3ulYTgD +yyzX7GWHsXHE8sP42HT9CsP42LT+isP41MIBqwxTk40NVh3Gx8YNqw3jo8vp +/nWavfYwOh8nrNnsNYaJpftXHyZnVDObVU9rcsSKwQx06XrNXncYza+H2msN +U5uPrYfecsSaQS5tu/4wNehmOnmHZm8/jEamlTdr9qbDMAfO2LDZGwyzRvdv +PkyMNZyx0TAxGANXbNLsjYex1VSLT6wYa3L0ptV3HGYGtXHCFsP0oOnNaLbt +huEKHLJNs7cehitwxlbN3nKYXD62GtbkiBXj3b2Td7EHmEMPtbcdpjYfWw9a +k2alVd9as+KEnYaZmeaj/WbOwjCMQAfs3uzdhuEKrLFLs3cehmnEWNt1mFja +YY9hcugynLB3s/ca5iw7s86qM20NN+wzTAxmoOP3a/a+w2h8Or7b7M4wDIEb +Dmz2AcPE0v77D5OjNx245zAzWJMjVoxeeqitJ+bQQ+2DhqnNx9ZDLe9gdjXN +gi16w8zExg39YXw0Pl2/cBeG2Us+tj21RucvDRODQ3DFyN0chjPo8kmzx8PY +volvwSdWjDU5vp1v4lv4hm+p7/qQYXpgBLr/ke7aMLNincEwM2MMXPFwd3uY +WBzwqGFy1HJWHjpMTbFqqiVHLe9gdjXFqqmWHH97/I3xt+WKqo01Dh6mB4bA +Eo9x94ZhALr/UGd/GO7ANo9zF4exsdfjh/GxcccThvGxccchw/jUwhJPGqYm +G0ccNoyPTdc/eRgfHU/3P7PZzxhGp+OIp7m7w8TS7U8ZJkcvM5tVT2tyxIrB +CFjh2e7OMIygh9pPH6Y2H1sPGpfWfbVvNcwsasg1E80sxtoRw2hkWvlF7tIw +nIE9nuvuDmPjiucN42PjiucP42PT7S8YxqcWDnjxMDXZ2OAlw/jYuOGlw/gw +gZnM8qphmASbvMJdGiYWa7xsmBx7gYOeM8yeWJMjVow172B2MZhGD7VfOUxt +PrYe9go3vGaYPWNjidcO46ML6b6POQvDcAhOeIO7NUwsLnndMDlsMdb4xNKB +bxwmB0Pghre4y8NoTFrzS2YfZg0XvHWYGJyAG97ubgyjy+n+9zb7PcPofJzw +Lnd1mFi6/x3D5OhNi75pmBmsyRErRi891NaT5tdD7XcPU5uPrYda3sHsapoF +d7xvmJnYOOH9w/joZjr/E+7KMHvJx7an1uj+Tw4Tg0lwyIfczWEYgy7/iLsy +jO2b+BZ8YsVYk+MsOtPOsjOpNo741DA9MANO+Ly7Mcys2OYDw8xM5+GMz7pr +w8TihC8Mk6MWHfjpYWqKVVMtOWp5B7OrKVZNteT4m+tv75XtuWKY2s6Ab6/H +lhVj7fJhdDsu+Ja7NAxnYI+vuovD2Fjna8P42Djj68P42HT/N4bxqYUrvj1M +TTZO+M4wPjZ2+O4wPhqdVv9xs380jOan/X/gbg0Tizu+N0yOXmY2q57W5IgV +gylwxE/dtWEYRA+1fzhMbT62HnQyXfw332qYWdSQayYaWoy1o4ZhBLr5t+7S +MH83cMgv3N1hbBzyy2F8bNzxq2F8bNzx62F8atHivxumJhtH/H4YHxsn/GEY +HyYwk1n+MgxTYIs/D/PPHcRiC/8cQo698HftZ8PsiTU5YsVY8w5mF4Np9FD7 +yGFq87H1sFdY4u/D7BkbJ/xjGB+NS+ed6ywMwxW44Z/u1jCx2OLoYXLYYqzx +icUV/xomhwajxY5rz7+HYWBn1Nn8cq3hiP9UDIbADSe4G8MwAZ1/antOGUbn +44iT2nNixdL9/60cvXHMscPMsGLlnFgxy1WP46vnKtVD7ZOr9ipl66HWMjX7 +sTULrjitZmJjjdPLRyfT9ee357zayw3KPrfW6PgLKgZ3YJEz2/O/YZgDe5zd +nrPKXq++xdkVu06tyfG3wZ7ayy9VbaxwYfXABHT9Ze25tGbFOWfUzDgDl1zS +nosr1m/M5ZWjFg65qGpuVjUvrZw16x3+VzU3qZoXV47fMlxw1TC/aWyscHX5 +/Kb6HXzcqOmvUbgF11zXnmsrFmtcUzns7WvtmorFFddXDp2KHW5qz43DaEo6 +ca1We81RGAJL3NKem4dhCDr+tvbcOoxup/Pvac/dw+hy3HBne+6oWDr99srR +my6+oWbYs3LuqJjdqset1XOf6qH2XVV7n7L1oFVpUlr0MVV753oXPTAG1riv +PfcOo4vp9BXbu60wikbmYy8/Cmdgjwfb80DZuGKZUXxsXLHsKD61aP+VRqnJ +xgUrj+Jbqj21l2uMwhh87NVHmQ3X3F8zYhJsslpbW3WUvd2/Zr+namOPVUbp +IVZNteSodVDNfn/FqqmWHN8WC6w9yjfGDNhh3WavM4rGo/V28+1HYQJssEGz +1x8lFoesN0oOW4w1PrG4YcNRcnwXXLBJszcepbeeepnBGk7YdJQYjEC3b97s +zUbR9HT7ts3eZhRmwAlbNXvLUWJp/S1GyXl0nYONRpnBmhyxYvTSQ209MYYe +am89Sm0+th5qeQezq2kWLLHdKDOxccL2o/hoejp+j2bvPspe8rHtqTW6fs9R +YnAHjtip2TuOwhV0+C7N3nkU2zfxLfjEirEmx1nEjcuNcibVptP2GqUHJqDz +93eWRpkV2+wwysyYAkfs2+x9Roml+w8YJUct2m/vUWqKVVMtOWp5B7OrKVZN +teSYzR1zt8yoNrY4cJQebNxw0Cg+Gp/Wn7hLozAAH3s0Cndgm16zu6PY2Ks/ +io+NOwaj+NTCCdNRarLp9tkoPjqdrn+YuzGKZudjP2QUjUlrPt3ejaLTccSS +uzxKL1wzHKWn2nT8fJQeYtVUSw5GwAqPcPdGiVVTLTlqeWfvqqbZ5Ig1o1zc +8MhRatDFdPoTnO1R/jbwsf2NsEbLHzJKDO7AIge726MwBq54jLsziu1vjL8t +fGLFWJNjL+jyZ4yyJ2rjhieO0gNz4IKnuLujaHp7aO+eOgqjYJXD3LVRYsVY +k6MWFjl0lJpi1VRLjnf3Dma3B2LVVEuOf5bhn2H4HTi4ZsUSzxxlZpqQ7nud +szUKtzpTzlJnFGbADs9x90bJxSnPGqUGW4w1PrF0xOGj5GAGnPACd3EURqDb +X+RujKLp6fZXOPujMAEf+2Wj3AUzmcWdwBQ44qXu8ig6UA+1nzdKbWzw4lF6 +iFVTLTlmMYPeZhKrplpyzE4LPXeUd2DroTafWbHGK0eZmaan49/krI+i+fnY +bxhljdZ/8ygxdLYYa68fhTGwxmvcnVFs38S34MMtYqz93yjfSg25vhmNT8f7 +d43vGIVr5Ig9YpRZcc6rRpmZLcYaH6ZQQ+7bR2EQ3PE2d38UxuFjv2WUNTli +xXg3Mda84/JLTTu054T2HL8UzsAN7x7l34niCGzxXmdzFBvLvG8UHxtrvX8U +H8bAFR90l0dhBKzwEXdtlDUc8aFRYuTKEasGDUlL/tC7j5KLKz46Sg0any7/ +uLs4ik2rf2IUHxtXfHIUn15m0FtPDIAFPu1uj2LjiM+M4mPjhs+O4tNbT73M +oLYacvUQizU+N0oOhsASX3DXRtHJdPvXneVRuANHfMndG8XGEV8excem078y +io9NZ391FJ9aWOAbo9Rk44RvjuJjY4dvjeKj4e2pvfz+KFyCQ77r7o4Si1O+ +PUqOd/EOZvdO1uSIFePdvIPZvSPm0EPt741Sm4+th980v21bjZuGGefb4ogf +jfKNcQXu+Im7NYqNRX46io/tn338bBQfpsARv3A3R2ECbPBrd3eUNdzwy1Fi +5MoRq4beeuplBrk44jej1KDp6e7fuVuj2LT470fxsbHGH0bx6WUGvfWk+Wn/ +Pzf7T6PYuOHIUXxsnPCXUXx666mXGaxhiaNGiWHjhL+O4mNjh7+N4qOT6fpj +3Y1ROIPO/oe7Nsoanf/vUWKs0eJHjxJDs9PZ/3TXRrHVVItPrBhrcpxFZ9pZ +dibVptuOqx40PZ1+srswCpfgiv+6W6NoKFrqeHexcpcr+7haW6FixXhX7+Rd +vPNK1UPtE6v2SmXrgfF8A3v/x5oFO5xSM2EMrHFae04dhQHo/nPcnVG4Buuc +0Z7Ty8YZ/ysfm+4/s3xsLHBW+dTCEudWTTaOOK98bLr+/PLR8XT/pc7mKDod +R1zUngsrlm6/oHLWqZnPrp4bVc6FFYMRsMLl7blsFEbYrGpfXLU3LVsPGpfW +vas9d9YsW1SumfaqGGt3jKKRaeXr23PdKJyBPa5qz5Vl44qry8fGFdeUj023 +X1s+tXDADVWTjQ1uLB8bN9xUvj1rJrPcPgqTYJNb23NLxWKNmyvHXuCgK2pP +dq2cWypmq3qHKytmj+qh9m1Ve4+yb629wg13156xscQ95aPpaLv122/deuMw +Ai64vz33VSwuubdy2AfU2r0VSzc9UDl0HS5YttVaZpyz64w6m6fVGk5YbpwY +Gp7uXqHZy4+j4eny1Zq96jgcgiNWbvZK48TS6iuOk6M37fZgzWBNjlgxeumh +tp4YRA+1VxmnNh9bj069g9kfrFmwwurjzMTGFWuM46PRafUNm73BOHvJx7an +1uj6jcaJwTm4Yu1mrzUOZ+CKdZu9zji2b+Jb8IkVY02O3wa/CX4LTqna2GHj +cXpgAjp+i2ZvPs6sWGjNcWbGKbhks2ZvOk6sv3n+1slRC8dsMk5NsWqqJUct +72B2NcWqqZYcWowGo71oMn9LccTW4/xNxRW4Y9tmbzOOjUW2G8fHxi7bj+PD +PDhix2bvMA4DYIFdmr3zOGs4YadxYuTKEasG3UhbPsRejJOLG3YdpwYNTnfv +3uzdxrFp8T3G8bFp/z3H8ellBr31pPFp/b2bvdc4Ni7YZxwfm+7fdxyf3nrq +ZQa11ZCrh1issN84OZgCWxzQ7P3H0d20+sDZHodjcMJBzT5wHJsO74zjY9Ph +3XF8bNq8N45PLRwwHKcmGxuMxvGxccB4HB8Nbk/t5WIczsAiM3drnFjsMBkn +x7t4B7N7J2tyxIrxbt7B7N4Rw+ih9nyc2nxsPZwlZ8jZcaZ8W5zw0HG+MW7A +Gg93d8axscsjxvGxccIjx/E5o87qwe7uOExA1z+22Y8ZZw03PHqcmHfVuRar +ht566mUGudjgcePUwAx0/BPcvXFs2v6QcXxsnPDEcXx6mUFvPWl4Wv5JzT50 +HBs3HDaOj40bnjyOT2899TKDNbr/KePEsLHAU8fxsXHE08bx0cl0/eHO6jhM +gROe4S6Os0bnP3ecGGt09zPHiaHD6exnu6vj2GqqxSdWjDU5zqIz7Sw7k2rj +hueN04PGp+Nf6iyNwxC44UXu2jiajrZ7gbs0Ti4fWw1rcsSK8a7eybt4Z8yh +h9ovHqc2H1sPvbHEy8aZgY0TXj6Oj40dXjGODwPQ/a91l8bhDCzzKndxHBtH +HDGOj03X/984Pjat/+pxfGrhgNeNU5ONDV4/jo+NG94wjo8up/vf5m6Mo/Nx +wpvdrXFi6f43jpOjl5nNqqc1OWLFYAbs8A53axzNr4fabxmnNh9bD73liDUD +TUvbvsvdG0c308mfdvbG0ci08ged3XGYA2e8x90cZ43u/9A4MdZwxnvHicEY +uOL97u44tppq8YkVY02O3rT6Z8aZQW2c8OFxetD0ZjTbJ8fhChzycXdvHK7A +GR9t9kfGyeVjq2FNjlgx3t07eRd7gDn0UPsT49TmY+tBa9KstOq1NStO+Ow4 +M9N8tN/PnYVxGIEO+KK7Ng5XYI3Pu2vj2GKs8YmlHb40Tg5dhhO+6u6Mc5ad +WWfVmbaGG742TgxmoOO/4S6Oo/Hp+O+5C+MwBG74trs7Tizt/81xcvSmA788 +zgzW5IgVo5ceauuJOfRQ+zvj1OZj66GWdzC7mmbBFt8fZyY2bvjBOD4an67/ +pbswzl7yse2pNTr/V+PE4BBc8WN3cxzOoMt/6q6PY/smvgWfWDHW5Ph2volv +4RteU9/11+P0wAh0/x/ctXFmxTo/HGdmjIErfudujxOLA/44Ts61dVZ+M05N +sWqqJUct72B2NcWqqZYctbDFn8apycYNfx7Hx8YSR47jwwB0/9HuzjjcgW2O +ctfGsbHXX8fxsXHH38bxsXHH38fxqYUljhmnJhtH/HMcH5uu/9c4Pjqe7j/B +XR5Hp+OI49ytcWLp9mPHydHLzGbV09oyFSsGI2CFE32rcRhh+ar9n6q9XNl6 +0Li07sW+Vc2yYuWaaeOKsXbhOBqZVv6fuzQOZ2CPU9pzctm44tTysXHFaeVj +0+2nl08tHHBm1WRjg7PKx8YNZ5dvo5rJLBeMwyTY5Lz2nFuxWOOcyrEXOOik +2pP1Kufcilm53uHkitmweqh9ftXesOzzaq9wwyW1Z2wscWn56EK67z5nYRwO +wQlXtOfyisUll1XOFhVzefnE0oFXVg6GwA3XtOfqcTQmrbnKpM0+yRouuLZi +cAJuuL49142jy+n+W9tzyzg6Hyfc1J4bK5buv6Fy9KZFr6oZdqqcGytm++px +XfXctXqofXPV3rXsm6rWtjX7VTUL7ritZmLjhNvLRzfT+Q+05/7aywPLvq/W +6P4HKwaT4JC72nPnOIxBl9/TnrvL3r++xT0Vu2+tyXEWnWln+fSqjSOWmaQH +ZsAJK7b/e4VJZsU2d9TMdB7OWL6tLTdJLE5YaZIctejAZSepOaiaasnZq97h +zqrZq5pqyRnXN/et1fTtccOqk5wBf6f9XT602U+cRLfjgnWavfYknIE9Vm/2 +apPYWGeNSXxsnLHmJD423b/WJD61cMW6k9Rk44T1JvGxscP6k/hodFp902Zv +Monmp/03avaGk8Tijg0mydHLzGbV05ocsWIwBY7YvNmbTcIgeqi98SS1+dh6 +6C1HrBnkYpEtJqlBQ9PSezZ7j0kYgW7e3l2ahElwyFbN3nKSNdp6h0lirOGS +rSeJwSC4Y9tmbzOJraZafGLFWJOjN92+1yQzqI0zdpykB0Ywo9l2n4QxsMau +zd5lEsbAGjs3e6dJcvnYaliTI1aMd/dO3sUeYBw91N5tktp8bD2cJVrvSZOc +KbNijb0nmZnmpfse6SxMwhk4Yv9m7zcJY2CNfZu9zyS2GGt8YnHGAZPk0GS0 +WafZB01ytp1ZZ9UZt4YrupPEYAoc0W92bxJGoPunzZ5MovtxxajZw0liccBg +khy9cc2Bk8xgTY5YMXrpobaemEQPtceT1OZj66GWdzC7mmbBGbNJZmJjj/kk +PrqZzj/YXZhkL/nY9tQaXf/oSWJwCDZ5iLs6CYNgkYe5O5PYWObhk/jEirEm +x7fzTXwL31Bt7PCYSXpgBDr/EHdtkllxz2KSmXEHTnm8uz1JrN8cvzVy1MIl +j52kplg11ZKjlncwu5pi1VRLjv9sp/+MqH8n6t+NOnu44bBJzqDfWL+LRzX7 +L5NwDM55mrs7CWdgj6e4i5PYYqzxicUZT58kh27FDc9ylybRmHTjW8w6yRq2 +ePYkMZiCrj/cXZlEx9P9L7K3k+h0HPH8Zj9vkli6/bmT5OhNJz9jkhmsyREr +Ri891NYTI+ih9gsmqc3H1oN2pVFp0yOrtnfyLnpgDuzxEndvEp1Mt7/aXZhE +M/Oxj5iEO7DIy92NSWyc8YpJfGyc8cpJfGphgddMUpONE147iQ8D2FN7+aZJ +mIOP/cZJZsM5L51kRoyCVd7gLk+yt97B7PZYbSzyukl6iFVTLTlqeQezqylW +TbXk+LbY4K2TfGMMgSXe7i5Novlovy/49pMwA054V7PfOUksLnnHJDlsMdb4 +xOKId0+S47vghPe5a5P01lMvM1jDDe+fJAYz0PEfdNcm0fh0/Meb/bFJGAI3 +fMTdmySW9v/QJDl/rnPwnklmsCZHrBi99FBbT8yhh9ofnaQ2H1sPtbyD2dU0 +C7b4xCQzsXHDJyfx0fh0/ZfclUn2ko9tT63R+V+eJAaH4IrPuJuTcAZd/rlm +f3YS2zfxLfjEirEmx1nEka+a5EyqTbd9ZZIeGIHu/6azNMmsWOdTk8yMMXDF +1921SWJxwLcmyVGLFvzqJDXFqqmWHLW8g9nVFKumWnLM5o65W2ZUG2t8e5Ie +bBzxnUl8ND/t/1N3aRIm4GP/eBIOwTrfdxcnsbHYDybxsXHIDyfxqYUbfjZJ +TTYd//NJfHQ7nf9bd2MSDc/H/vUkmpP2/I+9m0S344pfucuT9MI5P5qkp9p0 +/S8m6SFWTbXkYAbs8Ht3b5JYNdWSo5Z39q5qmk2OWDPKxRF/mKQGnUy3/83Z +nuRvAx/b3whrtP3fJ4nBIdjkT+72JMyBM450dyax/Y3xt4VPrBhrf6q9oNOP +rz1RG0f8Y5IeGAQnHOvuTqLxl629+/ckzIJd/umuTRK7TK3JUQubHD1JTbFq +qiXHu3sHs9sDsWqqJcffSn8D/e07rGbFFifUzDQv3XeZszUJxzpTztJ3J2EK +bHGSu1e5uOW/VWPFijmxfGJxx8mVgyFww+ntOW0SZqDj/+duTKLx6fjznP1J +GGH9ss+Z5C6YySzuBMbAFWe356xJdODqVfvUqo0Vzqwe61bNcypnjZrhjJpp +7ap5VuWYna48pd5h1epxavnMij3Or5lpdtr9qvZcOYnm37rsK2qNzr+6Yras +GGuXT8IgWOSS9lxc9ub1Lfg2qRhrF9W32rJyfTO6no6/sT03TMI5m1TshTUr +7rmgZt6oYi4s345VQ+71kzAMzriuPddOwinbl31Nre1YsdfVu21Xa1fXLNji +ppqJjRtuLh8bS9xSPrqT7r+7PXdNwh3Y5rb23Fo2Drq9fGyccUf52HTrneVT +C0vcUzXZOOLe8rHp+vvKR8fT/ctN272cRqfjiAfb80DF0u33V87eNfNd1dPa +QRUrBiNghRVareWnYQQ91F5mmtp8bD1oXFp3k/Z/bzzNLGrINdNjKsbaRtNo +ZFp5jWavPg1nYI+Vm73SNDauWGUaHxtXrDqNj023rzaNTy0csOY0NdnYYK1p +fGzcsPY0PkxgJrNsOA2TYJP1m73eNLFYY51pcuwFDlpxmj3BhHLErjvNmncw +uxhMo4faG0xTm4+th73CDZtOs2dsLLHZND6akc7bv9n7TcMhOHXLZm8xTSwu +2XyaHLYYa3xiccVW0+T43cQJ2zZ7m2k0I+04sffTrGGJ7aaJwRB0/A7N3n4a +3U7n79bsXafR4bhh52bvNE0sXb7jNDl6+53eepoZrMkRK0YvPdTWExPoofYu +09TmY+uhlncwu5pmwRW7TzMTG2vsMY2PTqbrD2z2AdPsJR/bnlqj8w+aJgZ3 +YJG9m73XNJqcNt+32ftMY/smvgWfWDHW5DiLzrSz7EyqTbd1pumBIXDDsNmD +aWbFOXtOMzMdhVX6ze5NE4sDRtPkqEVndaepKVZNteSo5R3MrqZYNdWS42+o +v6UfafaHp6ntDPj2evibLMbah6bR8TjgYe7ONByCbebu3jQ29llM42PjjqVp +fGwc8JBpfGrhiIdPU5ONLR4xjY+NKx45jY+Gp+Uf1+zHTqPRafVHu1vTxOKA +R02To5eZzaqnNTlixWAInPAEd20aJtFD7cdMU5uPrQdNTOc+37eaZhY15JqJ +Bhdj7bnTaG46+anu0jSMgTUOdXensbHIk6bxsXHGYdP42DjjydP41KK9nzZN +TTYuePo0PjZWeMY0PsxhJrMcPg1X4JJnu0vTxOKMZ06TYy+w0yHT7Ik1OWLF +WPMOZheDc/RQ+znT1OZj62GvsMELptkzNo544TQ+mpaue4ezMA2D4ISXuFvT +xGKPF02TwxZjjU8sjnjpNDl0FG33Cnd5GkZ2Rp3N6TRruOGV08RgBjr+CHdj +Gkag41/f7NdNo8uxyGvc1Wli6fT/myZHb7rtZdPMYE2OWDF66aG2nphED7Vf +O01tPrYeankHs6tpFpzwhmlmYmOHN07jo4vp9He5K9PsJR/bnlqj5d89TQwu +wTpvcTenYQys8TZ3ZRrbN/Et+MSKsSbH3wZ7ai/9jVAbN7xnmh6YAxd80N2Y +ZlYc9KZpZsYoWOX97to0sX5j/LbIUQuLvHeammLVVEuOWt7B7GqKVVMtOX7L +cMNHp/lNY2OJj03j85vqd/D29tw2DYdglU82+xPTxOKSj0+TwxZjjU8sDvrU +NDkYARd81t2bRiPSir/y7tOsYYfPTRODGejuL7iL0+h0Ov5r7so0OpzW/7K7 +O00sXf7FaXL0xjWfnmYGa3LEitFLD7X1xAB6qP2VaWrzsfV4Vt3TXWbt7+ks +tb2Td9EDM2CHb7iL0+huWv2H7s40OpuP/f1pOATbfNvdnMbGEd+Zxsem0787 +jU8tHPCjaWqyscGPp/FhCntqL38xDXfwsX8+zWy455vTzIgbsMfP3LVp9tY7 +mN0eq40rfjJND7FqqiVHLe9gdjXFqqmWHN8WK/x6mm+MEbDCb92VaTQerXei +bz8NI+CCPzT799PEYpHfTZPDFmONTyxu+OM0ORgBFxzprk3TW0+9zGANJ/xl +mhiMQLf/1V2bRtPT7f90N6ZhBpzwD3dvmlha/2/T5OiNXf40zQzW5IgVo5ce +auuJMfRQ++hpavOx9VDLO5hdTbNgiX9NMxMbJxw7jY+mp+NPdldqL1cu+8Ra +o+tPqRjcsZx70Z7jpuEKOvyE9hxf9or1LU6o2OVrTY6ziBO/N82ZVJtOO7V6 +YAI6/0xnaZpZsc2/p5kZU+CIM9pzesVig7MqRy3a77SquWbV/F/lLFPvcFzV +XL1qnl45ZnPH3C0zYgpscU57zi4bN5xbPhqf1r+sPZdOwwCbl33JNNyBbS7w +7mVjrwvLx8YdF5VPrS3bc3nVZNPtV5SPTqfrr2vPtdNo9u3LvmYajUlrLtt+ +W5aZRafjiKvbc1X1wjUXV0+16fgrq8e2VfOaysEIWOGG9lxfsdtUrSur1mb1 +rhfXbDtW7HWVixturBp0MZ1+Z3vumOZvw15l315rtPxdFYM7sMjN7blpGsbA +Fbe255ay/Y25rXy7VswtlWMv6PLlZtkTtXHD3dUDc+CCB9pz/zSa3h7auwen +YRSscl977q1YMQ9WjlpY5J6qeUDVvL9ydq53uKn2YL+qeW/l0Jo0K6365JoV +Syw/y8w0Id23ebM3m4VbnSln6bxpmAE7rNTWVpwlF6esMEuNYcVY4xNLR6w8 +S858Gk5YvdmrzcIIdPuazV5jFk1Pt6/f7PVmYQI+9rqz3IUNahZ3AlPgiHXa +2tqz6EA91F51ltrYYK1ZeohVUy05ZjGD3mZ6aNVUS47ZaaFVZnkHth5q85kV +a2wwy8w0PR2/dbO3mkXz87G3nGXNvm8zSwydLcbaFrMwBtbYtNmbzGL7Jr4F +H24RY23jWb6VGnJ9s2dMo+N3avaOs3CNHLEbzTIrztlwlpnZYqzxYQo15O4w +C4Pgju2bvd0s54aPve0sa3LEivFuYqx5R7PQILSHmWgR7LDrLJrkM+35rLnn +rc48DED379N8e8/CHdhm92bvNouNvfaYxcfGHXvO4mPjjr1m8amFJfadpSYb +R+w3i49N1+8/i4+Op/t7ze7OotNxxEHNPnCWWLr9gFly9DKzWfW0JkesGIyA +FQbN7s/CCHqo3ZmlNh9bDxqX1n2MbzPLLGrINRPNLMbawbNoZFp54W7NwhnY +Y9zs0Sw2rpjM4mPjiuksPjbdPpvFpxYOWJqlJvut7ugsPjZueOgsvndPM5NZ +HjULk2CTR7hrs8RijYfNkmMvcNBwlj2xJkesGGvewexi3jVND7UfOUttPrYe +9go3PHaWPWNjicfN4qMZ6bwXOwuzcIh/1nFIs58wSywuefwsOWwx1vjE4own +zpKDGXDCYc1+0iyakXZ8rdlnWcMST54lBkPQ8U91d2bR7XT+s5v9rFl0OG54 +hrs3Syxd/rRZcvTGNYfOMoM1OWLF6KWH2npiAj3UfuYstfnYeqjlHcyupllw +xXNmmYmNNQ6fxfetaXT9S92VWfaSj21PrdH5L5slBndgkee7m7Noctr8he7K +LLZv4lvwiRVjTY6z6Ew7y86k2nTby2fpgSFww/+5G7PMinOeO8vMdBRWeZW7 +NkssDnj1LDlq0VmvmKWmWDXVkqOWdzC7mmLVVEuOWr65b62mb48rXjfLGfA3 +2d/ZfzT777PoeBzwVndpFg7BNm9wF2exsc8bZ/GxccebZvGxccCbZ/GphSPe +NktNNrZ4+yw+Nq54xyw+Gp6Wf1+z3zuLRqfV3+1uzRKLA945S45eZjarntbk +iBWDIXDCB9y1WZhED7XfM0ttPrYeessRawa5WOODs9SgwenmLzl7s2huOvkT +7tIsjHG0O+vuzrJGS39ylhhr2OQjs8RgDpzxMWd9FltNtfjEirEmR286/Muz +zKA2bvjULD0wiBnN9oVZOAOnfG6Wv1s4A3f4O/bpWXL52GpYkyNWjHf3Tt7F +HuAePdT+/Cy1+dh6OEu029GznCmzYoevzDIzjUvn/d5ZmIVJsMk33LVZGASL +fM1dm8UWY40PY+CKb7nbs+gqWu+77t4sZ9uZdVadcWs44nuzxGAIuv4H7t4s +zEDX/8zdmEWnX+oOuouzxNLtP5wlR2867tuzzGBNjlgxeumhtp4YRQ+1fzJL +bT62Hmp5B7OraRbc8PNZZmJjiV/M4qOT6fY/uguz7CUf255ao+3/NEsMTsE+ +v3Y3Z2EO7PHbZv9mFts38S34xIqxJse38018C99QbRzx51l6YBCc8Dd3bZZZ +cdEvZ5kZs2CXo9ztWWL95vitkaMWNjlylppi1VRLjlrewexqilVTrSPrTDt3 +z5xHkzl7uOKYWc6g31i/i7u3td3m4RLs8m93dxYOwSn/chdnscVY4xOLi46r +HMyAE05wl2bRjLTj1d691rDEfysGQ9DhJ7krs+h2uv4MezuLLqf9T23PKRVL +p59cOXrjnP/UDKtUzikVs2L1OLF6rl491D6taq9eth607OHTaNNdq/by9S56 +YAgscWZ7/jeLDqfdL2rPhbPo7o3LvmAWLsE657Tn7LJxxbnlY9Pt55VPLVxw +cdVkY4VLyrd17am9vHIWDtm67CtqNhx0Vs2II7DI5e25rPZ2rZr9jKqNMy6t +HltWzSsqZ516h7Or5uZV87LK8W2xwzX1jTEDdriuPdfOovlovxXb2VphHmbA +Dje254aKxSbXVw57p1q7vmJxxU2V47vghFvbc0v13r56XVNruOG2isEMdPwd +7bl9Fo1Px9/XnntnYQjccHd77qpY2v/OytmlzsHNNcPelXNXxexRPW6vnvtV +D7Xvqdr7lX131dqtZr+5ZsEW99dMbNzwQPlofLp+5bZ3K82zl3xse2qNzl9l +nhgcgiuWbfYy83AGXb58s5ebx/ZNfAs+sWKsyXEWceP5s5xJtem2VefpgRHo +/rWaveY8s2KdB2tmjIEr1mhrq88TiwPWnidHLVpwtXlqilVTLTlqeQezP1ix +aqolx2zu2AU1o9pYY515erBxxLrz+Gh+2n+zZm86DxPwsTeZh0OwzgbNXn8e +G4ttOI+PjUM2msenFm7YfJ6abDp+i3l8dDudv12zt51Hw/Oxt5lHc9KeHXs3 +j27HFVs3e6t5euGcjefpqTZdv+U8PcSqqZYczIAddmj29vPEqqmWHLW8s3dV +02xyxJpRLo7YcZ4adDLdvmez95jnbwMf298Ia7T9XvPE4BBssrO7PQ9z4Ixd +m73LPLa/Mf628IkVY02OvaDTu/Psido4Yu95emAQnHBAs/efR+PbQ3t34DzM +gl32a/a+88SKsSZHLWyyzzw1xaqplhzv7h3Mbg/EqqmWHH8r/Q30t++YmhVb +9OaZmeal+x7vbM3Dsc6Us7TePEyBLYbu3jy5uKU/Tw22GGt8YnHHaJ4cDIEb +Zs2ezsMMdPyi2fN5ND4d/whnfx5G4GM/bJ67YCazuBMYA1c81F2eRwfqofZk +ntpYYWmeHmLVVEuOWcygt5nEqqmWHLPTleN53oGth9p8ZsUej5xnZpqddj/U +WZ9H8/OxD5lnjc5/0jwxdLYYa0+Yh0GwyGPdnXls38S34MMxYqw9ep5vpYZc +34yup+P9s6qnzcM5csQePM+suOdR88zMFmONDxOoIfep8zAMzniKuz8Pp/Cx +D5tnTY5YMd5NjDXv6L+LwH/ngP8fGP+/MLQbdnjWPBruwEU7++15oD33L8I4 +uOG5be3webgD2zzHXZzHFmONTyyd+rx5cjADdnihuzePZqQd32sv5lnDHi+a +J4amp8Nf6i7No/np+iOa/ap5GIKuf4W7Ok8s7f6yeXL0ppWfP88M1uSIFYNZ +9FD7xfNwgh5qv3Ke2nzsV9QddVfvbs9d89T2Tt5FD9yBI17trs2j2Wn3tzjr +8+hmPvab5uEQXPE6Z3keG1e8fh4fm25/wzw+tXDBW+epycYKb5vHR7PbU3v5 +7nmYgI/9rnlmwzqvmWdGnIJL3uluz7O33sHs9lhtHPP2eXqIVVMtOWp5B7Or +KVZNteT4trjhffN8YxyBMz7g7syj8Wi9bzb7G/MwKk74sLs3Tyw2+eA8OWwx +1vjE+p38yDw5GAErfNxZn6e3nnqZwRpu+MQ8MTQ8nf0pd2UejU/Hf6HZn5+H +Gej4zzb7M/PE0uafnidHb7/VH51nBmtyxIrRSw+19cQFeqj9uXlq87H1UMs7 +mF1Ns2CLL84zExs3fGkeH01Px3/bXZlnL/nY9tQaXfWdeWJwCB3+VXdjHl1O +t3/d3ZzH9k18Cz6xYqzJcRZx3xvnOZNq00XfnacHZqDrf+QszTMr1vnyPDPj +FJzxA3dtnli6/sfz5KhFa31vnppi1VRLjlrewexqilVTLTn+s7W7179T9u+W +zerOuWtmxhE446fO7jyan/b/nbs0DxPwsX8zD+dgn1+4i/PYuOOX8/jYOOBX +8/jUwg2/n6cmm47/wzw+up3OP8rdmEfD87GPnEdz0p6n2bt5dDuu+LO7PE8v +7PHreXqqTdf/cZ4eYtVUSw5mwA5/c/fmiVVTrT9WLzr49Oqptj3w7nrIxRF/ +n6cGnUy3H+dsz6OZlyn72Hm4A4sc7S7PY+OMY+bxsXHGP+fxqYUF/lM12Tjh ++PJhALr9FHdhHuZYpeyTam212isxGAWrnOhuzbPX9sC7H1W1scgJ1WOlqnlS +5XhX72D2v1fsilXrhNor7HBG7RkNR8vd4CzNo2l3LPu6ebjWGXJ2fjYPM+CE +s9pzZtXCKf+rmmtVzJnlE0tHnV05GAEXnN+e8+bR7HT2he25YB7NTodf3p7L +5mGELcq+dJ6zbyazuAO4BFdc0p6L59GRG1Ttc6s2LX9R9disal5aORvWDBfU +TJtUzYsrx+y04Dn1DutVj3PLZ1bscEXNTKfT7Te356Z5NPsuZd9Ya7T9LRWz +U8XcWN8AY2CNa9tzTdk71Lfg27ZirF09z2+P3wS/BX6DaHZ/4/1tv3Me7tm2 +Yq+qWXHQlTXz1hVzVfn2qhpy75iHY3DL7e25bR6m2aPsW2ttr4q9vd5t91rz +jrQGbrhnHs2BI3DGfe25d57fVL+Dz23a7PBFOAXXPNieByoWm9xfOeyDau3+ +isUVyyySgwmwwfLt/15uEZ1IS27T7K0XWcMJKywSQ3PT2Ss1e8VFNDxdvkaz +V19E59PtqzZ7lUViafOVF8nRG5csu8gM1uSIFaOXHmrriQP0UHu1RWrzsfXY +r/bo3tqzXr2Td9EDN+CKtZq95iI6nW7fuNkbLaLD+dgbLsI1uGHdZq+ziE2X +r7eIj02Xr7+ITy0ssMkiNdl0/6aL+Ghue2ovt1qEMfjYWy4yG/ZZe5EZcQc2 +2aLZmy+yt97B7PZYbWyx2SI9xKqplhy1vIPZ1RSrplpyfFtcsO0i3xgnYIvt +m73dIpqOthv5Fov8M11csFOzd1wkFsvssEgOW4w1PrFYYudFcjABNtit2bsu +0ltPvcxgDSfsvkgMzU5X79nsPRbR9HT7Ac3efxFGwAr7NHvvRWJp8b0WydEb +l+yyyAzW5IgVo5ceauuJA/RQe79FmICPve8itbyD2dU0C5bASWZi4wTMxEfD +0+0TZ3+RveRj21NrdNR0kRjcQXf33I1FdDidPmh2fxHbN/Et+MSKsSbHWcSK +GyxyJtWme2aL9MAIdPxD3YVFZsU2nUVmxiW4YsldXiSWjn/YIjlq0VLzRWqK +VVMtOWp5B7OrKVZNteSYzR1zt8yoNlZ4+CI92LjiEYv4aHxa/wnO+iIMwMd+ +3CJcg3UO9u6L2Djj0Yv42HT/YxbxqYUTDlmkJptuf+IiPjqdrn+qs7mIZudj +P3kRjUlrvtLeLaLTccRh7vYivbDGYxfpqTYdf+giPcSqqZYcjIAVnu4uLRKr +plpy1PLO3lVNs8kRa0a5uOEZi9Sgi+n057s7i/xt4GP7G2GNln/BIjG4A4s8 +y11dhDFwxXOc9UVsf2P8beETK8aaHHtBl79qkT1RGze8cJEemAMXvMzdXUTT +20N79/JFGAWrvMRdWyRWjDU5amGRFy1SU6yaasnx7t7B7PZArJpqyaE1/XPi +f82jOc2KJY5YZGaals77oLO1CNc6U87SIxfRBLTBa9y9RXJxyv8tUoMtxhqf +WLrqtYvkYARc8EZ3cRHNTle/2d1YRLPT4e909hdhBD722xe5C2YyizuBS3DF +29zlRXSlHmq/fpHatPtbFukhVk215JjFDHqbSayaaskxO234ukXega2H2nxm +xQ7vWmTmY2pfP+qsL6Lx+dgfXmTN3n9skRi6Woy1Dy2iyWnz97s7i9i+iW/B +h4vEWHvvIt9KDbm+GQ1Pl3/GXVqEe+SIfc8is+Kgdy8yM1uMNT6MoYbcTy3C +MTjkk+7+IkzDx/74ImtyxIrxbmKseUdnDRMdW+9tNuzw2UVmZOOMzy3io/Fp +/a+7O4swAB/7q4twDq75oru5iI11vrSIj43bvryITy2c8I1FarLp7m8u4qPT +6fjvN/t7i2h2PvZ3F9GdtOmR9moRnY4FvuMuL9IL93xlkZ5q0/HfWqSHWDXV +koMBsMAPm/2DRWLVVEuOXnTvXxbpqbY98O56yMUZP1qkBh1Ou//KWV5Ed/Ox +f7EIl2Cdn7i7i9i44qeL+Nh0+88W8amFC369SE02VvjNIj6MQYf/ydlfhEP4 +2H9YZM2e2SsxGASL/N7dW2Sv7YF3t+dqY8PfLtJDrJpqyfGu3sHs3lmsmmrJ +sVdY4ahF9oxGpOvOdJYW0YVrlX3GIhqP1rtU7UW4Bkf8vdl/W6QWVvnrIjXZ +YqzxiaWj/7FIDmbADv9ytxdhBrr93+7KIjqdrj/RWV9Es69Y9gmL/Cb7bd53 +qWm2pehyHHG8u7gIo+ih9jGL1Kbbj6sey1fNEyrHLGbQ+18Vu1zVkmN2LHD0 +Iu/A1kPto2tWnHFSzUzT0/HnONuL6OZ1yz6r1uiqcytm7Yo5q74BLqHLT2/P +aWWvWd+Cb7WKsXbqImcRN/58kTOJEej4i9tz0SJMs1rFnlKzYqOTa+ZVKuaU +8m1cNeReuIjOwjIXtOf8RTTXhmWfV2sbV+wF9W4b1Jp3NJs75m79vGbbrM7S +xXW2cMZldcYwAN1/XXuuXUTXb1/2NYtwCda5oj2Xl42FriwfG4dcVT61sMT1 +VZONI24oH81Ou9/WnlsXYYbdy75lEY1Kd67WztqqS9HstPvN7bmpeuGOq6un +2jjhxuqxa9W8pXIwBW64oz23V+wuVevGqrVdvevVNdueFXtb5WKPO6sGjUwr +L9Nme3ARDc7HfqDW6PpllxKDQbDIPe25exFOwR33tefesnHH/eXbr2LurRx7 +QYuvvpQ9URs7LLeUHrgEh6zc7JWWwgz20N6tshTuwC0r1v8uj1gx1uSohUv8 +7/aoKVZNteR4d6x2V+2BWDXVkuO3ga7bbym/EWbFFWssZWa6l87dodnbL+Xs +bVlnyRnEKDhi7ba21lJyscmaS6nxkIqxxicWZ6yzlBy6Dzds0Oz1l8IMdPxG +zd5wKYxAx2/R7M2XwhB87M2WolH9xvlt23spuh2rbNrsTZai4/RQe72l1Kbr +N15KD7FqqiXHLGbQ20xi1VRLjtnpwnWX8g5sPdTmMyuO2HIpM9PstPsuzd55 +KbqZj73TUtbo/F2XEkNTi7G241I4CHds1+xtl2L7Jr4FH64RY22bpXwrNeT6 +ZpjAHtmbvZbCQHLEbr2UWbHPVkuZmS3GGh9mUUPunkthGmyzR7N3Xwqn8LF3 +W8qaHLFivJsYa97Rv+v07zBxvX9W4Oxhi/2Xcgb9xvpd/YLYpWg8Wu8wsy2F +U7DMQc0+cCm5uOWApdRgi7HGJxYndZaSgxmww8DdWQoz0N0jd20pOp2OXzR7 +vhTNzseeLeWfW9OItOHnF9HpWGDqbi6FUfRQu7eU2nT8eCk9xKqplhyzmEFv +M72uaqolx7vTwk9eyh54FxzVXco7mRVHLC1lZrqdjn+ss74UXc7HfvRSdDgf +++ClcAr2ebi7uRQbZzxiKT61sMHjllITU/gmvsWhS+EOPvYTl8I8aqr10KXM +hnUespQZ2WKs8XkXe2avvBPOwCqHuOtLYQ4+9uOXsqanXmLMJsaaGTECVniq +u7MUTUfbvdq3X4qm5WMfsZS9lSPWHmMGnPAMd2kptbDK05ZSky3GGp9YbPHM +peRgBFxwuLO/FM1OVz/P3VmKZqfDX9LsFy+FEfjYL1oKqzhjn6+6uARXvNBd +Xsq500PtZy+lNu3+/KX0EKumWnLMYga9zfTVqqmWnM/VOX7WUt6BrYfafGbF +Di9dysw0PN30Omf7/1m666h9qyqN43R3d3e3iNjv042o2B1jYHdhd3eAKDZ2 +Y7eiIiDd3d0tcz7r2n/ca+3ZZ9c5932e9/r+mDWzFI3Px371UtboltctJcbZ +i7HmHdDktPnLfctLsb0T74IPF4mxduRSvkUcOVzKN0nD0+Vv8W0thXvkiH3p +UmbFQS9ZysxsMdb4MIYact+0FI7BIW9015eiu/jYr1/KmhyxYuxNjDV7NBuu +HS1lRrY75m7xmRVLvHUpM9P5dP37fbtL0fx87PcuhQn42O9ZCvfgnHc4i6XY +2OedS/GpRWd/YCk16XK6/WPN/uhSNDof+yNL0ei0+ifclaXoUjr0G85yKTqU +j/21pfTCie9aSk86Hit82N1fiqbnY39wKWt66iXGbGKsmVEtHPXupdRk27O9 +8pndjGazB7qdjv+Su7QUXc7H/sJS1mj9o5cSQ5eLsfb5pXAQzviMu7oU298Y +f1v4cI0Ya59aylnQ5t9cyplgDrr8OHdhKQwkR+wnl3KWfGxnKtYZOjs5OEYN +uV9ZCrNgl2Pd1aVwIx/7mKWsyRErxt7EWLNHfxvt0d78jaTpaLs/+JaWonvp +3N8526VofLr+B+7mUrjXN+VbOmopTIEtvtPsby9l71jmW0s5A7YYa3w0O539 +I3dlKZqdDv9Fs3++FEbgY/9sKb3liDWDu4C737aUO8E2k1n4zIJrjl/KTDgG +h/zU3V2Kzudj/3gpa3rqJcZsYqyZkU51Bvb+vaXUplu/u5QebDHW+Gh4Wv6v +vvWl6Hg+9p+XorHpZv+/Mf+5FI0vxtqflsJBuOM37s5SbO/Eu+DDEHLE/nEp +78o79O68s2Wrh9onLoVBli37H0s5a+zzy6WcORsnnbAUH24yg96/WsoaH1uM +veGcvy1lj2zs8/el+NjLVC++6SHt7357Nn5Y08sPCzfgipOW8v8jFGfgkJPd +raVwC875r7tYa1jllIpZpWJOLZ9YnHFa5WAErHCmb38pupG2vM3eag03nFUx +NDjdfY67sxRNT6df1J4Ll6L76fjz23NexdLq51aO3jjl9Jphvco5r2LWrh5n +V88Nq4faF1TtDcvWwz11l1/QaX9jO6m9Zu1FDxyBMy5pz8VL0e10/LXtuWYp +unzbsq9eCufgiMvbc1nZdPoV5WPT6VeWTy1scF3VZOOA68u3a52ps7xlKcyx +a9k312xY6NKaEYdglZvac2Od7SY1+0VVG2vcUD12rpo3V85mtYfLquaOVfPG +yvFuccLt9Y5xA9a4sz13LEUn0pJrt7NcqxPOw6n3tOfuisU2d1UOe59au6ti +ccW9lYMJsMED7bm/eu9ZvW6vNZzwv4qhsenSZVrvB5ei4enyldr/vGInOp9u +X77Zy3USS8su20mO3rjkvprBmhyxYg6qHg9Wz0Orh9ordFKbj63H/rWH+6um +WbDCyp3MxMYVq3Tio+HppnWbvU4nZ8nHdqbW6Jb1OonBOThi9Wav1olmp9PX +9C13Ynsn3gWfWDHW5PgWseNVS/km1aaD1u+kB4ag0zdp9sadzIqFVu1kZlyC +VTZq9oadxNL2m3aSoxZttUEnNcWqqZYctezB7GqKVVMtOa/oNu3fnkN67V30 +Mqs7d3XNjDNwxeYtdrNOND6tv0Ozt++EAfjY23XCPVhoq2Zv2YmN07buxMfG +Fdt04lMLJ+zYSU023b1TJz46nY7fo9m7d6LZ+di7daI7adNHO7tOdDoW2LXZ +u3TSC8ts20lPten4nTvpIVZNteRgACywV7P37CRWTbXk6EX3PqaTnmo7A3vX +Qy7O2LuTGnQ47f4Q33YnupuPfWAnXIJ19nWXO7FxxX6d+Nh0+/6d+NTCBQd3 +UpONFR7aiQ9j0OGPbPYjOuEQPvbDO1lzZs5KDAbBIoe6W52ctTOwd2euNjY8 +pJMeYtVUS4692oPZ7VmsmmrJcVZY4bGdnBldSCs+1bfUiUbkYz+5E471Dfl2 +tuiEEeiirrvWSS2sstRJTbYYa3xi6aZeJzmYgE4fNXvYicamqyfuQicanBZ/ +XLMP64QZ+NiLTr59M5nFHcAh2GTu7naiG/VQe9BJbdp92kkPsWqqJccsZtDb +TGLVVEuO2Wm/fid7YOuhNp9ZscXhncxMs9Puz/Btd6Lb+dhP62SNLn5mJzHO +Xow17wBTYIsnuSud2N6Jd8GHk8RYe2Invz1+E/wW+A2iwf2N97f9eZ1wjhyx +T+hkVtzz+E5mZouxxodR1JD73E44Bcs8x13vRNfzsZ/VyZocsWLsTYw1e6Q1 +cMILO9EcuAFrvMjd6uQ31e/gqe05pRMuwXYvbfZLOonFKS/uJIctxhqfWBx0 +ZCc5GAALvMJd7EQz0okfdRadrNHtr+wkhsamq1/t7nWiyWnzNzb7DZ3oclzw +One5k1ha/DWd5OiNQ17WyQzW5IgVo5ceauuJM/RQ+/Wd1OZj6+GsnJGzcWZq +25O96IErcMSb3b1ONDvt/m53qRNdzcd+ZyecQ4e/zV3sxKbDj+rEx6a7396J +Ty06/z2d1GTT/u/txEeTO1Nn+eFOuIOP/aFOZsM6b+lkRhyBPT7obndytvZg +dmesNjZ8Xyc9xKqplhy17MHsamISNdV6fyfvFgt8rJN3jEOwySfcnU40HW13 +vHfRCRNgg0+7e53EYqVPdpLDFmONTyxu+EwnOZgAG3zet95Jbz31MoM1nPCF +TmJodrr6S+5KJ5qebv+qu9AJI9DtX272MZ3E0uJHd5KjNy75bCczWJMjVoxe +eqitJw7QQ+1jO6nNx9ZDLXswu5pmwRLHdTITGyd8rRMfDU+3f8+338lZ8rGd +qTU66vudxOAOuvub7kYnOpxO/7a72YntnXgXfGLFWJPjW8SC7+jkm1Sb7vlB +Jz0wAh3/U3ehk1mxzdc7mRmX4Iofu8udxNLxP+skRy1a6oed1BSrplpy1LIH +s6spVk215JjNHXO3zKg2Vvh5Jz3YuOIXnfhofFr/D771ThiAj/27TrgG6/zK +3juxccavO/Gx6f7fdOJTCyf8sZOabLr9T5346HS6/h/uRieanY/9t040Jq15 +gbPrRKfjiL+6y530whq/7aSn2nT8nzvpIVZNteRgBKzwT3evk1g11ZKjlj3b +q5rLVM6JNaNc3PCvqkEX0+mn+bY7+duwWtmn1hotf3rF4A4sclJ7/t0JY+CK +k92dsv2NOaV8K1bMfyrHWdDlF9aZqI0bzqgemAMXnNueczrR9BvU2Z3XCaNg +lbPbc1bFrl9r51YtLHJm1Vynap5TOcvXHv5dZ7BW1TyrcmhN/y58QCea06xY +4qKamaal8+7ybXXCtb4p39IvO9EEtMGl7bmkcnHKxVVjk4q5pHxi6arLKgcj +4IKr2nNlJ5qdrr6mPVd3otnp8Jvac2MnjLBT2Td0chfMZBZ3Apfgiuvbc10n +unKrqn1F1abdr60eO1TNGypn65rh6pppu6p5XeWYnTa8vPawRfW4onxmxQ43 +18z71bne1557O9H4+5V9T605+/srZp+KsXZ3J5qcNr+jPbeXvVe9C77dK8ba +bfWu9qlc74yGp8uXa8y3bDfcs3vF3lqz4qBbamb2brXGd3DVkLtMNxyDQx5s +z/86YZqDyn6g1uSIfbD2dmCt2aNvDRMdWGtmww7LdzMjG2es0I2Pxqf112z2 +Gt0wAB979W44B9es3OyVurGxzird+Ni4bdVufGrhhLW6qcmmu9fuxken0/Eb +NnuDbjQ7H3v9bnQnbbpbs3ftRqdjgfWavW43vXDPat30VJuOX6ebHmLVVEsO +BsACGzd7o25i1VRLjl507+7d9FTbGdi7HnJxxibd1KDDafdtmr11N7qbj71V +N1yCdTZr9qbd2Lhi8258bLp9i258auGCbbupycYK23Xjwxh0+C7N3rkbDuFj +79TNmjNzVmIwCBbZsdk7dHPWzsDenbna2HD7bnqIVVMtOfZqD2a3Z7FqqiXH +WWGFPbo5MxqRruv4lrrRhXzsx3aj8Wi9w5xNN1yDI/Zu9l7d1MIqe3ZTky3G +Gp9YOnqfbnIwA3Y4oNn7d8MMdPtBzT6wG51O1x/qW+9Gs/OxD+nmN9lv85eb +fUw3uhxHPLTZB3fDKHqovV83ten2h3TTQ6yaaskxixn0NpNYNdWSY3YssG83 +e2DroTafWXHGw7uZmaan4/u+7W50Mx+7280aXTXoJsbZi7HmHeASuvwx7ko3 +tnfiXfDhFDHWHtXNt4gbt+zmm8QIdPzM3emGaeSIfWQ3s2KjR3QzM1uMNT7M +oYbcSTc6C8uM3fVuNBcfe9jNmhyxYuxNjDV7NJs75m6Z0WxYY97NjL4tnPG4 +br4xDED3P8W33o2u52M/qRsuwTqPt/dubCz0hG58bBzyxG58amGJp3ZTk40j +ntaNj2an3Z/T7Gd3wwx87Gd1o1Hpztc7y240O+3+THe7m16444hueqqNE57e +TQ+xaqolB1Pghue5O93EqqmWHLXs2V7VNJscsWaUiz2e300NGplWPtJd6kaD +87Ff0s0aXf+ybmIwCBZ5obvZDafgjhf5truxcceLu/GJFWNNjrOgxd/QzZmo +jR1e3k0PXIJDXuNudMMMztDZvbYb7sAtr+rm31nFirEmRy1c4t9h1RSrplpy +7N0ezO4MxKqplhy/DXTdsd38RpgVV7yxm5npXjr3E76tbr4935RvyTeIUXDE +W5r95m5yscmbuqnBFmONTyzOeGs3OXQfbniHu9ANM9Dx73KXumEEOv4DzX5/ +NwzBx35fNxrVb5zftqO70e1Y5b3udjc6Tg+1j+qmNl3/7m56iFVTLTlmMYPe +ZhKrplpyzE4Xvq2bPbD1UJvPrDjig93MTLPT7p/xrXejm/nYn+pmjc7/bDcx +NLUYa5/shoNwx8fcnW5s78S74MM1Yqx9pJt3pYZc7wwTOCNn86VuGEiO2A93 +Myv2+VA3M7PFWOPDLGrI/WI3TINtvuDud8MpfOzPdbMmR6wYexNjzR79t86V +6t8o/FuFbw9bfKWbb9BvrN/VlXottheNR+v91WzdcAqW+Vqzj+smF7d8tZsa +bDHW+MTipK93k4MZsMO33Z1umIHuPt5d60an0/E/cne70ex87B908+/WNCJt +uGI3Oh0LfN/d7IZR9FD7m93UpuO/200PsWqqJccsZtDbTGLVVEuOvdPCf+vm +DOwFR32jmz2ZFUf8uJuZ6XY6/re+9W50OR/7193ocD72r7rhFOzzc3ezGxtn +/KIbn1rY4Hfd1MQU3ol38eduuIOP/adumEdNtX7azWxY5yfdzMgWY43PXpyZ +s7InnIFV/uiud8McfOzfd7Omp15izCbGmhkxAlb4h7vTjaaj7S7x7rvRtJuU +fVE3ZytHrDPGDDjhX+5SN7WwyolVc9mK+Wf5xGKLf1cORsAFp/j2u9HsdPV/ +3Z1uNDsdfnZ7zuqGEdYu+8xuWMU3tmLVxSW44oz2nN7Nd7dy1f5P1abdT6se +a1bNMytnlZrh1Jpp9ap5euWsUN/xSbWHFavHf8pnVuxwTs1Mw9NNl7fnsm40 +/uZlX1prdMsVFbNpxVxa74Amp80vbM8FZW9c74Jvg4qxdn433yKO/GU33yQN +T5df155ru+GeDSr2vJoVB51bM69XMeeVb9uqIfeabjgGh1zdnqu60V1bl31l +rW1bsVfX3raqNXs0G649oZsZ2e6Yu3VCzYolrq+Z6Xy6/q723NmN5t+r7Du6 +YYI9y769G+7BOTc7i7Kxzy3lU4vOvrtq0uV0+//a80A3Gv3Asu/vRqPT6su0 +39YHu9GldOgG7X9evxcdysder5deOPHW6knHY4X72nNvN5p+v7LvqbUDqtd9 +Ndu+tXZ31cJRt1XN3WvPt5fvoJrxwdoD3U7Hr9ZmWbUXXc7HXqWXNVp/9V5i +6HIx1lbuhYNwxgrNXr4X298Yf1v4cI0Ya8v1cha0+Ya9nAnmoMvXbfY6vTCQ +HLHL9nKWfGxnKtYZOjs5OEYNuWv3wizYZa1mr9kLN/Kx1+hlTY5YMfYmxpo9 ++ttoj/bmbyRNR9vt3ey9etG9dO6ezd6jF41P12/V7C174d6d61u6sRumwBab +tLWNe9k7ltmolzOYVow1Ppqdzt7Gf+vvRbPT4Ts1e8deGIGPvUMvveWINYO7 +gLtv6OZOsHeqWfgwM67ZrMVu2gvH4JDtm71dLzqfj71tL2t66iXGbGKsmZFO +dQb2vkUvtenWzXvpwRZjjY+Gp+X3b/Z+veh4Pva+vWhsutn/rsPBvWh8Mdb2 +6YWDcMfuzd6tF9s78S74vCs5Yr0z78o79O68M0ygh9oP6YVB+NgH9XLW2Gfn +Xs6cjZN26cWHm8yg9669rPGxxdgbzjmglz2ysc+BvfjYeurFh20w0kvqXHAD +rnhYL/87H+v227fennPbc04/3IJzHuUu9sIoWOURzX54L7YYa3xiccaje8nB +CFhhybffi26kLZ9lL72s4YZOLzE0ON3dc3d60fR0+sRd7kX30/FDd7OXWFq9 +30uO3jjlMb3MYE2OWDF66aG2nrhAD7VHvdTmYw/rDrvLZ7Tn9F5q25O96IEj +cMbM3epFt9PxR7hbvehyPvYTeuEcHHFYsxe92HT643rxsen0w3vxqYUNntRL +TTYOeHIvPhrcmTrLZ/TCHHzsp/cyGxaa9zIjDsEqT3O3ezlbezC7M1Ybazyl +lx5i1VRLjlr2YHY1xaqplhzvFic8u5d3jBuwxnPdnV50Ii35Fu++F87DqS9o +9vN7icU2z+slhy3GGp9YXPHCXnIwATZ4sbveS2899TKDNZzwkl5iaGy69Eh3 +oxcNT5e/utmv6kXn0+2vaPbLe4mlZV/WS47euOT/epnBmhyxYvTSQ209cYAe +ar+yl9p8bD3Usgezq2kWrPCaXmZi44rX9uKj4emmt7krvZwlH9uZWqNbjuol +BufgiDe4m71odjr9Tb7lXmzvxLvgEyvGmhzfInZ8fC/fpNp00Nt76YEh6PT3 ++JZ6mRULva6XmXEJVnmXu9ZLLG3/3l5y1KKt3tFLTbFqqiVHLXswu5pi1VRL +jt8u/zZyaC+/YWZ159w1M+MMXPF+324vGp/W/4S71AsD8LE/1gv3YKEPuYu9 +2Djtw7342LjiI7341MIJn+ylJpvu/lQvPjqdjv+Cu9GLZudjf64X3Umb/sDZ +9aLTscBn3eVeemGZj/bSU206/tO99BCrplpyMAAW+JK710usmmrJ0Yvu/WEv +PdV2Bvauh1yccXQvNehw2v3rvu1edDcf+7heuATrfNld7sXGFcf24mPT7V/p +xacWLvhGLzXZWOGbvfgwBh3+PXehFw7hYx/fy5ozc1ZiMAgW+Y671ctZOwN7 +d+ZqY8Nv9dJDrJpqybFXezC7PYtVUy05zgor/KiXM6MLacV/+pZ60YjLlv2P +XjjWN+Tb+UAvjEAX/dRd66UWVvlxLzXZYqzxiaWbftZLDiag009w93rR2HT1 +r92FXjQ4Lf5H33ovzMDH/n0v376ZzOIO4BBs8jt3txfdqIfav+ilNu3+m156 +iFVTLTlmMYPeZhKrplpyzE77/byXPbD1UJvPrNjiT73MTLPT7if5tnvR7SuU +/a9ao4v/UzHLVcy/6h1gCmzxd3elF3uZehd8OEmMtb/28tvjN8Fvgd8gGtzf +eH/bT+uFc+SI/Usvs+KeP/cyM1uMNb7Vqobc//bCKVjm1Pac0ouuX6Xsk2tt +tYo9tfa2cq3ZI62BE87sRXPgBqxxdnvO6uU31e/gI5s2e0Q/XILtzmvPuRWL +U86pHPZ6tXZOxeKg8ysHA2CBi9pzYS+akU58wFnUGpa4uGJobLr6svZc2osm +p82vac/VvehyXHBle66oWFr88srRG4dcUDNsUTlXVMym1UPtS3rhjG2q9lVV +e+uyr6yzWrvO5syqvVHtRQ9cgSOua8+1vWh22v329tzWi67evexbe+EcOvzG +9txQNh1+U/nYdPfN5VOLzr+jarJp/zvLt3+dqbO8rxfu2L/se2s2rHN9zYgj +sMc97bm7zna7mv2aqo0z7qoe+1bNeytnh9rDDVVz76p5d+V4t1jgf/WOcQg2 +WaZ9Sw/2oulou03b/7xJP0zg7+ryzV6un1istGw/OWwx1vjE+lu8Qj85mAAb +rNzslfrpreeDNYM1nLBKPzE0O129WrNX7UfT0+3rNHvtfhiBbl+z2Wv0E0uL +r95Pjt64ZMV+ZrAmR6wYvfRQW08coIfaa/VTm4+th1r2YHY1zYIlcJKZ2DgB +M/HR8HT75s3erJ+z5GM7U2t01Bb9xOAOunvDZm/Qjw6n0zdu9kb92N6Jd8En +Vow1Ob5FLHhLfZNq0z1b9tMDI9Dx2zV7235mxTbrF+fhElyxTbO37ieWjt++ +nxy1aKmt+qkpVk215KhlD2ZXU6yaaskxmzt2a82oNlbYoZ8ebFyxYz8+Gp/W +36vZe/bDAHzsPfrhGqyzS7N37sfGGbv242PT/bv141MLJ+zdT0023b5PPz46 +na4/qNkH9qPZ+dgH9KMxac2Rs+tHp+OI/Zu9Xz+9sMbu/fRUm47ft58eYtVU +Sw5GwAoHN/sh/cSqqZYctezZXtU0mxyxZpSLGx7aTw26mE5/tG+7n78NfGx/ +I6zR8o/pJwZ3YJGHudv9MAaueLi704/tb4y/LXxixViT4yzo8nE/Z6I2bnhs +Pz0wBy7ou7v9aHpn6OwG/TAKVum6a/3EirEmRy0sstRPTbFqqiXH3u3B7M5A +rJpqyaE1/bvwV3vRnGbFEpN+ZqZp6bzn+bb64VrflG9pp340AW0wd/f6ycUp +035qsMVY4xNLVy36ycEIuODxzT68H81OVz/R3ehHs9PhT/Pt98MIfOyn9HMX +zGQWdwKX4Ionu8v96Eo91H5cP7Vp9yP66SFWTbXkmMUMeptJrJpqyTE7bXhY +P3tg66E2n1mxw9P7mfnYOtf/8633o/H52C/oZ83Zv6ifGLpajLXn96PJafPn +uDv92N6Jd8GHi8RYe1Y/70oNud4ZDU+Xv9xd6od75Ih9Zj+z4qBn9DMzW4w1 +Poyhhtwj++EYHPJSd78fpuFjv7ifNTlixdibGGv26FvDRMfVvs2GHV7Rz4xs +nPHKfnw0Pq3/JnenHwbgY7+hH87BNa9xN/uxsc5r+/Gxcdvr+vGphRPe3E9N +Nt39ln58dDod/85mv6Mfzc7Hfns/upM2/Zyz6kenY4Gj3OV+euGe1/fTU206 +/q399BCrplpyMAAWeHez39VPrJpqydGL7v18Pz3Vdgb2rodcnPGefmrQ4bT7 +R3zL/ehuPvaH+uESrPM+d7cfG1e8vx8fm27/QD8+tXDBR/upycYKH+vHhzHo +8M/49vvhED72p/pZc2bOSgwGwSKfdPf6OWtnYO/OXG1s+PF+eohVUy059moP +ZrdnsWqqJcdZYYUv9HNmNCJd91Pvvh9dyMf+cT8aj9b7g7Pph2twxNHN/lI/ +tbDKF/upyRZjjU8sHX1MPzmYATt81d3uhxno9q+5K/3odLr++GZ/px/Nzsf+ +dj+/yX6b1xw0DTaILscR33QX+2EUPdQ+tp/adPvX++khFnt8q58cs5hBbzOJ +VVMtOWbHAl/uZw9sPdTmMyvO+G4/M9P0dPwvfKv96GY+9s/6WaOrftlPjLMX +Y807wCV0+Y98y/3Y3ol3wYdTxFj7QT/fIm78YD/fJEag43/n2++HaeSI/X4/ +s2Kj7/UzM1uMNT7MoYbc3/Sjs7DMr939fjQXH/uEftbkiBVjb2Ks2aPZ3DF3 +y4xm8035lszo28IZf+znG8MAdP+JvvV+dP0yZf+9Hy7BOn+2935sLPSXfnxs +HPLXfnxqYYl/Vk02jvhX+Wh22v3U9pzSDzOsUvbJ/WhUuvNKZ9WPZqfd/9Oe +k/rphTv+1k9PtXHCv6vHSlXz5MrBFLjhNHenYlesWnLUsmd7/VvNtlrFnlq5 +2OP0qkEj08rnt+e8fjT4+mWfW2t0/QUVg0GwyJntOaMfTsEdZ/u2y8Yd55Rv +rYo5q3KcBS1+VZ2J2tjhwuqBS3DIZe25tB9m2LLO7vJ+uAO3XNKeiyt2i1q7 +rGrhkouq5qZV89LKWaP2cEadwcZV8+LK8dtA1601yG+EWXHF1TUz3UvnLtPW +Huzn2/NN+ZZ8gxgFR1zXnmsrF5tcUzW2rZhryycWZ1xfOXQfbri5PTf1wwx0 +/K3tuaUfRqDj727PXf0wxN5l39mPRvUb57dt9UF0O1a5oz2396Pjdq7aN1Zt +uv626rFn1byzcnapGW6pmcTuUbVuq9npwhtqDztWjxvLZ1YccU/NTLPT7iu0 +2ZYfRDfzsZcbZI3OX3GQGJpajLVlB+Eg3PG/9jxQtnfyYPlwzYG1dn+9KzXk +emePqTNyNqsNwkAHVOx9NSv2ubdmZu9fa3yYRQ25qw7CNNhmlWavPAin8LFX +GmRNjlgx9ibGmj36bwX+Gyau928Fvj1ssfYg36DfWL+rrxE7iMaj9fZv9n6D +cAqWWa/Z6w6Si1vWGaQGW4w1PrE4af1BcjADdti42RsNwgx096bN3mQQnU7H +b93srQbR7HzsLQf5d2sakTZ8VT86HQts0dY2H4RR9FB7w0Fq0/GbDdJDrJpq +yTGLGfQ202FVUy059k4LHzDIGdgLjtpgkD2ZFUdsM8jMdDsdv3uzdxtEl/Ox +dx1Eh/OxdxmEU7DPDs3efhAbZ+w4iE8tbLDHIDUxhXfiXew7CHfwsfcZhHnU +VGu7QWbDOtsOMiNbjDU+e3FmzsqecAZW2bvZew3CHHzsPQdZ01MvMWYTY82M +GAErHOTuDKLpaLuZdz+IpuVjTwY5WzlinTFmwAkPbfbBg9TCKg8ZpCZbjDU+ +sdjikEFyMAIueIRvfxDNTlc/yt0ZRLPT4d1mdwZhBD720iCs4ht7VdXFJbji +se7yIN+dHmofOkht2v3Rg/QQq6ZacsxiBr3N9IaqqZacV9Z3/LBB9sDWQ20+ +s2KH3iAz0/B002G+7UE0Ph97Psga3fK4QWKcvRhr3gFNTpuPfcuD2N6Jd8GH +i8RYGw7yLeLInQb5Jml4uvxJvq1BuEeO2MEgs+Kg/iAzs8VY48MYash94iAc +g0Oe4K4Porv42IcPsiZHrBh7E2PNHs2Ga3ceZEa2O+Zu8ZkVSzx5kJnpfLr+ +eb7dQTQ/H/s5gzABH/vZg3APznm6sxjExj7PGMSnFp39/EFq0uV0+0ua/eJB +NDof+0WDaHRa/Uh3ZRBdSoe+09kPokP52G8fpBdOfOYgPel4rPB/7v4gmp6P +/YJB1vTUS4zZxFgzo1o46lmD1GTbs73ymd2MZrMHup2Of4NvfRBdzsd+3SBr +tP4bB4mhy8VYe+0gHIQzXuVbH8T2N8bfFj6MJMbaKwY5C9r8XYOcCeagy4/y +bQ3CQFjo5X4LBjlLPrYzFesMnZ0cHKOG3LcOwizY5S3u/iDcyMd+0yBrcsSK +sTcx1uzR30Z7tDd/I2k62u5o39YgupfO/aJvaRCNT9d/yLc7CPf6pnxLTx2E +KbDFe5v9nkH2jmXePcgZsMVY46PZ6eyPuDuDaHY6/FPN/uQgjMDH/sQgveWI +NYO7gLufMsidYJvJLHxmwTXvG2QmHINDPu7uD6Lz+dgfHWRNT73EmE2MNTPS +qc7A3j8wSG269f2D9GCLscZHw9PyX/GtD6Lj+dhfHkRj083f9O4H0fhirB0z +CAfhjs/71gexvRPvgs+7kiPWO/OuvEPvzjvDBHqo/fVBGISP/bVBzhr7fHqQ +M2fjpM8M4sNNZtD7s4Os8bHF2BvO+eoge2Rjn+MG8bH11IvvV5N2J9rzzmn7 +TZhm79jhW4OcAcbAGt9xlwbR/HT+j9zdQRgFq3zX3RvExjbfG8THxkXfH8TH +xh0/GMSnFnb48SA12bjhJ4P42HT4Twfx0e10/a+afcIgupz2/4W7OEgsnf6z +QXL0MrNZ9bQmR6wYmp/2/02zfz0IE+ih9i8Hqc3H1oPOpYVPdvaDzKKGXDOt +WDHWThpEZ9Pif3FXB+EQbPN7d3MQG0f8YRAfm07/4yA+Np3+p0F8amGBvw5S +k40T/jaIj40d/j6Ib4WaySz/HoQbsMc/3a1BYnHEPwbJcRY46beDnMkylXNi +xVizB7OLWb56qP2vqr182f+ss8IJp9SZsbHDqeWjEWnFa30Lg3AM1jvd3alY +bPLfylmtYk4rn1hce0blYARccHZ7zhpEN9KWd5q91rDDORWDGejs89pz7iA6 +nY6/pD0XD6Jbaf0L23NBxdKx51eO3rjmzJphg8q5oGLWrR7nVs+Nq4faF1Xt +jcu+sGqtXbOfWbPghEtrJjZ2uKx8dDgdf317rquz3L7sa2uNrrqhYnAJ1rmy +PVcMwhR0+9XtuarsbetdXF2xW9eaHN+ib9q3/KeqTRfdWD1wCI64rT231qw4 +6PKamW7CJre05+aKpetvrxy16KqbquauVfPWytmi9nBF1dy5at5cOf6O+lu7 +NGz6eJjae9a71+ONFWPtMcNwAd3/v/Y8MAh3YJ172nN32Vjo3vKxcdp95WPj +ivvLpxZueLBqsrHEMsP42Dhi2WF8NDvtvnKzVxpGk9PmKzR7+WFi6fblhsnR +68Ca9f5akyNWDEag+1dt9irDcIQeaq84TG0+th40Lq27RbM3H2YWNeSaicYX +Y22zYTQ2rb1us9cZhkGwyBrNXn0YG+usOYyPjSvWGsbHptvXHsanFm2/3jA1 +2dhg/WF8bNywwTA+HGIms2w6DOfhjo2bvdEwsVhjw2FynAUWWm2YM7EmR6wY +a/ZgdjE4Rg+1NxmmNh9bD2eFHbYc5szYuGGrYXw0Iq34kGYfNAyH4IJtm73N +MLFYY+thcthirPGJpZu2GyaHbqLldmz2DsMw8T71bd5Vazhhp2FiaHi6e5dm +7zwMM9DlezV7z2F0OPbYvdm7DRNLl+86TI7edNr2w8xgTY5YMXrpobaeuEYP +tfcYpjYfWw+17MHsapoFK+w9zExsbLHPMD4anVY/xLc/zFnS4gcPc6bW6PqH +DRODQ7DP/s3ebximwBYHuivD2N6Jd8EnVow1Of427F1neWfVxg6HDtMDh+CO +R7tbw8yKe/YdZmY6HYs80l0eJtZvjN8WOWrR8Q8fpqZYNdWSo5Y9mF1NsWqq +JcdvGU7oDPObxsYO3WF8flP9Dn632ccPwyTYZOCuDhOLTXrD5LDFWOMTiwWH +w+RgAhwwcZeG0ZB044vMOswaVpgOE0PD09lzd2UYDqDLn+BuDKO7afPHNfuw +YWLp8MUwOXrjsNEwM1iTI1aMXnqorSfG0EPtw4epzcd+XP0GuKcXtefCYWrb +k73ogRuwxRHu3jA6mm5/lrswjI7mYz9jGO7AOk9xN4ax6fKnDuNj0+VPG8an +FhZ49jA12XT/c4bx4Qxn6ixfOAxX8LFfMMxsOOdJw8yIIbDE893lYc7WHszu +jNXGFs8dpodYNdWSo5Y9mF1NsWqqJce7xQEvHuYd4wIs8lJ3aRiNR+u9x7sf +hgmwwcvdzWFiscyRw+SwxVjjE4sbXjFMDkbABa9u9quG6a2nXmawhhNeM0wM +RqDbX+euDaPp6fa3uBvDMANOeKO7N0wsrf/6YXL0xi6vHGYGa3LEitFLD7X1 +xBh6qP2mYWrzsfVQyx7MrqZZsMRbh5mJjRPeNoyPpqfj3+euDHOWfGxnao2u +f/8wMbgDR7zD3RyGK+jwd/mWh7G9E++CT6wYa3J8izjw6cN8k2rTaR8Ypgcm +oPM/6lsaZlZsc9QwM2MKHPFhd22YWLr/Y8PkqEX7fXCYmmLVVEuOWvZgdjXF +qqmWHLO5Y+6WGdXGFh8fpgcbN3xiGB+NT+t/0V0ahgH42J8fhjuwzafdxWFs +7PWZYXxs3PHZYXxq4YQvDVOTTbcfPYyPTqfrj3M3htHsfOyvDKMxac1fOrth +dDqOONZdHqYXrvncMD3VpuOPGaaHWDXVkoMRsMLX3b1hYtVUS45a9myvappN +jlgzysUN3ximBl1Mp3/ftz3M3wY+tr8R1mj5HwwTgzuwyLfc7WEYA1d8x90Z +xvY3xt8WPrFirMlxFnT5CcOcidq44YfD9MAcuOBn7u4wmt4ZOrufD8MoWOUn +7towsWKsyVELi/xomJpi1VRLjr3bg9mdgVg11ZJDa9KstCrNaVYs8athZqYJ +6b7/+raG4VbflG/pk8MwA3b4rbs3TC5O+fUwNdhirPGJpSN+N0wOZsAJf3IX +h2EEuv0v7sYwmp5u/6dvfxgmWLbsfwxzF8xkFncCU+CIv7vLw+hAPdT+wzC1 +scFfh+khdpmqJccsZtDbTGLVVEuO2Wmh3w+zB7Yeav++ZsUa/6qZaXo6/kzf ++jCaf82yT681535WxaxeMdZOG4YxsMYp7k7Zq9a74FupYqz9p97V6pXrndH4 +dPwF7Tl/GK5ZqWJPqllxzr9r5hUq5qTyrV815J43DIPgjnPbc84w3826ZZ9d +a+tX7Lm1t3Vq7ayahQa5sGaiRbDDxcNokvGo6ab2bDhufcdhALr/qvZcOQx3 +YJtL23NJ2djrsvKxccfl5WPjjivKpxaWuLpqsnHENeVj0/XXlo+Op/tvbs9N +w+h0HHFDe66vWLr9usrRa6ua9Ypa26FixWAErHBre24ZhhF2rto3Vu2dytaD +xqV1V2pnsuIos+xauWY6tGKsrTCKRqaV723PPcNwBva4oz23l40r7iwfG1fc +VT423X53+dTCAfdVTTY2uL98bNzwQPkeVjOZZflRmASbLNPsBysWa/yvcpwF +DrqtzsSanAcrZvfaw+0Vc0j1UHu5URiIj73sKGeFG1Ye5czYWGKVUXw0I523 +bbO3GYVD/FvH6s1ebZRYXLLqKDlsMdb4xOKMNUbJwQw4Ye1mrzWKZqQd92z2 +HqOsYYl1RonBEHT8es1edxTdTudv0uyNR9HhuGHDZm8wSixdvv4oOXrjmjVH +mcGaHLFi9NJDbT0xgR5qbzRKbT62HmrZg9nVNAuu2HSUmdhYY7NRfHQyXb99 +s7cb5Sz52M7UGp2/wygxuAOLbNnsLUbR5LT51s3eahTbO/Eu+MSKsSbHt7hv +fct3V226bcdRemAI3LBbs3cdZVacs/koM9NRWGWXZu88SiwO2H2UHLXorJ1G +qSlWTbXkqGUPZldTrJpqyVHLO/eu1fTuccVeo3wD/ib7O/uGZr9+FB2PAw5s +9gGjcAi22afZe49iY599R/Gxccd+o/jYOGD/UXxq4YiDRqnJxhYPGcXHxhUH +j+Kj4Wn5RzT74aNodFr9Yc0+ZJRYHPDQUXL0MrNZ9bQmR6wYDIETHuWujcIk +eqh96Ci1+dh66C1HrBnkYo1Hj1KDBqebD/PtjaK56eS+uzQKY2CNx7q7o6zR +0oNRYqxhk6VRYjAHzuj61kex1VSLT6wYa3L0psMfN8oMauOG4Sg9MIgZzTYf +hTNwynSUv1s4A3f4OzYaJZePrYY1OWLF2Ls92YszwD16qD0bpTYfWw/fEu32 +xlG+KbNih8NHmZnGpfOO9G2MwiS44Qh3bRQGwSJPcNdGscVY4xOLK540Sg5d +Res91d0e5dv2zfpWfePWcMTTRonBEHT9M9yVUZiBrn9es587ik7HJs92d0eJ +pdufOUqO3nTck0eZwZocsWL00kNtPTGKHmo/Z5TafGw91LIHs6tpFtzw/FFm +YmOJF4zio5Pp9pe7O6OcJR/bmVqj7V8xSgxOwT4vcldHYQ7s8RJ3ZxTbO/Eu ++MSKsSbHu/NOvAvvUG0c8cpRemAQnPA6d2eUWXHRC0eZGbNgl9e4e6PE+s3x +WyNHLWzyqlFqilVTLTlq2YPZ1RSrplqvqm/ad7fROJrMt4cr3jTKN+g31u/i +Ze25dBQuwS5vc9dG4RCc8hZ3bRRbjDU+sbjoqFFyMANOeKe7M4pmpB2PtfdR +1rDEu0aJwRB0+HvcxVF0O13/IXdjFF1O+7/f3R0llk5/7yg5euOct48ygzU5 +YsXopYfaemICPdT+wCi1+dh60LI0Km16cdW2J3vRA0NgiY+4i6PocNr9s+7O +KLqbj/3pUbgE63zc3RzFxhWfGMXHpts/OYpPLVzwuVFqsrHC50fxYQxn6iyP +GYVD+NhHjzIbDvroKDPiCCzyJXdtlLO1B7M7Y7VxxhdG6SFWTbXkqGUPZldT +rJpqyfFuscNXRnnHmAE7HOeujKL5aL/fePejMAN2+Eazvz5KLDb52ig5bDHW ++MTiim+OkuO94ITvuGuj9NZTLzNYww3HjxKDGej477lro2h8Ov4nzf7xKAyB +G37o7o0SS/t/f5Sci+o7+NYoM1iTI1aMXnqorSfm0EPtH41Sm4+tx8X1bZld +TbNgi5+OMhMbN/xsFB+NT9f/zl0Z5Sz52M7UGp3/+1FicAiu+KW7OQpn0OW/ +avYJo9jeiXfBJ1aMNTm+Rdz4qVG+SbXptj+M0gMj0P1/9S2NMivW+fkoM2MM +XPFnd22UWBzwt1Fy1KIF/zhKTbFqqiVHLXswu5pi1VRLjtncMXfLjGpjjb+P +0oONVf4xio/mp/3/61sfhQlWLfuUUTgE6/zb3svGYieVj41D/lM+tXDDaVWT +TcefXj66nc4/17c5ioZft+yzR9GctOdN9jqKbscVZ7XnzOqFc06unmrT9WdU +j7Wr5tmVgxmww/ntOa9i16paZ1StVWqvJ9ds61fsuZWLIy6oGnQy3X5Fey4f +5W/DFmVfVmu0/ZUVg0OwyUXtuXAU5sAZl7Tn4rL9jbm0fBtXzMWV4yzo9Jvr +TNTGEVdVDwyCE65vz3WjaPyd6uxuGIVZsMu17bmmYnesteurFja5umpuVzWv +q5wNaw8X1hlsUzWvqRx/K/0N9LfvTTUrtrilZqZ56b5V29/WVcbhWN+Ub+mf +ozAFtri9PbdVLm65tWrsVjG3lU8s7rijcjAEbrinPXePwgx0/H3tuXcUjU/H +L9t6LzMOI/CxHxyFqZerWU4chTFwxf/a88AoOnCfqn1X1cYK91cPsWo+WDn7 +1gz31kwHVM0HKsfsdOWdtYe9qsdd5TMr9lhunJlpdtp9zWavMY7m52OvPs4a +nb/WODF0thhrq43DIFhk5WavNI7tnXgXfDhGjLUVx3lXasj1zuh6Ot6/Va0/ +DufIEbvCOLPinuXHmZktxhofJlBD7nrjMAzOWLfZ64zDKXzstcdZkyNWjL2J +sWaP/nfT/O+k+e86/tsS7YYdNh5Hw13fnhva87NJ+y4nYRzcsHnzbTYOd2Cb +TZu9yTi2GGt8YunULcbJwQzYYWv/VjeOZqQdD7XXcdZwxDbjxND0dPh2zd52 +HM1P1+/S7J3HYQi6fsdm7zBOLO2+/Tg5etPKW44zgzU5YsXopYfaeuIEPdTe +aZzafGw93FF39UfN/uE4te3JXvTAHThit2bvOo5mp933b/Z+4+hmPva+43AI +rtiz2XuMY+OKvcbxsen2vcfxqYULDhinJhsrHDiOj2Z3ps7ykHGYgI/90HFm +wzq7jzMjTsElB7vL45ytPZjdGauNYw4ap4dYNdWSo5Y9mF1NsWqqJce7xQ0P +H+cd4wic8Uh3aRyNR+sd4d2Pw6g44THuzjix2ORR4+SwxVjjE+t38rHj5GAE +rNB1l8fpradeZrCGG3rjxNDwdPbAXRpH49Pxs2ZPx2EGOn7s7o4TS5sPx8nR +22/10jgzWJMjVoxeeqitJy7QQ+3JOLX52HqoZQ9mV9Ms2GI+zkxs3LAYx0fT +0/FPdlfGOUs+tjO1Rlc9ZZwYHEKHH+5ujqPL6fYn+JbHsb0T74JPrBhrcnyL +uG+fcb5Jtemip47TAzPQ9c/yLY0zK9Y5bJyZcQrOeIa7Nk4sXf/scXLUorWe +Nk5NsWqqJUctezC7mmLVVEuO/91a/zu0/puy/7ZsVnfOXTMzjsAZz/XtjqP5 +af+XukvjMAEf+8XjcA72eYG7OI6NO144jo+NA/5vHJ9auOHIcWqy6fiXjeOj +2+n817gb42h4PvarxtGctOcHnN04uh1XvNJdHqcX9njROD3VputfPk4PsWqq +JQczYIfXuXvjxKqplhy96OAPjtNTbWdg73rIxRGvH6cGnUy3H+XbHkcz87Hf +Og53YJE3usvj2DjjTeP42DjjzeP41MICbx+nJhsnvGMcHwag29/nLozDHHzs +94yz5syclRiMglXe7W6Nc9bOwN6dudpY5J3j9BCrplpy7NUezG7PYtVUS46z +wg4fGufMaDha7hve/Tialo/9tXG41jfk23neOMyAEz7qro1TC6d8eJyabDHW ++MRii4+Nk4MRcMGn3ZVxNDud/Vl3axzNTocf3ewvjcMIfOwvjvPtm8ks7gAu +wRVfcBfH0ZF6qP3JcWrT8p8bp4dYNdWSYxYz6G0msWqqJYcGpAU/0eyPj2Pr +oTafWbHDMePMTKfT7d/2rY6j2fnY3xxnjbb/zjgxzl6MNe8AY2CN43zL49je +iXfBh4vEWPvKOL89fhP8FvgNotn9jfe3/QfjcI8csceOMysO+vI4M7PFWOPD +EGrI/f44HINbvufuj8M0fOzjx1mTI1aMvYmxZo+0Bm748TiaA0fgjJ+6S+P8 +pvod3Kxps00n4RRc8wt3c5xYbPKzcXLYYqzxicUVvxwnBxNgg1+7K+PoRFry +bHsdZw0n/GacGJqbzv6duzSOhqfL/+KujKPz6fY/uqvjxNLmvx8nR29ccsI4 +M1iTI1aMXnqorScO0EPtP41Tm4+th7NyRs7GmaltT/aiB27AFX9z18bR6XT7 +ye7SODp8pbJPGodrcMOJ7flH2XT5P8vHpsv/VT61sMApVZNN959avrXqTJ3l +meMwxlplnzHObNjn7+PMiDuwyentOW2cs7UHs/+lamOL/1aPNarmGZWj1jI1 ++98rdvWq9d96t7jgnHrHOAFbnNeec8fRdLTd7d79OP+miwsubM8FFYtlzq+c +DSrmgvKJxRIXVQ4mwAaXtueS6r1e9Tqn1nDCZRVDs9PVV7Tn8nE0Pd1+nXc9 +DiPQ7Ve356qKpcWvrBy9ccnFNcNWlXNVxWxePS6vnttWD7Wvqdrbln111dq0 +Zr+4ZsES19dMbJxwQ/loeLr9zvbcUWe5Z9m31xoddVfF4A66++b23DSODqfT +b23PLWXvXu/i1ordtdbk+Bax4r/H+SbVpnvurh4YgY5/oD3316zY5saaGZfg +ivvac2/F0vH/qxy1aKl7qub+VfP+ytmp9nBT1dy3at5bOWZzx06qGdXGCg9W +DzauWGYSH41P66/a/udVJmEAPvbKk3AN1lm+2ctNYuOMFSbxsen+FSfxqYUT +VpukJptuX30SH51O16/b7HUm0ex87LUn0Zi05k7N3nESnY4j1mr2mpP0whor +TdJTbTp+jUl6iFVTLTkYASus3+z1JolVUy05atmzvappNjlizSgXN2wwSQ26 +mE7fotmbT/K3gY/tb4Q1Wn7LSWJwBxbZqNkbTsIYuGKTZm88ie1vjL8tfGLF +WJPjLOjynSc5E7Vxw1aT9MAcuGD7Zm83iaZ3hs5uh0kYBats2+xtJokVY02O +Wlhk60lqilVTLTn2bg9mdwZi1VRLDq3p34nfMo7mNCuW2GWSmWlaOu9Rvq1J +uNY35VtadhJNQBvs3uzdJsnFKbtOUoMtxhqfWLpqj0lyMAIu2KfZe0+i2enq +/Zq97ySanQ4/uNkPmYQR+NgHTXIXzGQWdwKX4IoDm33AJLpSD7X3mqQ27b7/ +JD3EqqmWHLOYQW8ziVVTLTlmpw33nGQPbD3U5jMrdnjoJDO/qc51ybc+icbn +Yz9mkjVn35kkhq4WY+3Rk2hy2vwR7s4ktnfiXfDhIjHWDp3kXakh1zuj4eny +kbs0CffIEfuwSWbFQYdMMjNbjDU+jKGG3MEkHIND+u7+JEzDx+5OsiZHrBh7 +E2PNHn1rmOittW+zYYfxJDOyccZkEh+NT+s/wd2ZhAH42IdPwjm4Zu5uTmJj +ncUkPjZuO2wSn1o44YmT1GRjhyMm8dHpdPwz3N1JNDsf+2mT6E7a9NXOchKd +jgWe6m5O0gv3PG6SnjQ9Hf9kd3OSWDXVkoMBsMCz3J1JYtVUS45edO9rJump +tjOwdz3k4oxnT1KDDqfdX+QuTaK7+dgvnBTjuIPu4iQ2rnjeJD423f78SXxq +4YIXT1KTjRVeMokPY9Dhr/QtTcIhfOyXT7LmzJyVGAyCRV7mLk9y1s7A3p25 +2tjwpZP0EKumWnLs1R7Mbs9i1VRLjrPCCq+d5MxoRLruo979JLqQj/3hSTQe +rfdFtSfhGhzxBndpklpY5XWT1GSLscYnlo5+4yQ5mAE7vNW3Pwkz0O1HuTuT +6HS6/j3Nfvckmp2P/a5JfpP9Nl/dnqsm0eU44p3u8iSMoofab56kNt3+9kl6 +iFVTLTlmMYPeZhKrplpyzI4F3jTJHth6qM1nVpzx3klmpunp+E/4tifRzXzs +j02yRld9cpIYZy/GmneAS+jyD/mWJ7G9E++CD6eIsfaBSb5F3PiCSb5JjEDH +f97dmYRp5Ih9/ySzYqP3TTIzW4w1PsyhhtzPTqKzsMxn3PVJNBcf+1OTrMkR +K8bexFizR7O5Y+6WGc3mm/ItmdG3hTO+NMk3hgHo/q+5S5Poej72VyfhEqxz +jLs4iY2FvjyJj41Djp3EpxaW+PokNdk44huT+Gh22v27zT5+EmbgY39nEo1K +d/7RWU2i2Wn3b7vLk/TCHV+ZpKfaOOGbk/QQq6ZacjAFbvi+uzhJrJpqyVHL +nu1VTbPJEWtGudjjB5PUoJFp5V/4lifR4Hxs/83FGl3/y0liMAgW+ZG7PAmn +4I6f+NYnsXGH/1bDJ1aMNTnOghb/0yRnojZ2OGGSHrgEh/zOXZ6EGZyhs/v9 +JNyBW34zyf+dA7FirMlRC5f4v4Ogplg11ZJj7/ZgdmcgVk215PhtoOuumeQ3 +wqy44s+TzEz30rnn+bYm+fZ8U74l3yBGwRF/a/ZfJ8nFJn+ZpAZbjDU+sTjj +75Pk0H244V/t+eckzEDHn+TuTMIIdPxp7fnvJAyxWtmnTqJR/cb5bbtyEt2O +VU5pz8mT6LjlqvaJVZuu/0/1WKVqnlo5y9cM/66ZVqqaJ1eO2enCf0yyh2Wq +x4nlMyuOOL1mptlp94t865Po5o3KvqDW6PyLK2aDirF2/iQchDvOac/ZZa9X +74Jv7Yqxdla9qw0q1zvbss7I2VwxCQOtXbFn1qzY54yaec2KObN8W1QNuZdP +wjTY5rL2XDoJp2xW9iW1tkXFXlZ727TW7NF/6/TfMHG9fyvw7WGLayf5Bv3G ++l2dT1vsNBqP1lur2WtOwylY5ob2XF+5uOW6qsHeodauq1icdGPlYAbscGt7 +bpmEGeju29tz2yQ6nY6/tz33TKLZ9y377kn+3ZpGpA2nk+h0LHBXe+6chFF2 +rdo3V206/o7qsXfVvLtydqsZbquZ9qyad1aOvdPCa09zBvaCo26qPZkVR9xX +M9PtdPxKLXbFaXQ5H3uFaXQ4H3v5aTgF+zzYnv+VjTOWmcanFjZYeZqamMI7 +8S7WmIY7+NirT8M8B1WtB2o2rHN/zcg+sNbur73sUmdlTzgDq6zWaq06DXPw +sVeZZk1PvcSYTYw1M2IErLBus9eZRtPRdrs3e7dpNC0fe9dpzlaOWGeMGXDC +Bs1ef5paWGW9aWqyxVjjE4stNpwmByPggk2bvck0mp2u3rzZm02j2enw7Zq9 +7TSMgBW2afbW07CKb2xadXEJrtiqrW05zXenh9obT1Obdt9imh5i1VRLjlnM +oLeZDq+aasmZ1He80TR7YOuhNp9ZscP208xMw9NNezV7z2k0Ph97j2nW6Ja9 +p4lx9mKseQc0OW2+S7N3nsb2TrwLPlwkxtpO03yLOHLZab5JGp4uP7DZB0zD +PXLE7jjNrDhoh2lmZouxxocx1JC7/zQcg0P2a/a+0+guPvY+06zJESvG3sRY +s0ez4drlppmR7Y65W3xmxRIHTTMznU/XP9q3PY3m52M/chom4GM/YhruwTmH +6DWNjX0eNo1PLTr7MdPUpMvp9r5vexqNzsfuTqPRafWhb3saXUqHPt1ZTqND ++dhPnaYXTjx0mp50PFbo+C2YRtPzsR87zZqeeokxmxhrZlQLRz18mppse7ZX +PrOb0Wz2QLfT8Yf71qfR5Xzsw6ZZo/UfP00MXS7G2mIaDsIZU9/6NLa/Mf62 +8OEaMdbG05wFbf6Mac4Ec9DlT3EXpmEgOWJH05wlH9uZinWGzk4OjlFD7pOm +YRbscoS7Pw038rGfMM2aHLFi7E2MNXv0t9Ee7c3fSJqOtnu9b2ka3UvnvtbZ +TqPx6foXuJvTcK9vyrd08DRMgS2e3exnTbN3LPPMac6ALcYaH81OZ/+fuzKN +ZqfDX9bsI6dhBD72S6fpLUesGdwF3P2Qae4E20xm4TMLrnnONDPhGBzyEnd3 +Gp3Px37RNGt66iXGbGKsmZFOdQb2/rxpatOtz52mB1uMNT4anpZ/s297Gh3P +x37jNBqbbvZ/a+zt02h8MdbeMA0H4Y5XuzvT2N6Jd8HnXckR6515V96hd+ed +YQI91D5qGgbhY79tmrPGPi+f5szZOOkV0/hwkxn0fuU0a3xsMfaGc94yzR7Z +2Oet0/jYeurFd/r+TQe25+gD2lkdEKbAFu92d3yvb2/fa3ue9I72vb4jTIJN +3uuuTWNjmfdN48NAuOID7uo0TIANPuwuT7OGGz44TYxcOWLVoCNpze/Y2zS5 +OOIj09Sgyenwj7lr09i0+cen8bGxwCem8ellBr31pPlp/0+5y9PYOOHT0/jY +OOAz0/j01lMvM6ithlw9xGKHz06TgzGwxufdrWl0OO1+rLsyDdfghi+6C9PY +dPmXpvGx6fKjp/GxafVjpvGphQu+Mk1NNlb46jQ+Ni44bhofTe5MneW3puEO +bPINd3maWCzxtWly7MUezG5P1uSIFWNv9mB2e8Q0eqj9zWlq87H18Lvmt2/r +WdMrs7xb3HD8NO8YR2CP77lL09hY5vvT+NjY7wfT+DAsjviRuzYNA2CBn7rb +06zhhB9PEyNXjlg19NZTLzPIxQ0/m6YGzU2n/sJdmcamXX85jY9N+58wjU8v +M+itJ41P6/+62b+axsYFv5nGx6b7fzuNT2899TKDNWzwu2li2Dji99P42Nji +D9P46HA6/u++1Wk4Bif8yV2cZo2u+sc0Mdbo9D9PE0Oj0+p/dVensdVUi0+s +GGtyfIu+ad+yb1JtuujE6kGj0+qn+Jam4RBscpK7No1mop3+5S5V7nJln1hr +K1SsGHu1J3ux55Wqh9r/qdorla2Hd+MdOPsTahZscWrNhCmwxWnt+e80mp/O +P9ddmoZzsM8Z7Tm9bFx2ZvnYOOKs8rGxxdnlUws7nFc12bjh/PKx6fALyke3 +0/WXtefSaXQ57X9xey6qWDr9wspZp2Y+p3puVDkXVQzNT/tf0Z7Lp2GCzar2 +JVV707L1oHNp4Xucdc2yReWaae+KsXbXNDqbFr+xPTdMwyHY5ur2XDUN8+CI +a9tzTdl0+nXlY9Pp15dPLSxwU9Vk44Sby8fGDreUb6+aySx3TsMc2OP29txW +sdjv1spxFjjpyjqT3SrntorZqvZwVcXsWT3UvqNq71n27XVWOOHeOjM2driv +fHQi7bhB+61bfxYmoIP+154HKhab3F857ANr7f6KpZMerBw6Dgcs12otO8u3 +6xv1bZ5Wa3T78rPE0Nx09orNXmEWTU6br97s1WbhDiyySrNXniWWNl9plhy9 +abVlZpnBmhyxYvTSQ209MYkeaq86S20+th4H1x7MrqZZsMQas8zExhFrzuKj +0+n2jZq94Sxnycd2ptZo+41nicE9OGKdZq89C1fQ6es1e91ZbO/Eu+ATK8aa +HL8NfhP8FpxatenuTWbpgTno8i2bvcUss2KftWaZGYdglc2bvdkssf7m+Vsn +Ry1aftNZaopVUy05atmD2dUUq6ZacnaZt2+6Pbe05+Z5/pbihm1m+ZuKI7DH +ds3edhYbl2w/i4+NVXaYxYf7cM9Ozd5xFs1P+++q1yxruGDnWWLkyhGrBg1J +N3adxSy5dPxus9SguensPZq9+yw27b3nLD42rb7XLD69zKC3nhgCS+zT7L1n +sen8fWfxsWn//Wbx6a2nXmZQWw25eojFEvvPkoMhsMSBzT5gFh1Ntx/q256F +a+juhzT7oFlsuvvgWXxsOvuhs/jYtPchs/jUwgIPn6Umm+5/xCw+NhZ45Cw+ +Gt2ZOsulWbgBazzG3ZolFkc8apYce7EHs9uTNTlixdibPZjdHnGNHmo/dpba +fGw9fEu+Id+Ob8q7xQa9Wd4xLsEqA3dnFhsbDWfxsf3fZh7N4sMM2GHi7s7C +BHT9vNmzWdZww3SWGLlyxKqht556mUEuNljMUgMz0PGPc/dmsWn7w2fxsXHC +42fx6WUGvfWk4Wn5Jzb7CbPYuOGIWXxs3PCkWXx666mXGazR/U+eJYaNBZ4y +i4+NI546i49Opuuf41udhSlwwtPdxVnW6PznzhJjje5+xiwxdDid/Sx3dRZb +TbX4xIqxJse36Jv2Lfsm1cYNz5ulB41Px7/EtzQLQ+CG/3PXZtF0tN0L3KVZ +cvnYaliTI1aMvdqTvdgz5tBD7RfNUpuPrYfeWOKls8zAxglHzuJjY4eXzeLD +AHT/a92lWTgDy7zCXZzFxhGvnMXHputfNYuPTeu/ehafWjjgdbPUZGOD18/i +Y+OGN8zio8vp/re5G7PofJzwZndrlli6/42z5OhlZrPqaU2OWDGYgS59u7s1 +i+bXQ+23zFKbj62H3nLEmkEubfuOWWrQzXTyJ32Ls2hkWvn97s4szIEz3uWu +zbJG939glhhrOOPds8RgDFzxXndnFltNtfjEirEmR29a/VOzzKA2TvjgLD1o +ejOa7eOzcAUO+ai7OAtX4IwPu7uz5PKx1bAmR6wYe7cne3EGmEMPtT82S20+ +th60Js1Kq15fs+KET88yM81H+/3AtzELI9ABn3f3ZuEKrPFZd3MWW4w1PrG0 +wxdmyaHLcMLR7t4s37Jv1rfqm7aGG46ZJQYz0PHHuluzaHw6/hvuxiwMgRuO +c7dmiaX9vzJLjt504BdnmcGaHLFi9NJDbT0xhx5qf22W2nxsPdSyB7OraRZs +8c1ZZmLjhm/N4qPx6fofuwuznCUW+OEsZ2qNzv/JLDE4BFcc767Owhl0+ffc +nVls78S74BMrxpoc78478S68w+vqvf50lh4Yge4/wV2bZVas8+1ZZsYYuOIX +7vYssTjgV7PkXF/fys9mqSlWTbXkqGUPZldTrJpqyfG3x98Yf1v8DVIba/x6 +lh4YAkv81t2bhQHo/r/49mfhDmzze3dxFht7/WEWHxt3/HEWHxt3/GkWn1pY +4q+z1GTjiL/N4mPT9X+fxUfH0/0nteffs+h0HPFPd3eWWLr9H7Pk6GVms+q5 +TOWcWDEYASuc7O7MwggrVO1/Ve3ly9aDxqV1L3W2NctKlWumTSrG2sWzaGRa ++Szf9iycgT3+255Ty8YVp5WPjStOLx+bbj+jfGrhgLOrJhsbnFM+Nm44t3wb +10xmuWgWJsEmF7Tn/IrFGudVjrPAQafUmaxfOedXzCq1h1MrZqPqofaFVXuj +si+os8INl9WZsbHE5eWjC+m+B3wLs3AITriqPVdWLC65onK2rJgryyeWDry6 +cjAEbriuPdfOojFpzVUbi6wyzxouuL5icAJuuLE9N8yiy+n+29tz2yw6Hyfc +0p6bK5buv6ly9KZFr6kZdq6cmytmh+pxQ/XcrXqofWvV3q3sW6rWdjX7NTUL +7rijZmLjhDvLRzfT+Q+25391lgeV/UCt0f3LzBODSXDIPe25exbGoMvva8+9 +ZR9Q7+K+it2v1uT4Fn3TvuUzqjaOWHaeHpgBJ6zU7BXnmRXb3FUz03k4Y4W2 +tvw8sThh5Xly1KIDl5unplg11ZKzd+3h7qp5SNVUS46/uf72PqHZj5+ntm/A +u9fD32kx1g6fR7fjgnWbvc48nIE91mj26vPYWGfNeXxsnLHWPD423b/2PD61 +cMV689Rk44T15/GxscMG8/hodFp9s2ZvOo/mp/03bvZG88Tijg3nydHLzGbV +05ocsWIwBY7Yotmbz8Mgeqi9yTy1+dh60Ml08d7e1TyzqCHXTDS0GGt7zsMI +dPMO7tI8fzdwyNbN3moeG4dsM4+PjTu2ncfHxh3bzeNTixbfcZ6abByx0zw+ +Nk7YeR4fJjCTWfaYhymwxW717w5isYV/h5DjLPxd23KeM7EmR6wYa/ZgdjGY +Rg+1d5+nNh9bD2eFJfaZ58zYOGHfeXw0Lp039C3MwxW44YBm7z9PLLbYb54c +thhrfGJxxYHz5NBgtNjBzX7IPAzsG/VtrjbPGo546DwxGAI3PKzZh8zDBHT+ +o5v9qHl0Po54hLs6Tyzdf+g8OXrjmIPmmcGaHLFi9NJDbT0xiB5qP3Ke2nxs +PdSyB7OraRZc8Zh5ZmJjjcfO46OT6fqxuzLPWfKxnak1On4yTwzuwCJdd3Me +5sAefXdlHts78S74xIqxJsffBmfqLP2NUBsrTOfpgQno+se5G/PMinOW5pkZ +Z+CShbs2T6zfGL8tctTCIbN5aopVUy05atmD2dUUq6ZacvyW4YInzvObxsYK +R8zj85vqd/D3zf7dPNyCa57S7CfPE4s1njRPDluMNT6xuOKp8+TQqTjhGe7e +PJqSTnyLWedZwxLPnCcGQ9Dxz3YX59HtdP4L3ZV5dDlueJ67O08snf6ceXL0 +poufNs8M1uSIFaOXHmrriQn0UPv589TmY+tBq9KktOhvq7Y92YseGANrvMhd +nEcX0+mvcnfm0ch87FfMwxnY46Xu5jw2rjhyHh8bV7xsHp9atP+r56nJxgWv +mcdH8ztTZ/mmeRiDj/3GeWbDNS+eZ0ZMgk1e767Nc7b2YHZnrDb2eO08PTCN +mmq9YZ5a9mB2NcWqqZYc7xYLvHWed4wZsMNR7tI8Go/W+7x3MQ8TYIN3upvz +xOKQt8+TwxZjjU8sbnjXPDneCy54r7sxT2899TKDNZzwvnliMALd/gF3Zx5N +T7d/zF2Yhxlwwoeb/aF5Ymn9D86T85v6Dt49zwzW5IgVo5ceauuJMfRQ+yPz +1OZj66GWPZhdTbNgiY/PMxMbJ3xiHh9NT8d/0bc/z1nysZ2pNbr+S/PE4A4c +8Wl3Yx6uoMM/627OY3sn3gWfWDHW5PgWcePL5/km1abTjp6nByag87/qLswz +K7b55DwzYwoccay7PE8s3X/cPDlq0X7HzFNTrJpqyVHLHsyuplg11ZJjNnfM +3TKj2tjia/P0YOOGr8/jo/Fp/e/71udhAD72d+fhDmzzLXufx8Ze357Hx8Yd +35nHpxZO+ME8Ndl0+w/n8dHpdP3P3Y15NDsf+6fzaExa81/Obh6djiN+4i7P +0wvXHD9PT7Xp+B/N00OsmmrJwQhY4Zfu3jyxaqolRy17tlc1zSZHrBnl4oYT +5qlBF9Ppf/Rtz/O3gY/tb4Q1Wv5P88TgDizya3d7HsbAFb91d+ax/Y3xt4VP +rBhrv66zoMv/XWeiNm748zw9MAcu+Ie7O4+mX67O7sR5GAWr/M1dmyd22VqT +oxYW+cs8NcWqqZYce7cHszsDsWqqJce/Zfg3DL8DfovMiiVOqplpQrrvCt/W +PNzqm/ItfWMeZsAOp7h7lYtT/lM1VqqYk8snlo44tXIwA044oz2nz8MIdPtZ +7sY8mp5uv8C3Pw8TbFD2efPcBTOZxZ3AFDji3PacM48OXKNqn1a1scHZ1WO9 +qnle5axZM5xZM61TNc+pHLPTQv+tPaxWPU4rn1mxxoU1M01Px1/Tnqvn0fzb +lH1VrdH611bMVhVj7cp5GANrXNaeS8veot4F36YVY+2SeldbVa53RuPT8f5b +403zcM2mFXtxzYpzLqqZN66Yi8u3U9WQe+M8DII7bmjP9fMwzg5lX1drO1Xs +DbW37WvNHl/xxKYd2nPIEU0fHxHOwA23zvPfRHEEtri9PbeVjWXuKB8ba91Z +PoyBK+5uz13zMAJWuK8999YajrinYvaqnLuqBg1JS26yaHtfJBdX3F81aHy6 +/H/teaBsWv3B8rFxxTKL+PatGe6tnhgACyzX1pddxMYRyy/iY+OGFRbxHVA9 +H6gZDq4acvUQizVWXCQHQ2CJlZu90iI6mW5fq9lrLsIdOGLVZq+yiI0jVlvE +x6bTV1/Ex6az11jEpxYWWHuRmmycsM4iPjZ2WHcR37TO1FlutAiX4JANmr3+ +IrE4Zb1FcuzFHsxuT9bkiBVjb/ZgdnvEHHqoveEitfnYevhN89v24WZ/aJF3 +iyM2XeQd4wrcsXmzN1vExiJbLOJj+7ePLRfxYQocsXWzt1qECbDBds3edpE1 +3LDNIjFy5YhVQ2899TKDXByx/SI1aHq6e8dm77CITYvvtIiPjSN2XsSnlxn0 +1pPmp/13bfYui9i4YbdFfGycsPsiPr311MsM1rDEHovEsHHCnov42Nhhr0V8 +dDJdf6BvdRHOoLP3afbei6zR+QctEmONFt93kRianc7ev9n7LWKrqRafWDHW +5PgWfdO+Zd+k2nTbQxbpQdPT6Y/wLS3CJbjiYe7aIhqKlnposw9eJJePrYY1 +OWLF2Ks92Ys9YxI91D50kdp8bD28G+/A2XtHZsEOj1xkJoyBNR7j7i3CAHT/ +wN1ZhGuwzpK7t4iNMzqL+Nh0f3cRHxsL9BbxqYUlhovUZOOI0SI+Nl0/XsRH +x9P9h/k2F9HpOGLm7i4SS7dPFsnRy8xm1dOaHLFiMAJWONxdWoQR9FB7vkht +PrYeNC6t+wJnvcgsasg1E80sxtrzFtHItPJTfbuLcAb2eKK7uYiNK45YxMfG +FU9axMem25+8iE8tHPC0RWqyscHTF/GxccMzFvFhAjOZ5bmLMAk2eba7tkgs +1njmIjnOAgc9fpEzsSZHrBhr9mB2MZhGD7Wfs0htPrYezgo3vHCRM2Njif9b +xEfT0Xbv8C0swgi44CXu1iKxuORFi+SwxVjjE0s3vXSRHLoOF7zcXVnk2/WN ++jZ9w9ZwwisWiaHh6e5XuXuLaHi6/A3Nfv0iHIIjXuuuLhJLq796kRy9abcj +F5nBmhyxYvTSQ209MYgear9ukdp8bD3Usgezq2kWrPDGRWZi44o3LeKj0Wn1 +d7kri5wlH9uZWqPr371IDM7BFW91NxfhDFxxlLuyiO2deBd8YsVYk+O3wb9V +PGqR3wi1scN7FumBCej4D7obi8yKhd68yMw4BZe8311bJNbfPH/r5KiFY967 +SE2xaqolRy17MLuaYtVUSw4tRoPRXjSZv6U44iOL/E3FFbjjY+7eIjYW+fgi +PjZ2+cQiPsyDIz7lLi/CAFjgs+7aIms44dOLxMiVI1YNupG2/KmzWCQXN3xu +kRo0ON39BXdzEZsW/+IiPjbt/6VFfHqZQW89aXxa/5hmH72IjQu+vIiPTfcf +u4hPbz31MoPaasjVQyxW+MoiOZgCWxzn7i2iu2n17/i2F+EYnPB1d3ERmw7/ +xiI+Nh3+zUV8bNr8W4v41MIBxy9Sk40NvruIj40DvreIjwZ3ps7yx4twBhb5 +obu1SCx2+P4iOfZiD2a3J2tyxIqxN3swuz1iGD3U/tEitfnYeviWfEO+Hd+U +d4sTfrbIO8YNWOMX7s4iNnb55SI+Nk44YRHfrfWt/trdXYQJ6PrfuVuLrOGG +3ywSc0t912LV0FtPvcwgFxv8fpEamIGO/6O7t4hN2/9pER8bJ/x5EZ9eZtBb +Txqelv9rs/+yiI0b/raIj40b/r6IT2899TKDNbr/H4vEsLHAieVj44h/lo9O +putP9a0uwhQ44d/uYq3R+f+tGGt090kVQ4fT2Se35z9lr1K1Tq7YlWpNjm/R +N+1b/lbVxg2nVQ8an44/17e0CEPghrPac+Yimo62O6M9p1fuGmWfVmtrVewZ +tdflay/2vE71UPvsqr1O2WdVbyxxXs3Axgnnl4+NHS4oHwag+y9vz2WLcAaW +uag9F5aNIy4uH5uuv6R8bFr/0vKphQOuqJpsbHBl+di44ary0eV0/w3tuX4R +nY8Trm3PNRVL919dOZvVzJdVz20q55qKwQx06U3tuXERzb9D1b6uam9f9rXV +e6eKvaFyadubqwbdTCcve1j7Fg+LRqaV72rPnYswB864tT231Brdf3fFWMMZ +t1UMxsAVd7Tn9rL3qlp3VOwetXZb9abVlzssM6iNE+6pHg/5f5buOvrCqkrj +ON3dKd3dKna3cruvhR3YiYrdOnbr4NjF2K1YDCqIIt3dIN0w57Oe/ce71p59 +dr3nPed3ny84WjOa7f4jwhU45N723HNEuAJn3N2euyr3wLLvrLWDK/buevc9 +6l3swaHVQ+37qvahZetBa9KstOqkZsUJK3cyM81H+z2g2dt2wgh0wOrNXq0T +rsAaqzZ7lU5sMdb4xNIOa3SSQ5fhhHWavXYnZ9mZdVbPqzXcsG4nMZiBjl+/ +2et1ovHp+E2bvUknDIEbNmr2hp3E0v4bdJKDYejAtZq9ZidrcsSK0UsPtfXE +HHqovXEntfnYeqjlHcyuplmwxWadzMTGDZt34qPx6frtm71dJ3vJx7an1uj8 +HTqJwSG4Yqtmb9kJZ9Dl2zR7605s38S34BMrxpoc38438S18w3F91x076YER +6P7dmr1rJ7NinS06mRlj4Ipdmr1zJ7E4YPdOctRyVnbqpKZYNdWSo5Z3MLua +YtVUS45a2GKPTmqyccOenfjYWGKvTnwYgO4/sNkHdMId2GafZu/diY299u3E +x8Yd+3XiY+OO/TvxqYUlDuqkJhtHHNyJj03XH9KJj46n+w9v9oM70ek44oHN +PqyTWLr90E5y9DKzWfW0JkesGIyAFR7q7nTCCHqo/aBOavOx9aBxad1n+Fad +zKKGXDPRzGKsPa0TjUwrP7bZj+mEM7DHI9zFTmxc8chOfGxc8ahOfGy6/dGd ++NTCAY/rpCYbGzy+Ex8bNzyhEx8mMJNZntoJk2CTJ7tLncRijSd2kmMvcNDD +OtkTa3LEirHmHcwuBtPoofZTOqnNx9bDXuGGIzrZMzaW6HTiowvpvhc7C51w +CE7ou1udxOKSbic5bDHW+MTSgYNOcjAEbhi7y51oTFrzdWbvZA0XTDqJwQm4 +YeZudKLL6f5nuwud6HycsHRXO4ml++ed5OhNiw47mcGaHLFi9NJDbT1pfj3U +fmYntfnYeqjlHcyupllwx3M6mYmNE57biY9upvNf6q50spd8bHtqje5/WScx +mASHPN/d7IQx6PIXuiud2L6Jb8EnVow1Oc6iM+0sO5Nq44iXd9IDM+CEV7sb +ncyKbY7sZGY6D2e80l3rJBYnvKaTHLXowKM6qSlWTbXkqOUdzK6mWDXVkqOW +b+5bq+nb44bXd3IG/E77Xf5js//QiW7HBW91lzrhDOzxRnexExvrvKkTHxtn +vLkTH5vuP7oTn1q44m2d1GTjhGM68bGxw9s78dHotPp7m/2eTjQ/7f8ud6uT +WNzxjk5y9DKzWfW0JkesGEyBI97vrnXCIHqo/e5OavOx9dBbjlgzyMUiH+ik +Bg1NS3/B2euEEejmj7lLnTAJDvmQu9vJGm398U5irOGSD3cSg0Fwx0ed9U5s +NdXiEyvGmhy96fYvdjKD2jjjE530wAhmNNvnOmEMrPEZd6sTxsAan3I3O8nl +Y6thTY5YMd7dO3kXe4Bx9FD7s53U5mPr4SzRen/q5EyZFWt8qZOZaV667+fO +RiecgSOOddc6YQys8RV3rRNbjDU+sTjjq53k0GS02dfd7U7OtjPrrDrj1nDF +NzqJwRQ44lvuSieMQPf/oNnf70T344rvurudxOKAb3eSozeu+Z9OZrAmR6wY +vfRQW09Moofa3+ukNh9bD7W8g9nVNAvOOK6TmdjY43878dHNdP4v3Z1O9pKP +bU+t0fW/6iQGh2CTH7urnTAIFvmpu9OJ7Zv4FnxixViT49v5Jr6Fb6g2dvh1 +Jz0wAp1/vLvTyay454edzIw7cMrv3L1OYv3N8bdGjlq45Ded1BSrplpy1PIO +ZldTrJpqyfGf7fSfEfXvRP27UWcPN/y5kzPob6y/i/t0mx7rhmNwzonuWiec +gT1OcNc6sVeotRMqFmf8tXLoVtxwkrvTicakG68xa61hi5MrBlNgi1PcxU50 +PN1/hr3tRKfjiH+359ROGIBu/5e7Vr3p5L/VDGtVzqkVs1r1+Ef1XLd6qH1a +1V63bD1oVxqVNt2raq9S76IH5sAeZ7XnzE50Mt1+cXsu6kQzb1n2hZ1wBxY5 +tz3nlI0zzisfG2ecXz61sMAlVZONEy4t3w61p/byqk6YY4eyr6zZcM7ZNSNG +wSpXtOfy2tsNavYzqjYWuax6bFc1r6ycjeodzqma21bNyyvHt8UG19Y3xhBY +4vr2XNeJ5qP9Vm9na7VumAEn3Nie/1QsLrmhcti719oNFYsjbqoc3wUn3Nqe +W6r3LtXr2lrDDbdVDGag4+9oz+2daHw6/r723NsJQ+CGu9tzV8XS/ndWzp51 +Dm6uGQ6onLsqZt/qcXv1PLh6qH1P1T647Lur1t41+801C7a4v2Zi44YVuvHR ++HT9mu3/XqObveRj21NrdP5a3cTgEFyxcrNX6oYz6PJVm71KN7Zv4lvwiRVj +TY6ziCMv6ORMqk23rd1ND4xA92/Q7PW7mRXrrNjNzBgDV6zX7HW7icUBG3aT +oxYtuE43NcWqqZYctbyD2dUUq6Zacszmjl1YM6qNNTbqpgcbR2zcjY/mp/23 +afbW3TABH3urbjgE62zW7E27sbHY5t342Dhki258auGGbbupyabjH9CNj26n +83du9k7daHg+9o7daE7a80H2rhvdjit2aPb23fTCOVt201Ntun67bnqIVVMt +OZgBO+za7F26iVVTLTlqeWfvqqbZ5Ig1o1wcsVs3Nehkun2/Zu/bzW8DH9tv +hDXafv9uYnAINtnD3e6GOXDGXs3esxvbb4zfFj6xYqzJsRd0+oO72RO1ccQB +3fTAIDjh0GYf0o3Gt4f27rBumAW7HNzsg7qJFWNNjlrY5MBuaopVUy053t07 +mN0eiFVTLTl+K/0G+u37c82KLQ7vZmaal+7rOlvdcKwz5Sxt0g1TYIuHuXvd +5OKWh3RTgy3GGp9Y3PHwbnIwBG54dLMf1Q0z0PGPdTe60fh0/JOd/W4YgY/9 +xG7ugpnM4k5gDFzxBHe5Gx2oh9qP7KY2VnhcNz3EqqmWHLOYQW8ziVVTLTlm +pysf0c07sPVQm8+s2OMp3cxMs9PuQ2e9G83Px+53s0bnj7qJobPFWOt1wyBY +5Ah3pxvbN/Et+HCMGGtP7+ZbqSHXN6Pr6fiFu9QN58gR+7RuZsU9T+1mZrYY +a3yYQA25s24YBmdM3f1uOIWPPe5mTY5YMd5NjDXvaBZssexmJjZueGY3PjaW +eFY3PrqT7n+Bu9kNd2Cb57iL3dg46Lnd+Ng448hufGy69Xnd+NTCEi/spiYb +R7yoGx+brn9xNz46nu5/RbOP6kan44iXubvdxNLtL+kmRy8zm1VPa3LEisEI +WOFVzX5lN4ygh9ov76Y2H1sPGpfWfbdv080sasg1E80sxto7u9HItPKb3K1u +OAN7vNZd7cbGFa/rxsfGFa/vxsem29/QjU8tHPDmbmqyscHR3fjYuOEt3fgw +gZnM8o5umASbHOOudROLNd7aTY69wEGv7mZPrMkRK8aadzC7GEyjh9pv76Y2 +H1sPe4Ub3tPNnrGxxHu78dGMdN6xvn03HIJTP9Ds93cTi0ve100OW4w1PrG4 +4oPd5Pi7iRM+4q53oxlpx+/b+27WsMRHu4nBEHT8x9ydbnQ7NviMu9CNDscN +n3T3uomlyz/eTY7e/k5/qJsZrMkRK0YvPdTWExPoofanuqnNx9ZDLe9gdjUx +B674XLM/242NNT7fjY9Opuv/x9nvZi/52PbUGp3/tW5icAcW+ZK72I0mp82/ +4m53Y/smvgWfWDHW5DiLzrSz7EyqTbd9vZseGAI3fMfd6mZWnPOFbmamo7DK +t9zlbmJxwHe7yVGLzvpGNzXFqqmWHLW8g9nVFKumWnL8hvotvbs9d3VT2xnw +7fU4oGKs3dmNjscBP3V3uuEQbPO/7l43Nvb5YTc+Nu74UTc+Ng74cTc+tXDE +z7qpycYWP+/Gx8YVv+jGR8PT8r9zV7rR6LT6r93dbmJxwC+7ydHLzGbV05oc +sWIwBE443t3phkn0UPs33dTmY+tBE9O5//atuplFDblmWqtirP2rG81NJ5/o +bHfDGFjjT+5iNzYW+XM3PjbO+Es3PjbOOKEbn1q091+rJhsX/K18bKzw9/Kt +WTOZ5Z/dcAUu+Ye7VbE446TKsRfY6Q/d7MmqlXNyxVjzDmYXs0b1UPuUqr1G +2f+ovcIGp9WesXHE6eWjaem6G5yFbhgEJ5zVnjMrFnucUTnrV8yZ5ROLI86u +HDqKtjuvPed2w8jOqLP5g1rDDedXDGag4y9szwXdMAIdf3l7LutGl2ORS9pz +ccXS6RdVjt502zk1w5aVc3HFbFY9Lqie21QPtS+t2tuUfUnV2qRmP6dmwQlX +1Exs7HBl+ehiOv3G9vyn9nL3sm+oNVr+porBJVjnmvZc3Q1jYI3r2nNt2bvW +t7iuYneuNTl+G+ypvfx+1cYNN1cPzIEL7mjP7TUrDrqqZsYoWOW29txasf7G +3Fk5amGRW6rmvlXz9srZod7h6qq5d9W8tXL8LcMN93TzN42NJe4tn7+p/g4+ +t9f0Vi8cglVWaPb9FYtL7qsctpj7yycWB63YSw5GwAWrNHvlXjQirbhDs7fv +ZQ07rNpLDGagu1dv9mq96HQ6ft1mr9OLDqf112r2mr3E0uVr9JKjN65ZqZcZ +rMkRK0YvPdTWEwPoofbavdTmY+vhXrunn272p3qp7Z28ix6YATus3+z1etHd +tPoWzd68F53Nx96sFw7BNhs1e8NebByxcS8+Np2+SS8+tXDAlr3UZGODrXrx +YQp7ai+364U7+NgP6GU23LNBLzPiBuyxbbO36WVvvYPZ7bHauGLrXnqIVVMt +OWp5B7OrKVZNteT4tlhhx16+MUbACjs3e6deNB6t91DfvhdGwAW7NXvXXmKx +yC695LDFWOMTixt27yUHI+CCvZq9Zy+99dTLDNZwwt69xGAEun3fZu/Ti6an +2w9u9kG9MANOOKDZ+/cSS+vv10uO3thlj15msCZHrBi99FBbT4yhh9oH9lKb +j62HWt7B7GqaBUsc0stMbJxwaC8+mp6Of7i70ste8rHtqTW6/hG9xOAOHPGg +Zj+wF66gww93lnuxfRPfgk+sGGtynEWcuGkvZ1JtOu2RvfTABHT+45ylXmbF +Nof1MjOmwBGPcdd6iaX7H99Ljlq036N6qSlWTbXkqOUdzK6mWDXVkmM2d8zd +MqPa2OIJvfRg44Yn9uKj8Wn9jrvUCwPwsZ/RC3dgm6e4i73Y2OupvfjYuONp +vfjUwgndXmqy6fZeLz46na6fuBu9aHY+9qgXjUlrHuVde9HpOGLoLvfSC9c8 +vZeeatPx/V56iFVTLTkYASvM3L1eYtVUS45a3tm7qmk2OWLNKBc3zHupQRfT +6c9zd3r5beBj+42wRss/v5cY3IFFlu52L4yBK57trPdi+43x28InFhs9s5cc +e0GXv6KXPVEbN7yglx6YAxe81F3pRdPbQ3v3sl4YBau82N3rJVaMNTlqYZEX +9lJTrJpqyfHu3sHs9kCsmmrJoTVpVlr1hJoVS7yyl5lpQrrv/c5aL9zqTDlL +T+qFGbDDa5r96l5yccqreqnBFmONTywd8dpecjADTniju9MLI9Dtb3bXetH0 +dPvbm31ML0zAx35bL3fBTGZxJzAFjniru9mLDtRD7df3UhsbHN1LD7FqqiXH +LGbQ20xi1VRLjtlpodf18g5sPdTmMyvWeEcvM9P0dPyHnd1eND8f+4O9rNn3 +j/QSQ2eLsfaBXhgDa7zXWe/F9k18Cz7cIsbau3v5VmrI9c1ofDr+k+5CL1wj +R+y7epkV57yzl5nZYqzxYQo15H68FwbBHR/zt6CXc8PH/mgva3LEijmhzpY1 +72gWGoT2MBMtgh0+04smWbnfdFN7/t6ev/XDAHT/l93NXrgD23zOXezFxl6f +78XHxh1f6MXHxh1f7MWnFpb4Si812Tjiv3vxsen6Y3vx0fF0/zeb/Y1edDqO ++Jq720ss3f7VXnL0MrNZ9bQmR6wYjIAVvt3sb/XCCHqo/fVeavOx9aBxad3f ++Da9zKKGXDPRzGKs/aoXjUwr/9Dd6oUzsMf33NVebFzx/V58bFzxg158bLr9 +uF58auGAH/VSk40NftyLj40bftKLDxOYySy/7IVJsMnP3bVeYrHGT3vJsRc4 +6Du97Ik1OWLFWPMOZheDafRQ+xe91OZj62GvcMNve9kzNpb4XS8+mpHOO9NZ +6IVD/LOOPzT7+F5iccnve8lhi7HGJxZn/LGXHMyAE/7irveiGWnHS83eyxqW +OKGXGAxBx5/o7vSi2+n8f7Tn5F50OG74u7tXsXT5XytHb1zzp15mWKly/lYx +eq1QtfVctXqofVLVXrVsPdTyDmb/U82CK06pmdhY45/lo5Pp+rPdldrLDcs+ +s9bo/HMqBndgkX+359ReNDltfnp7Tit7/foWp1fsurUmx1l0pp3l46o23XZu +9cAQuOGi9lxYs+Kcf9XMdBRWuaA951csDri4ctSis86rmptXzQsrZ616h1Or +5qZV8/zK2aq++SVV07fHFZfVGfCb7Hf2gPa3bP9+dDwOuLY91/TCIdjmivZc +Xjb2ubJ8bNxxVfnYOODq8qmFI66rmmxscX352LjihvLR8LT8re25pReNTqvf +1J4bKxYH/Kdy9NqpZr261vaoWDEYAifc3p7bemGSvav2zVV7r7Jvqt77Vuyt +lYs17qgaNDjdvGbbqzX60dx08v3tua8XxsAad7XnzlqjpVfoJ8YaNrm7YjAH +zri3PfeUfWjVurdiD661u6s3Hb5WPzOojRtW7KfHw2tGs63eD2fglFXrdwtn +4A6/Yyv1k8vHVsOaHLFi9q93urP2APfoofZq/dTmY+vhLNFuB/ZzpsyKHdbu +Z2Yal87bpdk798MkuGH9Zq/XD4NgkXWbvU4/thhrfGJxxQb95NBVtN7Gzd6o +n7PtzDqrl9UajtiknxgMQddv1uxN+2EGun6bZm/dj07HJls2e4t+Yun2zfvJ +0ZuO27CfGazJEStGLz3U1hOj6KH2Vv3U5mProZZ3MLuaZsEN2/YzExtLPKAf +H51Mt+/W7F372Us+tj21Rtvv3k8MTsE+OzR7+36YA3vs1Owd+7F9E9+CT6wY +a3J8O9/Et/ANMQWO2LPZe/TDIDhhv2bv28+suGi7fmbGLNhln2bv3U+svzn+ +1shRC5vs1U9NsWqqJUct72B2NcWqqdZedaadu5NKkzl7uOKgfs6gv7H+Ln6u +2Z/th0uwy2Hubj8cglMOafbB/dhirPGJxUUP7CcHM+CEw92lfjQj7Tgyaz9r +WOIh/cRgCDr8Ye5KP7qdrn+Mve1Hl9P+j2z2I/qJpdMf3k+O3jjnQf3MYE2O +WDF66aG2nphAD7Uf1U9tPrYetCyNSpt+pmp7J++iB4bAEo9z9/rR4bT7092F +fnQ3H/up/WIcd8Ld6MfGFU/qx8em25/cj08tXPCMfmqyscIR/fgwhj21l4N+ +OISP3e9nNhz0+H5mxBFYpOcu97O33sHs9lhtnNHpp4dYNdWSo5Z3MLuaYtVU +S45vix3G/XxjzIAdpu5SP5qP9nu1b98PM2CHhbvZTyw2mfWTwxZjjU8srlj2 +k+O74IRnu2v99NZTLzNYww3P6ScGM9DxR7pr/Wh8Ov7F7kI/DIEbXuDu9RNL ++z+vn5xP1zl4Zj8zWJMjVoxeeqitJ+bQQ+0X9lObj62HWt7B7GqaBVu8pJ+Z +2Ljhpf34aHy6/rXuSj97yce2p9bo/Nf1E4NDcMVR7mY/nEGXv7LZr+jH9k18 +Cz6xYqzJcRZx41P6OZNq022v76cHRqD7j3aW+pkV67ysn5kxBq54k7vWTywO +eEs/OWrRgm/op6ZYNdWSo5Z3MLuaYtVUS47Z3DF3y4xqY4239tODjSPe1o+P +5qf93+cu9cMEfOz39MMhWOcd7mI/NhZ7Zz8+Ng55Vz8+tXDD+/upyabjP9CP +j26n8//L3ehHw/OxP9KP5qQ9v27v+tHtuOLD7nI/vXDOu/vpqTZd/8F+eohV +Uy05mAE7fNzd6ydWTbXkqOWdvauaZpMj1oxyccQn+qlBJ9PtX3C2+/lt4GP7 +jbBG23+xnxgcgk0+5W73wxw44zPuTj+23xi/LXxixViTYy/o9G/0sydq44gv +9dMDg+CEr7q7/Wh8e2jv/qcfZsEu/+2u9RMrxpoctbDJl/upKVZNteR4d+9g +dnsgVk215Pit9Bvot89vplmxxTf7mZnmpft+72z1w7HOlLN0TD9MgS2+4+71 +k4tbvtVPDbYYa3xiccd3+8nBELjhOHexH2ag43/obvSj8en4nzv7/TACH/un +/dwFM5nFncAYuOIn7nI/OlAPtb/fT22s8KN+eohVUy05ZjGD3mYSq6ZacsxO +V36vn3dg66E2n1mxxy/6mZlmp93/5Kz3o/n52H/oZ43O/3M/MXS2GGvH98Mg +WOS37k4/tm/iW/DhGDHWft3Pt1JDrm9G19Px/lnVX/vhHDlif9XPrLjnl/3M +zBZjjW/FqiH3xH4YBmf8X3tO6IdT+Nh/6WdtxYoV493EWPOO/rsI/HcO/Kn+ +/4BoN+xwcj8a7quDdrbb85JhO5vDMA5u+Fd7/tkPd2CbU9zFstestVMqlk49 +tXIwA3Y43d3rRzPSjrfYi1rDEWdUDE1Ph5/VnjP70fx0/QXtOb8fhqDrz23P +ORVLu59dOXrTyv+uGTaqnHMqZv3qcWb13LR6qH1e1d60bD3cUXf1BW1Pnj9I +7XXrXfTAHTjiovZc2I9mp92vbs9V/ejmHcq+sh8OwRWXtueSsnHFZeVj0+2X +l08tXHBN1WRjhWvLt2ftqb28qR8m2LPsG2s2rHNxzYhTcMkN7bm+9naLmv2C +qo1jrqseYrHMfypnq3qHS6rmLlXz+srxbXHDrfWNcQTOuL09t/Wj8Wi9Ddpe +rj8Io+KEu9pzZ8Vikzsqh31Ard1Rsf5O3l05GAEr3Neee6v3vtXr1lrDDfdX +DA1PZ6/Yeq8wiMan41dv9mqDMAMdv0qzVx4kljZfaZAcvf2tvqdmsCZHrJjD +qofaeuICPdRedZDafGw9Dq53uLdqmgVbrDHITGzcsOYgPpqejt+o2RsOspd8 +bHtqja7aeJAYHEKHr9PstQfR5XT7es1edxDbN/Et+MSKsSbHWcR9V/RzJtWm +izYZpAdmoOu3bPYWg8yKddYaZGacgjM2b/Zmg8TS9VsNkqMWrbXpIDXFqqmW +HLW8g9nVFKumWnL8Z2v9Z2j9O2X/btms7tyVNTOOwBnbtNitB9H8tP8uzd55 +ECbgY+80COdgn+28+yA27th+EB8bB+wwiE8t3LDrIDXZdPxug/jodjp/n2bv +PYiG52PvNYjmpD0fZe8G0e24Ys9m7zFIL+yx4yA91abrdx+kh1g11ZKDGbDD +fs3ed5BYNdWSoxcd/OhBeqptD7y7HnJxxP6D1KCT6fYHOtuDaGY+9qGDcAcW +OdBdHsTGGQcN4mPjjIMH8amFBR40SE02TnjwID4MQLc/otkPH4Q5+NgPG2TN +ntkrMRgFqzzU3Rpkr+2Bd7fnamORwwfpIVZNteR4V+9gdu8sVk215Ngr7PCY +QfaMhqPl5s7SIJqWjz0dhGudIWdn20GYASc83l0bpBZOeewgNdlirPGJpaOe +MEgORsAFT2n2kwfR7HT209yFQTQ7Hd5rdncQRuBjdwY5+2YyizuAS3DFEe7u +IDpSD7WfNEhtWv7pg/QQq6ZacsxiBr3NJFZNteSYnRZ84iDvwNZDbT6zYof+ +IDPT6XT7M53tQTQ7H3sxyBpt/6xBYuy9GGu+AcbAGhN3ZRDbN/Et+HCRGGuj +Qf72+Jvgb4G/QTS733i/7c8bhHvkiB0OMisOGgwyM1uMNT4MoYbcIwfhGNzy +XHd9EKbhYz97kDU5YsV4NzHWvCOtgRteOIjmwBE448Xu1iB/U/0d/Fd7/jkI +p+CalzX7pYPEYpOXDJLDFmONTyyuePkgOZgAG7zSXRxEJ9KSH/Gug6zhhFcN +EkNz09mvcfcG0fB0+Zua/cZBdD7d/np3eZBY2vy1g+TojUuOGmQGa3LEitFL +D7X1xAF6qP2GQWrzsfWwV/bI3tgztb2Td9EDN+CKo929QXQ63f5ud2kQHc7H +fucgXIMb3uYuDmLT5ccM4mPT5W8fxKcWFnjPIDXZdP97B/HR3PbUXn5oEMbg +Y39wkNmwz1sGmRF3YJMPuMuD7K13MLs9VhtbvG+QHmLVVEuOWt7B7GqKVVMt +Ob4tLvjoIN8YJ2CLj7lLg2g62u47vv0g/0wXF3yy2Z8YJBbLfHyQHLYYa3xi +scSnBsnBBNjgs+7yIL311MsM1nDC5waJodnp6i+4S4Noerr9WN96EEag27/s +7g4SS4t/cZAcvXHJpweZwZocsWL00kNtPXGAHmp/ZZDafGw91PIOZlfTLFgC +J5mJjRMwEx8NT7d/z10ZZC/52PbUGh31/UFicAfd/Q13cxAdTqd/y1kexPZN +fAs+sWKsyXEWseI7BjmTatM9PxikB0ag43/sLA0yK7b52iAz4xJc8UN3bZBY +Ov4ng+SoRUsdN0hNsWqqJUct72B2NcWqqZYcs7lj7pYZ1cYKPx2kBxtX/GwQ +H41P6x/vrA/CAHzs3w3CNVjnV959EBtn/HoQH5vu/80gPrVwwh8Gqcmm2/84 +iI9Op+tPdDYH0ewrlH3CIBqT1jzfuw6i03HEX9ztQXphjd8O0lNtOv5Pg/QQ +q6ZacjACVvibuzRIrJpqyVHLO3vX39ZsK1XsiZWLG/5eNehiOv3f7s4gvw1r +l/2vWqPlT6sY3IFFTm7PSYMwBq44xVkv22/MP8u3WsX8o3LsBV1+Qe2J2rjh +9OqBOXDBOe05exBNv2nt3bmDMApWOas9Z1bsJrV2TtXCImdUzQ2r5tmVs0q9 +w0m1B+tXzTMrh9b0z4kPGURzmhVLXFgz07R03h3O1iCM7Ew5S78YRBPQBpe0 +5+LKxSkXVY0tK+bi8omlqy6tHIyAC65szxWDaHa6+ur2XDWIZqfD/9OeGwZh +hN3Kvn6Qu4C1fz7IncAluOK69lw7iK7crmpfXrVp92uqxy5V8/rK2b5muKpm +2qlqXls5ZqcNL6t32LZ6XF4+s2KHG2vmg2pf72nP3YNo/IPKvqvW7P29FXNA +xVi7cxBNTpvf1p5by96vvgXf3hVj7Zb6VgdUrm9Gw9PlKw/bvRiGe/au2Jtr +Vhx0U83M3qvW+B5UNeSuOAzH4JAVmn3/IEzDx76v1uSIFXNIxdxX7+isYaJD +673Nhh1WGWZGNs5YdRgfjU/rr9fsdYdhAD72OsNwDq5Zo9mrD2NjnTWH8bFx +21rD+NTCCesPU5NNd28wjI9Op+M3a/amw2h2PvYmw+hO2nSvZu85jE7HAhs3 +e6NheuGetYfpqTYdv+EwPcSqqZYcDIAFtmj25sPEqqmWHL3o3r2H6am2PfDu +esjFGVsOU4MOp913aPb2w+huPvZ2w3AJ1tm62VsNY+OKbYbxsen2bYfxqYUL +dhymJhsr7DSMD2PQ4Xs0e/dhOISPvdswa/bMXonBIFhk12bvMsxe2wPvbs/V +xoY7D9NDrJpqyfGu3sHs3lmsmmrJsVdYYZ9h9oxGpOse5ywNowv52I8ZRuPR +eh21h+EaHLF/s/cbphZW2XeYmmwx1vjE0tEHDJODGbDDIc0+eBhmoNsPa/ah +w+h0uv6hzvowmp2Pffgwf5P9bf5Ks788jC7HEQ9u9oOGYRQ91D5omNp0+wOH +6SFWTbXkmMUMeptJrJpqyTE7FjhwmHdg66E2n1lxxsOGmZmmp+Of6GwPo5v5 +2I8fZo2uetIwMfZejDXfAJfQ5Y92V4axfRPfgg+niLH2yGHOIm58wDBnEiPQ +8c9wd4ZhGjliHzHMrNjo4cPMzBZjjQ9zqCH3acPoLCzzVHd9GM3Fx37yMGty +xIrxbmKseUezuWPulhnN5kw5S2Z0tnBGd5gzhgHo/qm7NIyu52OPh+ESrNN3 +F4exsdBgGB8bhwyH8amFJWbD1GTjiPkwPpqddn9Os589DDPwsZ81jEalO19v +r4bR7LT7M93lYXrhjtEwPdXGCYtheohVUy05mAI3HOkuDhOrplpy1PLO3lVN +s8kRa0a52ON5w9SgkWnllznLw2hwPrZ/52KNrn/5MDEYBIu8wF0ehlNwx4uc +9WFs3OHf1fCJFWNNjr2gxd8wzJ6ojR2OGqYHLsEhr3GXh2EGe2jvXjsMd+CW +Vw3zv8sjVow1OWrhEv+7PWqKVVMtOd7dO5jdHohVUy05/jbQdf89zN8Is+KK +Nw4zM91L537cWRvm7DlTzpIziFFwxNHNfvMwudjkTcPUYIuxxicWZ7xlmBy6 +Dze8w10Yhhno+He5S8MwAh3/AWd/GIbgY79vGI3qb5y/bV8aRrdjlfe628No +Sj3UPmaY2nT9u4fpIVZNteSYxQx6m0msmmrJMTtd+NZh3oFNK75tGJ9ZccQH +h5mZZqfdP+3sDqOb+difHGaNzv/MMDE0tRhrnxiGg3DHfznrw9i+iW/Bh2vE +WPvIMN9KDbm+GSawR/bmi8MwkByxHx5mVuzzoWFmZouxxodZ1JD7hWGYBtt8 +vtmfG4ZT+NifHWZNjlgx3k2MNe/o33WuXv+MwD8rcPawxbHDnEF/Y/1dXX3U +YkfReLTeX8w2DKdgma+5u8Pk4pavDlODLcYan1ic9PVhcjADdvi2uzAMM9Dd +33V3htHpdPwP3YVhNDsf+7hh/rk1jUgbrjaMTscCP3B3h2EUPdT+5jC16fjv +DdNDrJpqyTGLGfQ2k1g11ZLj3WnhE4bZA++Co74xzDuZFUf8aJiZ6XY6/rfO ++jC6nI/962F0OB/7V8NiHmfa2R7Gxhk/H8anFjb43TA1MYVv4lv8aRju4GP/ +cRjmUVOtnwwzG9b58TAzssVY4/Mu9sxeeSecgVX+4K4Pwxx87N8Ps6anXmLM +JsaaGTECVjjR3RlG09F2F/v2w2jaLcu+cJi9XaFi7TFmwAl/d5eqFlb5a9Vc +qWL+Vj6x2OKkysEIuOCfzv4wmp2uPtXdGUaz0+FntefMYRhhg7LPGIZVnLHV +qi4uwRWnt+e0Yc7dGlX7H1Wbdv939Vivap5ROWvWDP+qmdapmqdVzqp1jk+u +d1itevyjfGbFDmfXzDQ83XRZey4dRuNvU/YltUa3XF4xW1XMJfUNaHLa/IL2 +nF/2FvUt+DatGGvnDXMWceQvhjmTNDxdfm17rhmGezat2HNrVhx0Ts28ccWc +W74dq4bcq4fhGBxyVXuuHEZ3bV/2FbW2Y8VeVe+2Xa15R7Ph2l8OMyPbHXO3 +flmzYonramY6n66/oz23D6P59yv7tmGYYN+ybx2Ge3DOjfaibOxzU/nUorPv +rJp0Od1+f3vuG0ajH1r2vcNodFp9xfa3dYVRdCkdummzNxlFh/KxNx6lF068 +uXrS8VjhnvbcPYymP6jsu2rtkOp1T812YK3dWbVw1C1Vc+9651vLd1jNaDbv +QLfT8Wu3/3utUXQ5H3vNUdZo/XVGiaHLxVhbYxQOwhmrNnuVUWy/MX5b+HCN +GGsrj7IXtPlmo+wJ5qDLN2r2hqMwkByxK42yl3xseyrWHto7OThGDbkbjMIs +2GX9Zq83CjfysdcdZU2OWDHeTYw17+i30Tt6N7+RNB1tt1+z9x1F99K5+zR7 +71E0Pl3/gGZvOwr37l5n6YZhmAJbbNnWthjl3bHM5qPswdMrxhofzU5nb9/s +7UbR7HT4rs3eZRRG4GPvPEpvOWLN4C7g7uuHuRPs3WqW62sWXLPVKDPhGByy +U7N3HEXn87F3GGVNT73EmE2MNTPSqfbAu28zSm26detRerDFWOOj4Wn5g5p9 +4Cg6no99wCgam272v435wFE0vhhr+4/CQbhjL3dnFNs38S34fCs5Yn0z38o3 +9O18M0ygh9qHjcIgfOxDR9lr7LPbKHvOxkm7j+LDTWbQe49R1vjYYrwbzjl4 +lHdkY59DRvGx9dSLb49ntVrtuak9N5a9V3tuLh/OwCEPdddGsbHJw0bxsbHM +w0fxYSBc8Uh3dRQmwAaPcZdHWcMNjxolRq4csWrQkbTms73rKLk44rGj1KDJ +6fDHu2uj2LT5E0bxYQAs8CR3e5ReZtBbT5qf9n9Ks588io0TnjqKj40DnjaK +T2899TKD2mrI1UMsdnj6KDkYA2sc0exnjKLDafeRuzUK1+CGrrM6ik2X90bx +seny/ig+Nq0+GMWnFi4Yj1KTjRUmo/jYuGA6io8mt6f28pmjcAc2Wbjbo8Ri +idkoOd7FO5jdO1mTI1aMd/MOZveOmEYPtZej1OZj6+Hvmr99p7fntFG+LW54 +zijfGEdgjyOb/dxRbCzzvFF8bOz3/FF8GBZHvNDdHIUBsMBLnP1R1nDCi0aJ +kStHrBp666mXGeTihpeOUoPmplNf7m6OYtOuR43iY9P+rxjFp5cZ9NaTxqf1 +X9XsV45i44JXj+Jj0/2vGcWnt556mcEaNnjtKDFsHPG6UXxsbPH6UXx0OB3/ +VndjFI7BCW9010ZZo6veNkqMNTr9TaPE0Oi0+tHu2ii2mmrxiRVjTY6z6Ew7 +y86k2nTRMaP0oNFp9fc6S6NwCDZ5l7s2imaind7hLo2Sy8dWw5ocsWK8q3fy +Lt4Zo+ih9rtHqc3H1sO38Q3svW9kFmzxvlFmwhTY4gPu4iian87/mLs0Cudg +nw+5i6PYuOzDo/jYOOIjo/jY2OKjo/jUwg4fH6UmGzd8YhQfmw7/5Cg+up2u +/7y7MYoup/0/426NEkunf2qUHL3MbFY9rckRK4bmp/2/6G6NwgR6qP3ZUWrz +sfWgc2nh45ytUWZRQ66ZaGAx1r4/is6mxb/m7ozCIdjmK+7aKDaO+O9RfGw6 +/dhRfGw6/auj+NTCAl8fpSYbJ3xjFB8bO3xzFB/mMJNZvjcKc2CP77h7o8Ri +v2+NkmMvcNKXRtkTa3LEirHmHcwuBufoofZ3R6nNx9bDXuGE/x1lz9jY4Yej ++OhE2vFvzsIoTEAH/cRdGyUWm/xolBy2GGt8Yumkn46SQ8fhgF+4a6OcXWfU +2XSGrdHtvxwlhuams3/tLo2iyWnzPzT7+FG4A4v8zl0aJZY2/80oOXrTaj8b +ZQZrcsSK0UsPtfXEJHqo/ftRavOx9VDLO5hdTbNgiT+OMhMbR/xpFB+dTref +5K7UXq5S9t9qjbY/uWJwD444wd0chSvo9BPdlbJXqm/BJ3aFWpPjb4O/Cf4W +vK9q093/qB6Ygy7/t7sxyqzY58+jzIxDsMq/3LWK9Zt3WuWoRcufUjXXqpqn +Vo5a3sHsf67YNauWnAvH7cy251uTdp4n+S3FDWeM8puKI7DHWe05s2xccnb5 +2FjlnPLhPtxzXnvOHUXz0/4XtueCWsMF51fMxpVzbtWgIenGe+1F5dLxF1UN +mpvOvqQ9F5dNe19aPjatfln5NqsZLqieGAJLXNGey8um868sH5v2v6p8W1bP +i2uGbavG5dVDLJa4unIwBJa4tj3XjKKj6fab23PTKFxDd1/fnuvKprtvKB+b +zv5P+di0943lUwsL3FI12XT/reVjY4HbyndQ7am9vHsUbsAad7bnjorFEbdX +zo71DtfUO+1XOXdUzM71DtfVOx5YPdS+q2ofWLYezpIz5OycUd8WG9xX3xiX +YJUV2hm8v2xstOI4PvaD27PSOL7DR+GEVZq98jhMQNev3uzVxlnDDauOEyNX +jlg1Dq2e99cMcrHBGuPUwAx0/FrNXnMcm7ZfexwfGyesM45PLzPorScNT8uv +1+x1x7Fxw/rj+NhYYoNxfHrrqZcZMAXdv1GzNxzHxgIbj+Nj44hNxvHRyXT9 +1s3eahymwAmbNXvTcdbo/G3GibFGd28+TgwdTmdv2ewtxrHVVItPrBhrcpzF +Pess31i1ccO24/Sg8en4nZu90zgMgRt2aPb242g62m67Zj9gnFw+thrW5IgV +4129k3fxzphDD7V3HKc2H1sPvbHELuPMwMYJu47jY2OH3cbxYQC6f99m7zMO +Z2CZPZq9+zg2jthzHB+brt9rHB+b1t97HJ9aOGC/cWqyscH+4/jYuOGAcXx0 +Od1/mLM5js7HCQc3+6BxYun+A8fJ0cvMZtXTmhyxYjADXfqgZj9wHM2vh9qH +jFObj62H3nLEmkEubfvgcWrQzXTyk53FcTQyrfxIZ3cc5sAZD3E3x1mj+x81 +Tow1nPHQcWIwBq54uLs7jq2mWnxixViTozet/pRxZlAbJzx6nB40vRnN9sRx +uAKHPN7dG4crcMZjm/2YcXL52GpYkyNWjHf3Tt7FHmAOPdR+wji1+dh60Jo0 +K6361ZoVJzx1nJlpPtrv+c7GOIxABxzh7o3DFVjj6e7mOLYYa3xiaYfOODl0 +GU7oN7s3zll2Zp1VZ9oabhiME4MZ6PiRuzWOxqfjF+7GOAyBG6bu1jixtP94 +nBy96cDuODNYkyNWjF56qK0n5tBD7dk4tfnYeqjlHcyuplmwxXKcmdi44Znj ++Gh8uv6F7s44e8nHtqfW6PwXjRODQ3DFc9zVcTiDLj/S3RnH9k18Cz6xYqzJ +8e18E9/CNzy2vuuLx+mBEej+o9ydcWbFOs8aZ2aMgSte5u6NE4sDXjFOjlrO +ykvGqSlWTbXkqOUdzK6mWDXVkuO3x2+M3xa/QWpjjVeO0wNDYIlXu5vjMADd +/yZ3ZxzuwDavddfGsbHX68bxsXHH68fxsXHHG8bxqYUl3jxOTTaOOHocH5uu +f8s4Pjqe7n+nuzyOTscRx7hb48TS7W8dJ0cvM5tVT2tyxIrBCFjh3b7VOIyg +h9pvH6c2H1sPGpfW/axvNc4sasg1E80sxtqnx9HItPKH3aVxOAN7vM/dHcfG +Fe8fx8fGFR8Yx8em2z84jk8tHPCRcWqyscFHx/GxccN/jePDBGYyy6fGYRJs +8gl3aZxYrPGxcXLsBQ56zzh7Yk2OWDHWvIPZxWAaPdT+5Di1+dh62Cvc8Llx +9oyNJT4/jo8upPt+7CyMwyE44Uvu1jixuOQL4+SwxVjjE0sHfnmcHAyBG451 +l8fRmLTm780+zhou+Oo4MTgBN3zN3RhHl9P932n2t8fR+Tjhm+7qOLF0/9fH +ydGbFv3KODNYkyNWjF56qK0nza+H2t8apzYfWw+1vIPZ1TQL7vjuODOxccL3 +xvHRzXT+T92VcfaSj21PrdH9PxsnBpPgkOPczXEYgy7/obsyju2b+BZ8YsVY +k+MsOtPOsjOpNo74+Tg9MANO+I27Mc6s2Ob748xM5+GMX7lr48TihN+Ok6MW +HfiLcWqKVVMtOWp5B7OrKVZNteT4zfXbu15jz3Unqe0M+PZ6PKZirK0ziW7H +Bf/nLo3DGdjjj+7iODbW+dM4PjbO+PM4Pjbd/5dxfGrhihOrJhsn/LV8bOzw +t/LR6LT6P9tzyjian/Y/2d2qWNzx98rRy8xm/UutrVqxYjAFjjjVXRuHQdao +2v+o2quXrQedTBdfYW9rlrUq10zbVoy1y8ZhBLr5XGd7nN8NHHJ6e04rG4ec +UT42NjmzfBgEd5zdnrOqFi1+XtVk44jzy8fGCReUb5uaySyXjsMU2OLicf65 +g1hscWHl2Au/a/+uPdmici6qmHXqHU6rmK2rh9qXVO2ty7649gpLXFl7xsYJ +V5WPxqXzVmpna8VJuAI3XNueayoWW1xdOeydau3qisUV11UODUaL/ac9N4zD +wM6os3l8reGIGysGQ+CGm9tz0zhMQOff2Z47xtH5OOK29txasXT/LZWjN465 +vmbYu3JurZg9qsdN1XO/6qH27VV7v7Jvq1q71ezX1yy44q6aiY017i4fnUzX +r9L2buVJ9pKPbU+t0fGrThKDO7DIfe25dxzmwB4ruNtl+ya+Bd+hFXN/5fht +sKf28vdVGyusNkkPTEDXr93stSaZFefcUzPjDFyyZltbY5JYf2P8bZGjFg5Z +fZKaYtVUS45ah9Ts91SsmmrJ8bcMF6w/yd80NlbYYBKfv6n+Dr622a+ZhFtw +zcbN3miSWKyx4SQ5bDHW+MTiik0myaFTccLmzd5sEk1JJx7s3SdZwxJbTBKD +Iej4rZq95SS6nc7fvtnbTaLLccO2zd5mklg6fetJcvSmizedZAZrcsSK0UsP +tfXEBHqo/YBJavOx9aBVaVJa9NVV2zt5Fz0wBtbYsdk7TKKL6fS9mr3nJBqZ +j73HJJyBPXZp9s6T2Lhi10l8bFyx2yQ+tWj/vSepycYF+0zio/ntqb08cBLG +4GMfMMlsuGanSWbEJNhk/2bvN8neegez22O1sce+k/QQq6ZactTyDmZXU6ya +asnxbbHAIZN8Y8yAHQ5zVybReLTeM3z7SZgAGzy42Q+aJBaHPHCSHLYYa3xi +ccPhk+T4LrjgYc1+6CS99dTLDNZwwsMnicEIdPsjm/2ISTQ93f54d2MSZsAJ +j3H3Joml9R81Sc6r6hw8ZJIZrMkRK0YvPdTWE2PoofZjJ6nNx9ZDLe9gdjXN +giWeMMlMbJzwxEl8ND0d33FXJtlLPrY9tUbXdyeJwR044inu5iRcQYc/rdlP +ncT2TXwLPrFirMlxFnHj7pOcSbXptN4kPTABnT92liaZFds8aZKZMQWOGLpr +k8TS/ZNJctSi/fqT1BSrplpy1PIOZldTrJpqyTGbO+ZumVFtbDGdpAcbN8wm +8dH4tP6R7tIkDMDHfs4k3IFtlu7iJDb2euYkPjbueNYkPrVwwvMmqcmm258/ +iY9Op+tf6m5Motn52C+eRGPSmm+3d5PodBzxInd5kl645tmT9FSbjn/BJD3E +qqmWHIyAFV7u7k0Sq6ZactTyzt5VTbPJEWtGubjhqElq0MV0+uud7Ul+G/jY +fiOs0fJvmCQGd2CRV7rbkzAGrni1uzOJ7TfGbwufWDHW5NgLuvwdk+yJ2rjh +jZP0wBy44K3u7iSa3h7au7dNwihY5Wh3bZJYMdbkqIVF3jRJTbFqqiXHu3sH +s9sDsWqqJcc/y/DPMPwdeGXNiiXeOcnMNCHd9wVnaxJudaacpfkkzIAd3uPu +TZKLU941SQ22GGt8YumI906SgxlwwgfdxUkYgW7/sLsxiaan2z/h7E/CBHzs +j01yF8xkFncCU+CI/3KXJ9GBeqj9/klqY4OPTNJDrJpqyTGLGfQ2k1g11ZJj +dlrofZO8A1sPtfnMijU+OcnMND1t/xVnfRLNz8f+0iQan9Y/1l2ZRGeLsfbF +SRgDa3zO3ZnE9k18Cz7cIsbaZyb5VmrI9c1ofDrev2v8xiRcI0fspyeZFed8 +apKZ2WKs8WEKNeR+fRIGwR1f87dgEsbhY391kjU5YsV4NzHWvKP/Hxf/GTT/ +3si/J8IZuOHbk/w7URyBLb7rrk1iY5nvTeJjY63vT+LDGLjiOHd7EkbACj9y +NyZZwxH/O0mMXDli1aAhaclT7M0kubjix5PUoPHp8p+6W5PYtPrPJvGxccXP +J/HpZQa99cQAWOCXzf7FJDaO+NUkPjZu+PUkPr311MsMaqshVw+xWOM3k+Rg +CCzxO3dxEp1Mt//FXZqEO3DE8c7uJDaO+MMkPjad/sdJfGw6+0+T+NTCAidM +UpONE/5vEh8bO5xYvtVqT+3lyZNwCQ75u7tcsTjlr5XjXbyD2X9TaytXrBjv +5h3M7h1XrR5qn1S1Vy1bD3/T/G17zLRpmGm+LY74Z31jXIE7TnWXysYi/y4f +2z/7OK18mAJHnNGe0ydhAmxwdnvOqjXccGbFrFs5p1eNNavnv2oGuTjinKpB +09Pd57Xn3LJp8fPLx8YRF5Rvg5rhrOpJ89P+F7XnwrJxw8XlY+OES8q3cfU8 +t2awhiUurRg2TrisfGzscHn56GS6/rr2XDsJZ9DZV7bnilqj86+vGGu0+FUV +Q7PT2de05+qyd65a11TsjrUmx1l0pp3lP1Vtuu2G6kHT0+m3tefWSbgEV9zc +npsm0VC01I3t+U/l7l72DbW2Z8XeWO+6Xb2Ld967eqh9S9Xeu+yb69tsUXt/ +Qc2CHW6vmTAG1rizPXdMwgB0/wrtbN4/Cddgnbvbc1fZOOOe8rHp/nvLx8YC +95VPLSyx4jQ12ThipWl8bLp+5Wl8dDzdv6a7MY1OxxGrNXvVaWLp9lWmyTm0 +Zr6/elqTI1YMRsAKazd7rWkYQQ+1V5+mNh9bDxqX1n1As7edZhY15JqJZhZj +bZtpNDKtvHGzN5qGM7DHes1edxobV6w/jY+NKzaYxsem2zecxqcWDthkmpps +bLDpND42bthsGh8mMJNZtp6GSbDJls3eYppYrLH5NDn2AgetM82eWJMjVow1 +72B2MZhGD7W3mqY2H1sPe4Ubtptmz9hYYvtpfDQdbfegZj9wGkbABTs1e8dp +YnHJDtPksMVY4xNLN+08TQ5dhwt2a/au05zdA+ts3llrOGH3aWJoeLp7z2bv +MY2Gp8v3b/Z+03AIjtin2XtPE0ur7zVNjt602y7TzGBNjlgxeumhtp4YRA+1 +952mNh9bD7W8g9nVNAtWOGCamdi44sBpfDQ6rX64uzLNXvKx7ak1uv4h08Tg +HFxxSLMPnoYzcMVh7so0tm/iW/CJFWNNjr8N/ib4W3B71cYOD52mByag4x/l +bkwzKxY6aJqZcQoueYS7Nk2s3zy/dXLUwjEPm6amWDXVkqOWdzC7mmLVVEsO +LUaD0V40md9SHPHYaX5TcQXueLy7N42NRZ4wjY+NXZ44jQ/z4Ignu8vTMAAW +eJq7Ns0aTnjKNDFy5YhVg26kLV9i9mlyccPTp6lBg9PdR7ib09i0eGcaH5v2 +707j08sMeutJ49P6/Wb3prFxwWAaH5vuH07j01tPvcygthpy9RCLFUbT5GAK +bDFx96bR3bT6s5ztaTgGJ8zcxWlsOnw+jY9Nhy+m8bFp8+U0PrVwwLOnqcnG +Bs+ZxsfGAc+dxkeD21N7+aJpOAOLPN/dmiYWOxw5TY538Q5m907W5IgV4928 +g9m9I4bRQ+0XTlMb+7xgmh7OkjPk7DhTvi1OeOk03xg3YI2Xu1vT2NjlqGl8 +bJzwiml8zqiz+ip3eRomoOtf6y5Ms4YbXj1NzLfqXItVQ2899TKDXGzwumlq +YAY6/g3u0jQ2bf/GaXxsnPCmaXx6mUFvPWl4Wv7oZr95Ghs3vGUaHxs3vHUa +n9566mUGa3T/26aJYWOBY6bxsXHE26fx0cl0/fvcjWmYAie8012bZo3Of/80 +Mdbo7ndNE0OH09nvcdemsdVUi0+sGGtynEVn2ll2JtXGDR+YpgeNT8d/zF2Y +hiFww0fcrWk0HW33IXdxmlw+thrW5IgV4129k3fxzphDD7U/Ok1tPrYeemOJ +j08zAxsnfGIaHxs7fHIaHwag+7/g7kzDGVjm0+7eNDaO+Mw0PjZd/9lpfGxa +/3PT+NTCAV+cpiYbG3xpGh8bN3x5Gh9dTvd/zd2YRufjhGPdrWli6f6vTJOj +l5nNqqc1OWLFYAa69Bvu1jSaXw+1vzpNbT62HnrLEWsGubTtN6epQTfTyb9w +FqfRyLTyD9ydaZgDZ3zbXZtmje4/bpoYazjjO9PEYAxc8T13ZxpbTbX4xIqx +JkdvWv2X08ygNk7432l60PRmNNvPpuEKHPITd3EarsAZP3J3p8nlY6thTY5Y +Md7dO3kXe4A59FD7p9PU5mPrQWvSrLQqzWlWnPCraWam+Wi/05yNaRiBDvid +uzcNV2CN37ib09hirPGJpR1+P00OXYYT/ujuTXOWnVln1Zm2hhv+NE0MZqDj +/+JuTaPx6fi/uxvTMARuONHdmiaW9j9hmhy96cDjp5nB2goVK0YvPdTWc6Xq +ofZfq/ZKZeuhlncw+/E1C7Y4qWZi44aTy0fj0/VnuDu1l+uVfVqt0flnVgwO +wRX/bM8p03AGXX6qu1P2OvUtTq3YtWpNjm/nm/gWv6ravutZ1QMj0P3nt+e8 +mhXr/KNmxhi44tz2nFOxOOCCylHLWTm7am5SNc+rnNXrHU6pmhtVzXMqRy1s +cWHVZOOGi8rHxhIXlw8D0P1XtefKabgD21zankvKxl6XlY+NOy4vHxt3XFE+ +tbDE1VWTjSOuKR+brr+2fHQ83X9Te26cRqfjiBvac33F0u3XVc52NfOV1XOX +yrm+YjACVrjFt5qGEfao2v+p2ruXrQeNS+uuPmvfapZZ9qpcMz20YqytOotG +ppXvac/d03AG9ri9PbeVjSvuKB8bV9xZPjbdflf51MIB91ZNNja4r3xs3HB/ ++R5SM5lllVmYBJus1OwVZ4nFGivMkmMvcNCttSfW5IgVs0+9w20Vc3j1UHvl +WWrzsfWwV7hhjVn2jI0l1pzFRxfSfTs2e4dZOAQnrNPstWeJxSVrzZLDFmON +TywduO4sORgCN2zQ7PVn0Zi05r5mn2UNF2w4SwxOwA0bN3ujWXQ53b9ls7eY +RefjhM2avekssXT/JrPk6E2LrjfLDNbkiBWjlx5q60nz66H25rPU5mProZZ3 +MLuaZsEdW80yExsnbD2Lj26m83du9k6z7CUf255ao/t3mSUGk+CQBzR721kY +gy7fvtnbzWL7Jr4Fn1gx1uQ4iwfVWb6rauOIXWfpgRlwwl7uxiyzYpttZpmZ +zsMZezR791liccLes+SoRQfuNktNsWqqJUct72B2NcWqqZYctXxz31pN3x43 +7DfLGfA77Xf5Tc1+4yy6HRcc1uxDZ2EO7HFgsw+YxcY6B83iY+OMg2fxsen+ +Q2bxqYUrHjhLTTZOeNAsPjZ2ePAsPhqdVn+EuzKL5qf9H9rsh8wSizsOnyVH +LzObVU9rcsSKwRQ44lHuziwMoofaD5ulNh9bD73liDWDXCzy6Flq0NC0dM+3 +nIUR6OYnOduzMAkOeay7OMsabf3kWWKs4ZLHzRKDQXDHE9zVWWw11eITK8aa +HL3p9v4sM6iNM54ySw+MYEazdWZhDKzxDHdtFsbAGk9zl2fJ5WOrYU2OWDHe +3Tt5F3uAcfRQ+4hZavOx9XCWaL03z3KmzIo1BrPMTPPSfUc5G7NwBo6YNHs8 +C2NgjZG7OIstxhqfWJwxnSWHJqPNFs2ez3K2sfH+s5xxa7hiOUsMpsARz3L3 +ZmEEuv/57sYsuh9XPNddnCUWBzx7lhy9cc1slhmsyRErRi891NYTk+ih9pGz +1OZj66GWdzC7mmbBGS+YZSY29njhLD66mc5/pbszy17yse2pNbr+VbPE4BBs +8hJ3dRYGwSIvc3dmsX0T34JPrBhrcnw738S38A3Vxg6vnqUHRqDz3+DuzDIr +7nnRLDPjDpzyOndvllh/c/ytkaMWLnnNLDXFqqmWHLW8g9nVFKumWnL8Zzs3 +rn8n6t+NOnu44ehZzqC/sf4uXtqeS2bhGJxzjLs2C2dgj7e6a7PYYqzxicUZ +b58lh27FDe9yd2bRmHTjsd59ljVs8e5ZYjAFXf9ed3EWHU/3f9jdmEWn44gP +uLuzxNLt75slR286+R2zzGBNjlgxeumhtp4YQQ+1PzhLbT62HrQrjUqbXly1 +vZN30QNzYI+Puouz6GS6/bPuziyamY/96Vm4A4t83N2cxcYZn5jFx8YZn5zF +pxYW+NwsNdk44fOz+DCAPbWXX5mFOfjYX55lNpzzX7PMiFGwypfctVn21juY +3R6rjUW+MEsPsWqqJUct72B2NcWqqZYc3xYbfHWWb4whsMTX3JVZNB/t91vf +fhZmwAnfbPY3ZonFJV+fJYctxhqfWBzxrVlyfBec8F13bZbeeuplBmu44Xuz +xGAGOv4H7tosGp+O/0mzfzwLQ+CGH7p7s8TS/sfNknNRnYNvzzKDNTlixeil +h9p6Yg491P7RLLX52HpcXGfL7GqaBVv8dJaZ2LjhZ7P4aHy6/vfuyix7yce2 +p9bo/ONnicEhuOKX7uYsnEGX/7rZv5rF9k18Cz6xYqzJcRZx5KdmOZNq021/ +mKUHRqD7T3CWZpkV6/x8lpkxBq74s7s2SywO+L9ZctSiBf84S02xaqolRy3v +YHY1xaqplhyzuWPulhnVxhonVg82jvhr+Wh+2v9Ud2kWJlir7H/OwiFY5yR3 +sWwsdnL52DjkH+VTCzf8u2qy6fjTyke30/nnuBuzaPiNyj5rFs1Je/7H3s2i +23HFme05o3rhnFOqp9p0/enVY4OqeVblYAbscF57zq3Y9avW6VVrzXrXU2q2 +TSr2nMrFEedXDTqZbr+8PZfN8tuwbdmX1hptf0XF4BBscmF7LpiFOXDGxe25 +qGy/MZeUb4uKuahy7AWdfmPtido44srqgUFwwnXtuXYWjb9b7d31szALdrmm +PVdX7K61dl3VwiZXVc2dqua1lbNZvcMFtQc7VM2rK8dvpd9Av31H16zY4qaa +meal+9aet3M1D8c6U87S32ZhCmxxa3tuqVzccnPV2KtibimfWNxxW+VgCNxw +d3vumoUZ6Ph723PPLBqfjl+59V5pHkbgY684z11YuWZxJzAGrlihrd0/C7Mc +WLXvrNpY4b7qIVZNteQcVDPcUzMdWjXvrxyz05W31zuwac07ymdW7LHKPDPT +7LT7+s1ebx7Nz8ded541On+DeWLobDHW1pmHQbDIms1eYx7bN/Et+HCMGGur +z/Ot1JDrm9H1dPxmzd50Hs6RI3a1eWbFPavOMzNbjDU+TKCG3E3mYRicsXGz +N5qHU/jYG86zJkesGO8mxpp3NAu22Hyemdi4YYt5fGwsseU8PrqT7t++2dvN +wx3YZutmbzWPjYO2mcfHxhnbzuNj060PmMenFpbYYZ6abByx4zw+Nl2/0zw+ +Op7u36PZu8+j03HErs3eZZ5Yun3neXL0MrNZ9bQmR6wYjIAV9mr2nvMwgh5q +7zZPbT62HjQurfsw32aeWdSQayaaWYy1h8yjkWnlg5p94DycgT32bfY+89i4 +Yr95fGxcsf88PjbdfsA8PrVwwMHz1GRjg0Pm8bFxw6Hz+DCBmcxy+DxMgk0e +1OwHzhOLNQ6bJ8de4KC959kTa3LEirHmHcwuBtPoofaD56nNx9bDXuGGh8+z +Z2ws8Yh5fDQjnTd2FubhEJz66GY/ap5YXPLIeXLYYqzxicUVj5knx99NnPB4 +d30ezUg7Hmn2edawxBPmicEQdPyT3J15dDud/wx3YR4djhue6u7NE0uXP3me +HL39nX7sPDNYkyNWjF56qK0nJtBD7afNU5uPrYda3sHsapoFVxwxz0xsrNGZ +x0cn0/VTd2WeveRj21NrdP5snhjcgUX67uY8mpw2H7or89i+iW/BJ1aMNTnO +ojPtLDuTatNt83l6YAjc8Gx3Y55ZcU53npnpKKzyTHdtnlgc8Jx5ctSisxbz +1BSrplpy1PIOZldTrJpqyfEb6rf0h83+33lqOwO+vR5+k8VYO24eHY8DXuou +zcMh2OYF7uI8NvZ54Tw+Nu540Tw+Ng548Tw+tXDEy+apycYWL5/Hx8YVR83j +o+Fp+dc2+zXzaHRa/VXu1jyxOOAV8+ToZWaz6mlNjlgxGAInvN5dm4dJ9FD7 +1fPU5mPrQRPTuR/wreaZRQ25ZqLBxVh73zyam05+m7s0D2NgjTe5u/PYWOTN +8/jYOOPoeXxsnPGWeXxq0d7HzFOTjQvePo+PjRXeMY8Pc5jJLO+dhytwybvd +pXliccY758mxF9jpDfPsiTU5YsVY8w5mF4Nz9FD7PfPU5mPrYa+wwQfn2TM2 +jvjQPD6alq77urMwD4PghI+6W/PEYo8Pz5PDFmONTyyO+K95cugo2u4T7vI8 +jOyMOpvPm2cNN3xynhjMQMd/2t2YhxHo+C82+wvz6HIs8jl3dZ5YOv0z8+To +Tbd9bJ4ZrMkRK0YvPdTWE5Poofbn56nNx9ZDLe9gdjXNghO+NM9MbOzw5Xl8 +dDGd/k13ZZ695GPbU2u0/LfmicElWOdYd3MexsAa/+OuzGP7Jr4Fn1gx1uT4 +bbCn9tJvhNq44dvz9MAcuOAH7sY8s+Kgr8wzM0bBKt9z1+aJ9TfG3xY5amGR +78xTU6yaaslRyzuYXU2xaqolx98y3PCjef6msbHEj+fx+Zvq7+DWi6a/FuEQ +rPKz5vvpPLG45Cfz5LDFWOMTi4N+Pk8ORsAFv3L35tGItOJZZp1nDTv8ep4Y +zEB3/9ZdnEen0/F/djfm0fi0/h+affw8sXT57+bJ0RvX/GKeGazR7r+fJ0Yv +PdTWEwPoofYf56nNx9bjXXVPn9b24qmL1PZO3kUPzIAdTnD35tHdtPop7sI8 +Onv1sk+eF9M4x+5G2Tjib+Vj0+l/L59aOOCfVZONDf5VvvVrT+3lGfNwx/pl +nz7PbLjn/+aZETdgj9Pa8+959tY7mP3PVRtXnFo91q2ap1fOCvUOJ1bNtavm +vyvHt8UKZ9c3xghY4dz2nDOPxqP1bvUt5mEEXHBBe86vWCxyXuVsWjHnl08s +briwcjACLrikPRdX742r19m1hhMurRiMQLdf3p7L5tH0dPu17blmHmbACVe1 +58qKpfWvqBy9sctFNcN2lXNlxWxTPS6rnjtWD7Wvrto7ln1V1dqqZr+oZsES +19VMbJxwffloejr+9vbcVnu5b9m31hpdf0fF4A4ccWN7/jMPV9DhN7fnprL3 +rm9xc8XuWWtynEWceNI8Z1JtOu3O6oEJ6Pz72nNvzYptbqiZMQWOuKc9d1cs +3X9/5ahF+91VNQ+umvdWzm71Dv+pmgdWzbsrx2zu2Mk1o9rYYoVFerBxw4qL ++Gh8Wn+tZq+5CAPwsddYhDuwzSrNXnkRG3utuoiPjTtWW8SnFk5Ye5GabLp9 +nUV8dDpdv1GzN1xEs/OxN1hEY9KauzV710V0Oo5Yv9nrLdIL16y+SE+16fh1 +F+khVk215GAErLBJszdeJFZNteSo5Z29q5pmkyPWjHJxw6aL1KCL6fRtm73N +Ir8NfGy/EdZo+QcsEoM7sMjmzd5sEcbAFVs2e4tFbL8xflv4xIqxJsde0OW7 +L7InauOG7RbpgTlwwc7N3mkRTW8P7d0uizAKVtmx2TssEivGmhy1sMj2i9QU +q6Zacry7dzC7PRCrplpyaE2alVZ9S82KJfZYZGaakO57pLO1CLc6U87SSosw +A3bYu9l7LZKLU/ZcpAZbjDU+sXTEPovkYAaccECz91+EEej2g5p94CKanm5/ +ULMfuAgT8LEPW+QumMks7gSmwBGHNvuQRXSgHmrvt0htbHDwIj3EqqmWHLOY +QW8ziVVTLTlmp4X2XeQd2HqozWdWrPHgRWam6en4xzrri2h+PvajF1mz749b +JIbOFmPtUYswBtZ4uLuziO2b+BZ8uEWMtYcu8q3UkOub0fh0/FPcpUW4Ro7Y +hywyK845fJGZ2WKs8WEKNeQ+aREGwR1PdPcXOTd87McvsiZHrBjvJsaadzQL +DUJ7mIkWwQ5PX0ST/Ko9vxa3bH2XYQC6f+BuLsId2OYId3ERG3t1FvGxcUd3 +ER8bd/QW8amFJYaL1GTjiNEiPjZdP17ER8fT/ctmLxbR6Thi5u4uEku3TxbJ +0cvMZtXTmhyxYjACVnhWs5+5CCPoofZ8kdp8bD1oXFr31b7NIrOoIddMNLMY +a69cRCPTyi90txbhDOzxXHd1ERtXHLmIj40rnreIj023P38Rn1o44EWL1GRj +gxcv4mPjhpcs4sMEZjLLKxZhEmzycndtkVis8dJFcuwFDnr2IntiTY5YMda8 +g9nFYBo91D5qkdp8bD3sFW54zSJ7xsYSr13ERzPSeR/17RfhEP+s4w3Nfv0i +sbjkdYvksMVY4xOLM964SA5mwAlHu+uLaEba8Qv2fpE1LPGWRWIwBB3/Nndn +Ed1O57+72e9aRIfjhne4e4vE0uXHLJKjN6550yIzWJMjVoxeeqitJybQQ+13 +LlKbj62HWt7B7GqaBVe8Z5GZ2FjjvYv46GS6/mPO/iJ7yce2p9bo/I8vEoM7 +sMgH3cVFNDlt/uFmf2gR2zfxLfjEirEmx1l0pp1lZ1Jtuu0Ti/TAELjhs+7W +IgyFc97f7PctoqOwyqfd5UViccDnFslRi8765CI1xaqplhy1vIPZ1RSrplpy +1PLNfWs1fXtc8cVFzoDfZL+zV7bnikV0PA74H3dnEQ7BNl929xaxsc9XFvGx +ccd/L+Jj44BjF/GphSO+tkhNNrb4+iI+Nq74xiI+Gp6W/567sohGp9W/7e4u +EosDvrlIjl5mNque1uSIFYMhcMIP3J1FmEQPtb+zSG0+th56yxFrBrlY47hF +atDgdPPxzf79IpqbTv6Zs70IY2CNH7qLi6zR0j9fJMYaNvnRIjGYA2f8xF1d +xFZTLT6xYqzJ0ZsO/8MiM6iNG36xSA8MYkaz/W4RzsApv1nkdwtn4A6/Y79c +JJePrYY1OWLFeHfv5F3sAe7RQ+3fLlKbj/2bOku021V1psyKHf64yMw0Lp13 +nrOxCJPghhPctUUYBIv82V1bxBZjjU8srvi/RXLoKlrvb+356yJn25l1Vr9Y +azji7xWDIej6k92VRZiBrv93e05dRKdjk3+255SKpdv/UTl603En1gyrV84p +FbNK9Tipeq5VPdT+V9Veq+x/Vq2VavYTaxbccFrNxMYSp5ePTqbbL2jP+bWX +m5V9Xq3R9hdWDE7BPme158xFmAN7nNOes8vepL7FORW7Ua3J8e18E9/ij1Ub +R1xUPTAITri8PZfVrLjojJoZs2CXS9tzScX6m3NF5aiFTS6umttUzcsqZ/16 +hzOr5lZV85LKcU6du3cto8mcPVxx9SJn0N9Yfxc7be2IZbgEu1zfnusW4RCc +cm17ril7l1q7tmJx0Q2Vgxlwwk3tuXERzUg7rt9qr7fMGpa4uWIwBB1+a3tu +WUS30/V3t+euRXQ57X9He26vWDr9tsrRG+f8p2bYt3Jur5i9qsct1fOA6qH2 +nVX7gLL1oGVpVNr06VV7j3oXPTAElri3PfcsosNp99Xau626jO7mY6+yDJdg +nRWafX/ZuGLFZXxsun2lZXxq4YLVl6nJxgprLON7bO2pvVx3GQ7hY6+zzGw4 +6L6aEUdgkbXb2lrL7O3BNfvdVRtnrLlMD7FqqiVHLe9wf9V8ZNVUS45vix02 +WOYbYwbssFGzN1xG89F+e/n2yzADdti02ZssE4tNNl4mhy3GGp9YXLHZMjm+ +C07YstlbLNNbT73MYA03bLVMDGag47dp9tbLaHw6fkd3YRmGwA3bNfsBy8TS +/tsuk/O0OgebLzODNTlixeilh9p6Yg491N5+mdp8bD3U8g5mV9Ms2GKnZWZi +44adl/HR+HT9Ps3ee5m95GPbU2t0/r7LxOAQXLFbs3ddhjPo8j2avfsytm/i +W/CJFWNNjrOIG1de5kyqTbftt0wPjED3H+wsLTMr1tllmZkxBq44sNkHLBOL +Aw5ZJkctWnD/ZWqKVVMtOWp5B7OrKVZNteSYzR1zt8yoNtY4dJkebBxx2DI+ +mp/2f4S7tAwT8LEftgyHYJ0Hu4vL2Fjs8GV8bBzykGV8auGGRy5Tk03HP2oZ +H91O5z/B3VhGw/OxH7eM5qQ9F951Gd2OKx7rLi/TC+c8dJmeatP1j16mh1g1 +1ZKDGbDDk9y9ZWLVVEuOWt7Zu6ppNjlizSgXRzx5mRp0Mt3ec3eW+W3gY/uN +sEbb95eJwSHY5Knu9jLMgTOe7u4sY2OPZyzjEyvGmhx7Qacvl9kTtXHEYJke +GAQnTN2VZTS+PbR3s2WYBbuM3b1lYsVYk6MWNhkuU1OsmmrJ8e7ewez2QKya +asnxW+k30G/f1TUrtnjmMjPTvHTf6521ZTjWmXKWHrgMU2CL5zT72cvk4pZn +LVODLcYan1jc8dxlcjAEbniBu7MMM9DxL3LXltH4dPxRzX75MozAx37ZMnfB +TGZxJzAGrnipu7mMDtRD7ectUxsrvHiZHmLVVEuOWcygt5nEqqmWHLPTlUcu +8w5sPdTmMyv2eMUyM9PstPubnd1lND8f+43LrNH5Ry8TQ2eLsfaGZRgEi7zW +WV/G9k18Cz4cI8baq5f5VmrI9c3oejreP6t6+zKcI0fsq5aZFfe8cpmZ2WKs +8WECNeQeswzD4Iy3+VuwDKfwsd+yzJocsWK8mxhr3vGVw/x3rPnvVvO/xUO7 +YYd3L6Phps9sZ7s9Oz+rnctnhXFww/vb2vuW4Q5s897/Z+kuw20rrm0N4+7u +7u4hCXF3d1nTfRP3E3d3Iy7E3Y0IkABJCBoguLu7c+u9rf8Yz9Nvr241RtWa +7duce467txRbjDU+sXTqh5eSgxmww8fcvaVoRtrxh/a6lDUc8fGlxND0dPgn +3b2laH66/vPu8lIYgq7/jLu4lFja/VNLydGbVv7IUmawJkesGL30UFtPnKCH +2p9dSm0+9mfqjrqr27V3sm0nte3JXvTAHTjii+7eUjQ77f5Nd2kpupmP/fWl +cAiu+LK7uBQbV3xlKT423f7VpfjUwgXfWkpNNlb49lJ8NLt36l1+fylMwMf+ +3lJmwzpHLGVGnIJLvusuL+Xd2oPZvWO1ccyRS+khVk215KhlD2ZXU6yaasnx +bXHDj5byjXEEzviJu7QUjUfrHefbL4VRccLP3Z2lxGKTny4lhy3GGp9Yfyd/ +sZQcjIAVfu0uL6W3nnqZwRpu+M1SYmh4Ovt37tJSND4d/+dmH7UUZqDj/+ju +LiWWNv/9UnL09rf6l0uZwZocsWL00kNtPXGBHmr/aSm1+dh6qGUPZlfTLNji +L0uZiY0b/roUH01Px5/grizlXS5X9nG1Rlf9s2JwCB1+jLu5FF1Ot/+92ccu +xfZNfAs+sWKsyXEWcd/XlnIm1aaL/lU9MANdf7KztJRZsc7fljIzTsEZ/3HX +KpauP6Vy1KK1/l01V6maJ1WOWvZg9r9V7MpVS47/2Vr/M7T+m7L/tmxWd85d +MzOOwBmnObtL0fy0/7nu0lKYYMOyz14K52CfM9rz37Jxx5nlY+OAs8qnFm44 +r2qy6fjzy0e30/mXtueSpWj4Lcu+eCmak/a8y7tbim7HFRe158LqhT3+Vz3V +pusvqB6bV82LKwczYIfL23NZxW5WtS6oXnTw3dVz/XoHZ1cPuTjiiqpBJ9Pt +N7Tn+qVo5l3Kvm4p3IFFrmrPlWXjjKvLx8YZ15RPLSxwY9Vk44SbyocB6PY7 +2nP7Uphjn7Jvq7X96l2JwShY5db23FLveuva+6VVG4vcXD32qpq3Vc62tYcr +a897VM1bKse7wg731Duj4Wi5jdpvwYadaFo+9gadcK0z5OycvhRmwAn3t+e+ +qoVT7q2aB1XMfeUTS0c9UDkYARes2Gqv0Ilmp7NXbvZKnWh2OnzNZq/RCSPw +sVfv5OyvVbO4A7gEV6zW1lbtREfqofbyndSm5VfppIdYNdWSYxYz6G2mh1dN +teSYnRZcrpM9sPVQm8+s2GGtTmam0+n2TZu9SSeanY+9cSdrtP1mncR492Ks ++QYYA2us3+z1OrF9E9+CDxeJsbZuJ397/E3wt8DfIJrdb7zf9m064R45Ytfp +ZFYctHYnM7PFWON7XtWQu3UnHINbtmr2lp0wDR97i07W5IgV49/ExFjbvBOt +gRu270Rz4AicsWOzd+jkb6q/gx9s9gc64RRcs0uzd+4kFpvs1EkOW4w1PrG4 +YtdOcjABNtij2bt3ohNpySd4F52s4YQ9O4mhuensvZu9Vycani4/sNkHdKLz +6fb9mr1vJ7G0+T6d5OiNS3brZAZrcsSK0UsPtfXEAXqovX8ntfnYenhX3pF3 +452pbU/2ogduwBUHN/ugTnQ63f5wZ70THc7HPqwTrsENhzrLndh0+YM78bHp +8od04lMLCzyik5psuv+Rnfhobu/Uu3xcJ4zBx35sJ7Nhn0M6mRF3YJPHuNud +vFt7MLt3rDa2eFQnPcSqqZac/89kS5ldTbFqqiXHt8UFT+zkG+MEbPFkd6cT +TUfb9X37Tv5NFxc8zd3rJBbLPKWTHLYYa3xiscTTO8nBBNjgWc1+Zie99dTL +DNZwwrM7iaHZ6ernukudaHq6/cW+dSeMQLe/oNnP7ySWFn9eJzl645JndDKD +NTlixeilh9p64gA91H5hJ7X52HqoZQ9mV9MsWAInmYmNE17aiY+Gp9uH7kon +75KP7Z1ao6NGncTgDrp7yd3sRIfT6V1nuRPbN/Et+MSKsSbHWcSKD+3kTKpN +94w76YER6PiFs9TJrNjmZZ3MjEtwxcxd6ySWjl/WSY5atNSkk5pi1VRLjlr2 +YHY1xaqplhyzuWPulhnVxgqHd9KDjSte0YmPxqf13+gudcIAfOzXd8I1WOfV +7mInNs54TSc+Nt3/2k58auGEN3VSk023v7kTH51O17/D3ehEs/Ox39aJxqQ1 +P+vddaLTccRb3eVOemGN13XSU206/i2d9BCrplpyMAJWeJe710msmmrJUcue +7VVNs8kRa0a5uOHdndSgi+n0Dzvbnfw28LH9Rlij5T/SSQzuwCLvdbc7YQxc +8X53pxPbb4zfFj6xYqzJ8S7o8s918k7Uxg0f7aQH5sAFn3J3O9H03qF39+lO +GAWrfMJd6yRWjDU5amGRj3VSU6yaasmxd3swu3cgVk215NCa/p342qVoTrNi +ic93MjNNS+f91NnqhGudKWfplZ1oAtrgCHevk1yc8oVOarDFWOMTS1d9qZMc +jIALvuYudqLZ6epvuBudaHY6/LvOfieMwMc+spO7YCazuBO4BFd8213uRFfq +ofZXOqlNu3+zkx5i1VRLjlnMoLeZxKqplhyz04Zf7mQPbD3U5jMrdvheJzNf +Xe/1l856Jxqfj/3zTta8+191EkNXi7H2s040OW3+Y3enE9s38S34cJEYaz/s +5FupIdc3o+Hp8j+4S51wjxyxP+hkVhz0/U5mZouxxocx1JD7u044Bof8ttm/ +6YRp+Ni/7mRNjlgx9ibGmj06azuX79qaDTv8sZMZ2TjjT534aHxa/+/uTicM +wMc+phPOwTV/cTc7sbHOXzvxsXHb3zrxqYUT/tFJTTbdfVwnPjqdjj+xPf/u +RLOvVPa/OtGdtOkl3mUnOh0L/LM9J3TSC/cc3UlPten44zvpsULV/FflYAAs +cFJ7/tNJ7PJV6/jqtZVzUD3V9g7s/ejKxRknVw06nHY/y1nuRHevW/YZnXAJ +1jm1PaeUjStOKx+bbj+9fGrhgv9VTTZWOLt8GANrXNieCzrhkE3LPr8Tjb5F +vauLOmEQLHJee86td71K7f3Eqo0Nz6keG1fN8ytntdrDKbXnDavmuZXjXWGF +y+qd0Yh03f2+fSe68OCy7+1E49F6a3Rb3W64Bkdc2Z4rqhZWubxqsrettcsr +lo6+qnIwA3a4rj3XdsIMdPsN7bm+E51O19/Wnls70ex7lX1LJ3+T/W1+QZvl ++d3ochxxc3tu6oRRdqra11Rtuv3G6rFH1bylcnauGa6vmcTuXrVurNmxwNW1 +hx2qxzXlMyvOuL1mpunp+OXbbMt1o5v52A/UGl21Qjcx3r2YB+ob4BK6/J72 +3F32QfUt+PavGGt3dXIWceN/OzmTGIGOX63VXrUbptm/Yu+sWbHRHTUze79a +43t41ZC7Sjc6C8us3OyVutFcfOwVu1mTI1aMvYmxZo9mc8fOqBnN5kw5S2Z0 +th7TnjW7OWMYgO7fsNkbdKPr+djrd8MlWGftZq/VjY2F1unGx8Yh63bjUwtL +bNRNTTaO2LgbH81Ou2/Z7C26YQY+9ubdaFS6c79m79uNZqfdN2v2pt30wh3r +ddNTbZywSTc9xKqplhxMgRu2bvZW3cSqqZYctezZXtU0mxyxZpSLPbbppgaN +TCvv0uydu9HgfGz/zcUaXb9rNzEYBIts5y53wym4Y4dmb9+NjTv8txo+sWKs +yfEuaPH9u3knamOH3brpgUtwyN7N3qsbZvAOvbt9uuGOfnv2bPYe3cSKsSZH +LVyyezc1xaqplhx7twezewdi1VRLjr8NdN0Lu/kbYVZccUA3M9O9dO6Tna1u +zp4z5Sw5gxgFRxzc7IO6ycUmB3ZTgy3GGp9YnHFINzl0H254SLMf3A0z0PGH +uTvdMAId/+hmP6obhuBjP7IbjepvnL9tz+tGt2OVRzT74d3oOD3UPrSb2nT9 +w7rpIVZNteSYxQx6m0msmmrJMTtd+KBu9sDWQ20+s+KIx3QzM81Ouz/dWe9G +N/Oxn9rNGp3/jG5i3t1JjLWndMNBuOOJ7k43tm/iW/DhGjHWHt/Nt1JDrm+G +Cbwj7+a53TCQHLGP62bW//NNu5mZLcYaH2ZRQ+5zumEabPPsZj+rG07hYz+z +mzU5YsXYmxhr9ui/dfpvmLjevxU4e9jiRd2cQX9j/V39i9huNB6t91azdcMp +WOalzX5JN7m45cXd1GCLscYnFie9rJsczIAduu5ON8xAd/fdtW50Oh0/dXe7 +0ex87HE3/25NI9KGR3Wi07HAyN3shlH0UHupm9p0/KCbHmLVVEuOWcygt5m+ +XDXVkmPvtPDbunkH9oKjXt7Nnsz6TXe2m5npdjr+dc56N7qcj/2abnQ4H/vV +3XAK9jnc3ezGxhmv6ManFjZ4fTc1MYVv4lu8pRvu4GO/uRvmUVOtRTezfas9 +825mZIuxxmcv3pl3ZU84A6u8yV3vhjn42G/oZk1PvcSYTYw1M2IErPAOd6cb +TUfbfdG370bT8rE/3827lSPWO8YMOOHd7lI3tbDKO7upyRZjjU8stnhPNzkY +ARd8wNnvRrMf7c64O91odjr8E83+eDeMwMf+WDes4owdVXVxCa74qLvczbnT +Q+33dVP7GHeumx5i1VRLjlnMoLeZjqmaasn5U53j93azB7YeavOZFTt8spuZ +aXi66cvOdjcan499RDdrdMtXuonx7sVY8w1octr8c85yN7Zv4lvw4SIx1j7T +zVnEka/s5kzS8HT5t5ytbrhHjthPdzMrDvpUNzOzxVjjwxhqyP1GNxyDQ77u +rneju/jYX+1mTY5YMfYmxpo9mg3XvqqbGdnumLvFZ1Ys8e1uZqbz6fqfOdvd +aH4+9k+6YQI+9o+74R4s9D3vohvmuVgPvbqpRWf/vJuadDnd/ltnuxuNzsf+ +dTcanVb/vbPdjS6lQ0/07rvRoSuV/a9uel3Snh9205OOxwq/avYvu9H0fOxf +dLOmp15izCbGmhnVwlE/6qYm257tlc/sZjSbPdDtdPwxzno3upyP/bdu1mj9 +Y7uJocvFWPtrNxyEM45y1rux/cb4beHDNWKs/bHeBW3+n3onmIMu/6ez1Q0D +yRH7h27eJR/79xW7Yr07OctVDbnHd8Ms2OW4Zv+jG27kY/+9m7XlKlaMvYmx +Zo9+G+3R3vxG0nS03RXOUje6l869zLvtRuPT9Wc4u91wrzPlLH2nG6bAFqe0 +5+TaO5Y5qd7BqhVzcvlodjr7rPac2Y1mp8PPb8953TDCxmWfW73XrVgzuAu4 ++8hu7gTbTGY5smbBNafWTDgGh5zTnrO70fkblP2/Wtuoep1Ts61fa2Zcq96B +vZ9etenW06rHmhVzevloeFr+mvZc3Y2O36Hsq7rR2HTzTe25sRuNv32tXdkN +B+GOS9pzcdlb1be4pL7VdhV7RX2rberb+Wa7Vg+1b+iGQXYt+/p619jngnrn +bJx0Yfk2rxn0vqjWNi/7wtobzrm29sjGPteVb+fqeX35/N/O8X8jx//OZv+7 +mzEFtri1PbfY++Ht/bTn6vZcdXiYBJvc3p7bysYyd5QPA+GKu9pzZzdMgA3u +bc89tYYb7q6YfSvnzqpBR9Kam/fa3nrJxRH3VQ2anA5/oD33l02bL9eLj40F +lu/Fd0DNcE/1pPlp/xXb2gq92DhhpV58bBywci++g6vn/TXDg6uGXD3EYodV +esnBGFhjtWav2osOp93XbfY6vXANblij2av3YtPla/biY9Pla/XiY9Pqa/fi +UwsXrNdLTTZWWL8XHxsXbNCL7xn1Tr3LTXvhDmyycbM36iUWS2zYS4692IPZ +7cmaHLFi7M0ezG6PmEYPtTfppTYfWw9/1/zt+1izP9rLt8UNW/TyjXEE9tiq +2Vv2YmOZrXvxsbHfNr34MCyO2K7Z2/bCAFhgx2bv0MsaTti+lxi5csSqobee +eplBLm7YqZcaNDedukuzd+7Fpl137cXHpv1368Wnlxn01pPGp/X3aPbuvdi4 +YM9efGy6f69efHrrqZcZrGGDvXuJYeOIfXrxsbHFvr346HA6/hBntReOwQn7 +N3u/Xtboqgf1EmONTj+glxganVY/qNkH9mKrqRafWDHW5DiLzrSz7EyqTRcd +2ksPGp1Wf4Sz1AuHYJPD3LVeNBPt9JBmP7iXXD62GtbkiBVjr/ZkL/aMUfRQ ++2G91OZj6+Hb+AbevW9kFmzxyF5mwhTY4tHuYi+an85/krvUC+dgn8e6i73Y +uOxxvfjYOOLxvfjY2OIJvfjUwg5P7qUmGzc8pRcfmw5/ai8+up2uf7a70Ysu +p/2f4W71EkunP62XHL3MbFY9rckRK4bmp/2f6271wgR6qP3MXmrzsfWgc2nh +sbPVyyxqyDUTDSzG2rAXnU2Lv9Td6YVDsM0L3LVebBzxwl58bDr9Rb342HT6 +i3vxqYUFXtZLTTZOeHkvPjZ2WOrFhznMZJZBL8yBPXruXi+x2K/TS453gZOe +18s7sSZHrBhr9mB2MThHD7X7vdTmY+vhXeGESS/vjI0dpr346ETa8d2+fS9M +QAct3LVeYrHJrJccthhrfGLppGW95NBx2OCV7lovZ9cZdTadYYxAt7/a3epF +c9PZr3X3etHktPmbnf1euAOLvMFd7SWWNn9dLzl602qH9zKDNTlixeilh9p6 +YhI91H5jL7X52HqoZQ9mV9MsWOItvczExhH/14uPTqfb3+vs9/Iu+djeqTXa +/n29xOAeHPF2d7EXrqDT3+lu92L7Jr4Fn1gx1uT42+Bvgr8F/kaoTXe/v5ce +mIMu/4i71cus2OetvcyMQ7DKh9zlXmL95vmtk6MWLf+BXmqKVVMtOWrZg9nV +FKumWnI+329ntj2dQTvPg/yW4oaP9/KbiiOwxyfdtV5sXPKpXnxsrPLpXny4 +D/d81t3rRfPT/l/Qq5c1XPC5XmLkyhGrBg1JN/7au+gll47/Yi81aG46+0vu +Ti827f3lXnxsWv0rvfj0MoPeemIILPG1Zn+1F5vO/3ovPjbt/41efHrrqZcZ +1FZDrh5iscQ3e8nBEFji2+5mLzqabv+hs90L19Dd33EXerHp7u/24mPT2d/r +xcemvb/fi08tLPCjXmqy6f4f9+JjY4Gf9OKj0b1T7/KXvXAD1vi5u9VLLI74 +aS859mIPZrcna3LEirE3ezC7PeIaPdT+RS+1+dh6OEvOkLPjTPm22OA3vXxj +XIJVfufu9GJjo9/34mPf7I714sMM2OFP7m4vTEDX/8Xd6mUNNxzVS4xcOWLV +0FtPvcwgFxv8tZcamIGOP9rd68Wm7Y/pxcfGCcf24tPLDHrrScPT8v9o9t97 +sXHDcb342Ljh+F58euuplxms0f0nVAwbC/yzfGwc8a/y0cl0/anOai9MgRNO +dBdrjc4/rWKs0d3/qRg6nM4+uT0nlb161Tq5YletNTnOojPtLH+/auOG06sH +jU/Hn+Ms9cIQuOGs9pzZi6aj7c5oz38rd+2yT6+1dSv2jNrrSrUXe16/eqj9 +v6q9ftlnVW8scW7NwMYJ55WPjR3OLx8GoPsva8+lvXAGlrmwPReUjSMuKh+b +rr+4fGxa/5LyqYUDLq+abGxwRfnYuOHK8tHldP/17bmuF52PE65pz9UVS/df +VTlb1syXVs/tK+fqisEMdOmN7bmhF82/c9W+tmrvVPY11XvXir2+cmnbm6oG +3Uwnr9B+I5bvRyPTyne2545emANn3NKem2uN7r+rYqzhjFsrBmPgitvbc1vZ ++1at2yt271q7tXrT6iv2M4PaOOHu6nFozWi25frhChxyf3vu64UrcMa97bmn +cg8s++5aO7hi762971F78Q4eVD3UfqBq8z1QPWhNmpVWfXHNihNW6mdmmo/2 +26bZW/fDCHTAas1etR+uwBqrNHvlfmwx1vjE0g6r95NDl+GEtZq9Zj9n2Zl1 +Vs+vNdywdj8xmIGOX7fZ6/Sj8en4jZu9UT8MgRs2aPb6/cTS/uv1k6M3HbhG +PzNYkyNWjF56qK0n5tBD7Q37qc3H1kMtezC7mmbBFpv0MxMbN2zaj4/Gp+u3 +a/a2/bxLPrZ3ao3O376fGByCK7Zo9ub9cAZdvlWzt+zH9k18Cz6xYqzJ8e18 +E9/CN3xRfdcd+umBEej+XZu9Sz+zYp3N+pkZY+CKnZu9Uz+xOGC3fnLUclZ2 +7KemWDXVkqOWPZhdTbFqqiXHb4/fGL8tx1ZtrLF7Pz0wBJbYs9l79MMAdP8B +7k4/3IFt9m72Xv3Y2Guffnxs3LFvPz427tivH59aWOLAfmqyccRB/fjYdP3B +/fjoeLr/sGY/tB+djjUObfaD+oml2w/pJ0cvM5tVT2tyxIrBCFjh4e5OP4yg +h9oP6YdR+NgP7kfj0rrP8m77mUUNuWaimcVYe0Y/GplWfryz3Q9nYI9HuYv9 +2Lji0f342LjiMf342HT7Y/vxqYUDntBPTTY2eGI/PjZueFI/PkxgJrM8vR8m +wSZPdbf6icUaT+4nx7vAQY/o551YkyNWjDV7MLsYTKOH2k/rpzYfWw/vCjc8 +u593xsYSz+nHRxfSfQvfvh8OwQnPb/bz+onFJc/tJ4ctxhqfWDrwBf3kYAjc +8GJ3rR+NSWu+0ez9rOGCl/QTgxNww8vctX50Od3fd/b70fk4oePu9RNL97+8 +nxy9adEX9jODNTlixeilh9p60vx6qN3tpzYfWw+17MHsapoFdwz6mYmNE4b9 ++OhmOv9wZ7+fd8nH9k6t0f2v6CcGk+CQibvYD2PQ5TN3ux/bN/Et+MSKsSbH +WXSmnWVnUm0c8cp+emAGnPA6d6OfWbHNqJ+Z6Tyc8Rp3rZ9YnPD6fnLUogNf +1U9NsWqqJUctezC7mmLVVEuO31y/vX9v9rH91HYGfHs9/E6LsXZMP7odF7zD +XeqHM7DHW9zFfmys83/9+Ng44639+Nh0/9v68amFK97ZT002TnhXPz42dnh3 +Pz4anVb/YLM/0I/mp/3f5271E4s73tNPjl5mNque1uSIFYMpcMSH3bV+GEQP +td/fT20+th50Ml38Vd+qn1nUkGsmGlqMtS/3wwh086fdpX5+N3DIx9zdfmwc +8vF+fGzc8Yl+fGzc8cl+fGrR4p/ppyYbR3y2Hx8bJ3yuHx8mMJNZvtQPU2CL +L/bz7w5isYV/h5DjXfhd+0g/78SaHLFirNmD2cVgGj3UPqKf2nxsPbwrLPG1 +ft4ZGyd8vR8fjUvn/d5Z6IcrcMO33K1+YrHFN/rJYYuxxicWV3y7nxwajBb7 +rrvcDwM7o87mm/pZwxHf6ycGQ+CGH7gb/TABnf+zZv+0H52PI37srvYTS/f/ +sJ8cvXHMkf3MYE2OWDF66aG2nhhED7V/0k9tPrYeatmD2dU0C674eT8zsbHG +L/rx0cl0/R/dlX7eJR/bO7VGx/+pnxjcgUV+7W72wxzY47fuSj+2b+Jb8IkV +Y02O3wbv1Lv0G6E2Vjiqnx6YgK4/2t3oZ1ac88t+ZsYZuOSv7lo/sf7G+Nsi +Ry0c8ud+aopVUy05atmD2dUUq6ZacvwtwwX/6OdvGhsrHNePz99Ufwf3GTS9 +NQi34Jp/tueEfmKxxvH95LCXr7XjKxZX/Kty6FSc8B93rx9NSSdeY++1hiVO +qhgMQcef4i72o9vp/DPdlX50OW44vT2nVSydfmrl6E0X/7tmWKNyTquYVavH +ydVz7eqh9n+r9tpl60Gr0qS06J5Ve+Xaix4YA2v8rz1n9aOL6fSL23NRPxp5 +87Iv7IczsMe57TmnbFxxXvnYuOL88qlF+19SNdm44NLybV/v1Lu8qh/G2L7s +K2s2XHN2zYhJsMkV7bm83u16NfuZVRt7XFY9tq2aV1bOBrWHc6rm1lXz8srx +bbHAtfWNMQN2uL491/Wj8Wi91drZWnUQJsAGN7XnxorFITdUDnu3WruhYnHD +zZXju+CC29pza/XeuXpdW2s44faKwQh0+53tuaMfTU+339+e+/phBpxwT3vu +rlha/67K2aPOwS01w/6Vc3fF7FM97qieB1UPte+t2geVfU/V2qtmv6VmwRIP +1ExsLLLcID6ano5fo/2/Vx/kXfKxvVNrdP2ag8TgDhyxUrNXHIQr6PBVmr3y +ILZv4lvwiRVjTY6ziBsv6OdMqk2nrTVID0xA56/f7PUGYRpss4K/HYMwBY5Y +t9nrDBJL928wSI5atN/ag9QUq6ZactSyB7OrKVZNteSYzR27sGZUG1tsOEgP +Nm7YaBAfjU/rb93srQZhAD72loNwB7bZ1N4HsbHXZoP42Lhj80F8auGEbQap +yabbtx3ER6fT9Ts3e6dBNDsfe8dBNCat+RDvbhCdjiN2aPb2g/TCNVsM0lNt +On67QXqIVVMtORgBK+za7F0GiVVTLTlq2bO9qmk2OWLNKBc37DZIDbqYTt+v +2fsO8tvAx/YbYY2W33+QGNyBRfZo9u6DMAau2KvZew5i+43x28InVow1Od4F +Xf7QQd6J2rjhgEF6YA5c8KBmHzKIpvcOvbtDB2EUrHJwsw8aJFaMNTlqYZED +B6kpVk215Ni7PZjdOxCrplpy/FuGf8Pwd2D3mhVLHDbIzDQh3fdcZ2sQbnWm +nKWNB2EG7PAId2+QXJzysEFqsMVY4xNLRzxykBzMgBMe2+zHDMIIdPvj3Y1B +ND3d/lRnfxAm4GM/eZC7YCazuBOYAkc8yV0eRAfqofajB6mNDZ4wSA+xaqol +xyxm0NtMYtVUS47ZaaFHDbIHth5q85kVazxtkJlpejr+hc76IJqfj/38QdZo +/RcNEkNni7H2vEEYA2s8290ZxPZNfAs+3CLG2jMH+VZqyPXNaHw63n9rfPkg +XCNH7DMGmRXnPH2QmdlirPFhCjXkvmwQBsEdL3X3B2EcPvaLB1mTI1aMvYmx +Zo8Xzpp2aM/3500fz8MZuKE7yH8TxRHYou8uDWJjmcEgPjbWGg7iwxi4Yuwu +D8IIWGHmrg2yhiMmg8TIlSNWDRqSlny/vQ+Siyvmg9Sg8enyZe7iIDatfvgg +PjaueMUgPr3MoLeeGAALvMrdHsTGEa8exMfGDa8ZxKe3nnqZQW015OohFmu8 +dpAcDIElXu+uDaKT6fa3OsuDcAeOeKO7N4iNI940iI9Np795EB+bzn7LID61 +sMDbBqnJxglvH8THxg7vGMRHw3un3uV7B+ESHPJud3eQWJzyzkFy7MUezG5P +1uSIFWNv9mB2e8Qceqj9nkFq87H18DfN37Z723PPIN8WR3xgkG+MK3DHh9yt +QWws8uFBfGz/9vGRQXyYAkd8zN0chAmwwSfd3UHWcMPHB4mRK0esGnrrqZcZ +5OKITw1Sg6anuz/jbg1i0+KfHcTHxhGfG8Snlxn01pPmp/2/0OzPD2Ljhi8O +4mPjhCMG8emtp15msIYlvjRIDBsnfHkQHxs7fGUQH51M13/bWR2EM+jsr7mL +g6zR+UcOEmONFv/6IDE0O539TXd1EFtNtfjEirEmx1l0pp1lZ1Jtuu07g/Sg +6en0HztLg3AJrviBuzaIhqKlvucuDZLLx1bDmhyxYuzVnuzFnjGJHmr/cJDa +fGw9fBvfwLv3jcyCHX4yyEwYA2v8zF0chAHo/t+6S4NwDdb5hbs4iI0zfjmI +j033/2oQHxsL/HoQn1pY4neD1GTjiN8P4mPT9X8YxEfH0/1/dTcG0ek44ih3 +a5BYuv2Pg+ToZWaz6mlNjlgxGAErHO1uDcIIeqj950Fq87H1oHFp3TO860Fm +UUOumdaqGGunD6KRaeV/ObuDcAb2+Lu7NoiNK/4xiI+NK44bxMfGGscP4lML +B/y7arKxwYnlY+OG/5RvzZrJLKcNwiTY5BR3rWKxxkmV413goGMGeSerVs7J +FWPNHswuZo3qofapVXuNsk+pd4Ubzqx3xsYSZ5WPpqPtbvLtB2EEXHBOe86u +WFzyv8pZv2LOLp9YuuncyqHrcMEF7Tl/kLPrjDqbP6s1nHBhxdDwdPfF7blo +EA1Pl1/ZnisG4RAccVl7Lq1YWv2SytGbdjuvZtiyci6tmM2qx0XVc5vqofbl +VXubsi+rWpvU7OfVLFjhqpqJjSuuLh+NTqvf0p6b613uUfZNtUbX31oxOAdX +XNeeawfhDFxxQ3uuL3u3+hY3VOwutSbH3wZ/E/wt+EnVxg63VQ9MQMff3Z67 +alYsdE3NjFNwyZ3tuaNi/ebdUzlq4Zjbq+Z+VfOuytmx9nBt1dynat5RObQY +DUZ70WR+S3HEfYP8puIK3PFAe+4vG4ssN4yPjV2WH8aHeXDEis1eYRgGwAKr +NHvlYdZwwkrDxMiVI1YNupG23LHZOwyTixtWHaYGDU53r97s1YaxafE1hvGx +af81h/HpZQa99aTxaf21m73WMDYuWGcYH5vuX3cYn9566mUGtdWQq4dYrLDe +MDmYAlts0Oz1h9HdtPrmzd5sGI7BCRs1e8NhbDp842F8bDp8k2F8bNp802F8 +auGALYapycYGWw7jY+OArYbx0eDeqXe5/TCcgUW2bfY2w8Rih62HybEXezC7 +PVmTI1aMvdmD2e0Rw+ih9nbD1OZj63FwnaH760z5tjhhp2G+MW7AGrs0e+dh +bOyy6zA+Nk7YbRifM+qs7tHs3YdhArp+72bvNcwabthzmJhOnWuxauitp15m +kIsN9hmmBmag4/dr9r7D2LT9/sP42DjhgGF8eplBbz1peFr+oGYfOIyNGw4e +xsfGDYcM49NbT73MYI3uf9AwMWwscOgwPjaOePAwPjqZrn+kszoMU+CEh7qL +w6zR+Y8aJsYa3X3YMDF0OJ39cHd1GFtNtfjEirEmx1l0pp1lZ1Jt3PDoYXrQ ++HT8k5ylYRgCNzzeXRtG09F2j3WXhsnlY6thTY5YMfZqT/Ziz5hDD7WfMExt +PrYeemOJJw8zAxsnPGUYHxs7PHUYHwag+5/jLg3DGVjm6e7iMDaOeMYwPjZd +/8xhfGxa/1nD+NTCAc8dpiYbGzxvGB8bNzx/GB9dTve/tNkvGUbn44QXuVvD +xNL9LxgmRy8zm1VPa3LEisEMdOnL3a1hNL8ear94mNp8bD30liPWDHJp26Vh +atDNdPIrncVhNDKtPHJ3hmEOnNF114ZZo/vHw8RYwxm9YWIwBq4YuDvD2Gqq +xSdWjDU5etPqrxpmBrVxwmSYHjS9Gc12+DBcgUMW7uIwXIEzZu7uMLl8bDWs +yRErxt7tyV68A8yhh9rLhqnNx9bDvxHTrLTqCTUrTnj1MDPTfLTfR5yNYRiB +Dni9uzcMV2CN17qbw9hirPGJpR3eMEwOXYYT3uzuDXOWnVln1Zm2hhveMkwM +ZqDj3+puDaPx6fh3uxvDMARueIe7NUws7f+2YXL0pgPfOMwM1uSIFaOXHmrr +iTn0UPudw9TmY+uhlj2YXU2zYIv3DDMTGze8dxgfjU/Xf8zdGeZd8rG9U2t0 +/seHicEhuOID7uownEGXf8jdGcb2TXwLPrFirMnx7XwT38I3VBtLfGKYHhiB +7v+cuzbMrFjnfcPMjDFwxWfc7WFiccDnh8k5oc7Kp9zFYWLVVEuOWvZgdjXF +qqmWHLWwxReGqcnGDV8cxsfGEkcM48MAdP83nP1huAPbfNldHMbGXl8ZxsfG +HV8dxsfGHV8bxqcWlvjmMDXZOOJbw/jYdP23h/HR8XT/D5r9/WF0Oo74rrs7 +TCzdfuQwOXqZ2ax6WpMjVgxGwAo/cneGYQQ91P7eMLX52HrQuLTuX7zbYWZR +Q66ZaGYx1o4aRiPTyr9ytofhDOzxU3dxGBtX/GwYHxtX/HwYH5tu/8UwPrVw +wK+HqcnGBr8ZxsfGDb8dxocJzGSWPw3DJNjkD+7WMLFY43fD5HgXOOjHw7wT +a3LEirFmD2YXg2n0UPuPw9TmY+vhXeGGvw7zzthY4m/D+OhCuu9sZ2EYDsEJ +xzb7mGFiccnRw+SwxVjjE0sH/n2YHAyBG45314bRmLTm5WYfZg0XnFAxOAE3 +/MvdGEaX0/2nuAvD6Hyc8J/2nFixdP+/K0dvWvQfw8ywUuWcWDHLV49/Vs9V +q4faJ1XtVcvWQy17MPs/ahbccWrNxMYJp5WPbqbzz23POfUuNyz77Fqj+8+r +GEyCQ85oz3+HYQy6/Kz2nFn2+vUtzqrYdWtNjrPoTDvLv6jaOOL86oEZcMIl +7bm4ZsU2p9fMdB7OuKg9F1YsTri0ctSiAy+omptXzYsrZ63aw3+r5qZV88LK +2aq++WVV07fHDVfUGfA77Xf5gFHT26PodlxwfXuuG4YzsMdV7bmybKxzdfnY +OOOa8rHp/mvLpxauuKFqsnHCjeVjY4ebykej0+p3tOf2YTQ/7X9re26pWNxx +c+XotXPNem2t7VmxYjAFjrirPXcOwyD7VO3bqvbeZd9avfer2DsqF4vcXTVo +aFp6zfau1hiFEejm5Zu93ChMgkPubc89tUZbrzBKjDVccl/FYBDc8UB77i9b +TbUeqNhDau2+6k23rzXKDGrjjBVH6fGomtFsq4/CGFhj1WavMgpjYI2Vm73S +KLl8bDWsyRErxt4PrL14BxhHD7VXG6U2H1sPZ4nWO3CUM2VWrLH2KDPTvHTf +rs3eZRTOwBHrN3u9URgDa6zb7HVGscVY4xOLMzYYJYcmo802bvZGo5xtZ9ZZ +vaLWcMUmo8RgChyxWbM3HYUR6P5tmr31KLofV2zZ7C1GicUBm4+Sozeu2XCU +GazJEStGLz3U1hOT6KH2VqPU5mProZY9mF1Ns+CMbUeZiY09thvFRzfT+bs3 +e7dR3iUf2zu1RtfvMUoMDsEmOzZ7h1EYBIvs3OydRrF9E9+CT6wYa3J8O9/E +t/AN1cYOe47SAyPQ+fs1e99RZsU9248yM+7AKfs0e+9RYv3N8bdGjlq4ZK9R +aopVUy05atmD2dUUq6ZacvzPdvqfEfXfRP23UWcPNxw0yhn0N9bfxS83+0uj +cAzOObTZDxqFM7DHIc0+eBRbjDU+sTjjwaPk0K244TB3ZxSNSTe+2KyjrGGL +h40Sgyno+ke4i6PoeLr/ce7GKDodRzza3R0llm5/5Cg5etPJDxllBmtyxIrR +Sw+19cQIeqj9mFFq87H1oF1pVNr0iKptT/aiB+bAHk9wF0fRyXT7M92dUTQz +H/vpo3AHFnmyuzmKjTOeMoqPjTOeOopPLSzwrFFqsnHCs0fxYQAs8EJnfxTm +4GM/f5TZcM4TR5kRo2CV57lro7xbezC7d6w2FnnOKD3EqqmWHLXswexqilVT +LTm+LTZ4ySjfGENgiZe5S6NoPtrv9b7FKMyAEzru5iixuOTlo+SwxVjjE4sj +uqPk+C44YeBujNJbT73MYA03DEeJwQx0/NjdGUXj0/HLmr0YhSFww6zZ01Fi +af/JKDlfrHPQG2UGa3LEitFLD7X1xBx6qD0fpTYfWw+17MHsapoFWxw+ykxs +3PCKUXw0Pl3/Rmd/lHfJx/ZOrdH5bxolBofgile7G6NwBl3+WndzFNs38S34 +xIqxJsdZxJFPG+VMqk23vXmUHhiB7n+7uzDKrFjnlaPMjDFwxVvd5VFiccA7 +RslRixZ8yyg1xaqplhy17MHsaopVUy05ZnPH3C0zqo013jlKDzaOeNcoPpqf +9v+wsz4KE/CxPzgKh2Cd99r7KDYWe98oPjYOef8oPrVww0dGqcmm4z86io9u +p/M/7W6MouH52J8cRXPSnt/z7kbR7bjiE+72KL1wzgdG6ak2Xf+xUXqIVVMt +OZgBO3zW3RslVk215Khlz/aqptnkiDWjXBzxuVFq0Ml0+1ed7VF+G/jYfiOs +0fZfGyUGh2CTL7jbozAHzjjC3RnF9hvjt4VPrBhrcrwLOv37o7wTtXHE10fp +gUFwwpHu7iga3zv07r4zCrNgl2+5a6PEirEmRy1s8o1RaopVUy059m4PZvcO +xKqplhy/lX4D/fb5zTQrtvjBKDPTvHTf0c7WKBzrTDlL7x6FKbDFj929UXJx +yw9HqcEWY41PLO74ySg5GAI3/MJdHIUZ6PhfuRujaHw6/g/O/iiMwMf+3Sh3 +wUxmcScwBq74rbs8ig7UQ+2fjVIbK/x6lB5i1VRLjlnMoLeZxKqplhyz05U/ +HWUPbD3U5jMr9vjjKDPT7LT7P5z1UTQ/H/vYUdbo/ONGiaGzxVg7ZhQGwSJ/ +dXdGsX0T34IPx4ix9udRvpUacn0zup6OP9FdGoVz5Ig9apRZcc+fRpmZLcYa +34pVQ+6/RmEYnPHP9pwwCqcsX/bxtbZixYqxt+Vq7biaBVv8p2Zi44aTysfG +EieXj+6k+89szxmjcAe2ObU9p5SNg04rHxtnnF4+Nt363/KphSXOqppsHPG/ +8rHp+rPLR8fT/Re254JRdDqOOK8951Ys3X5O5axdM59RPTesnHMrBiNghYvb +c9EojLBp1T6/am9Sth40Lq17m29Ts2xeuWbas2Ks3TKKRqaVr27PVaNwBva4 +rD2Xlo0rLi8fG1dcUT423X5l+dTCAddUTTY2uLZ8bNxwXfn2qJnMcvMoTIJN +bmzPDRWLNa6vHO8CB11S72SXyrmhYrasPVxaMbtXD7Vvqtq7l31jvSvccHu9 +MzaWuKN8NCOdt964nYVxOASn3t2euyoWl9xZOez9a+3OisUV91SOv5s44f72 +3DeKZqQdt2q1txxnDUs8UDEYgo5fvq0tN45up/NXbfYq4+hw3LBSs1ccJ5Yu +X2GcHL39nb63ZrAmR6yYB1UPtfXEBHqovfI4tfnYehxUe7ivapoFV6w2zkxs +rLH6OD46ma7foNnrj/Mu+djeqTU6f8NxYnAHFlmr2WuOo8lp83WavfY4tm/i +W/CJFWNNjrPoTF9VZ1Jtum2jcXpgCNywebM3G2dWnLPGODPTUVhl02ZvMk4s +DthinBy16KyNx6kpVk215KhlD2ZXU6yaasnxG+q3dNbs6Ti1nQHfXg+/yWKs +TcbR8Thg52bvNA6HYJttm73NODb22W4cH6bEHTs0e/txbByw4zg+tXDELuPU +ZGOLXcfxsXHFbuP4aHhafp9m7z2ORqfV92z2HuPE4oDdx8nRy8xm1dOaHLFi +MARO2K/Z+47DJHqovdc4tfnYetDEdO5jvNtxZlFDrplocDHWHjWO5qaTD3W2 +x2EMrHFgsw8Yx8YiB43jY+OMg8fxsXHGIeP41KK9HzxOTTYueMg4PjZWeOg4 +PsxhJrM8chyuwCUPb/bDxonFGYeNk+NdYKf9x3kn1uSIFWPNHswuBufoofYj +xqnNx9bDu8IGjx3nnbFxxOPG8dG0dN3LnYVxGAQnPLHZTxgnFns8fpwcthhr +fGJxxJPGyaGjaLunNvsp4zCyM+psbj3OGm542jgxmIGOf4a7Ng4j0PHPa/Zz +x9HlWOTZ7t44sXT6M8fJ0Ztue/I4M1iTI1aMXnqorScm0UPt54xTm4+th1r2 +YHY1zYITnj/OTGzs8IJxfHQxnd5xV8Z5l3xs79QaLd8dJwaXYJ0Xu5vjMAbW +eKm7Mo7tm/gWfGLFWJPjt8E79S79RqiNG3rj9MAcuGDsbowzKw564TgzYxSs +MnTXxon1N8bfFjlqYZH+ODXFqqmWHLXswexqilVTLTn+luGG+Th/09hYYjGO +z99UfwdPbc8p43AIVnlFsw8fJxaXLBsnhy3GGp9YHPTKcXIwAi54jbs3jkak +FT9h7+OsYYfXjhODGeju17uL4+h0Ov7/3JVxdDit/yZ3d5xYuvwN4+TojWte +Nc4M1uSIFaOXHmrriQH0UPvN49TmY+vxsLqnq0zab+okte3JXvTADNjhbe7i +OLqbVn+/uzOOzuZjv3ccDsE273Q3x7FxxLvG8bHp9HeP41MLB3xgnJpsbPDB +cXyYwjv1Lj82DnfwsT86zmy45+3jzIgbsMdH3LVx3q09mN07VhtXfGicHmLV +VEuOWvZgdjXFqqmWHN8WK3xynG+MEbDCp92VcTQerfcj334cRsAFn2v2Z8eJ +xSKfGSeHLcYan1jc8PlxcjACLjjCXRunt556mcEaTvjSODEYgW7/irs2jqan +27/lbozDDDjh6+7eOLG0/lfHydEbu3xhnBmsyRErRi891NYTY+ih9jfGqc3H +1kMtezC7mmbBEt8eZyY2TjhyHB9NT8f/xF0Z513ysb1Ta3T9T8eJwR044nvu +5jhcQYf/oNnfH8f2TXwLPrFirMlxFnHie8Y5k2rTaT8bpwcmoPN/7SyNMyu2 ++c44M2MKHPFLd22cWLr/N+PkqEX7/XycmmLVVEuOWvZgdjXFqqmWHLO5Y+6W +GdXGFr8dpwcbN/xuHB+NT+v/zV0ahwH42H8ZhzuwzR/dxXFs7PWncXxs3HHU +OD61cMLR49Rk0+3HjOOj0+n6E9yNcTT7cmUfN47GpDXP9+7G0ek44h/u8ji9 +cM2fx+mpNh1/7Dg9xKqplhyMgBX+5e6NE6umWnLUsmd7/XPNtkLFnlC5uOHf +VYMuptNPd7bH+W1Ys+xTa42W/2/F4A4s8p/2nDgOY+CKk92dsv3GnFK+VSrm +pMrxLujyC+qdqI0bzqgemAMXnNOes8fR9BvXuzt3HEbBKv9rz1kVu1GtnVO1 +sMiZVXP9qnl25axUezix3sG6VfOsyqE1aVZa9ZCaFUtcWDPThHTfXc7aONzq +TDlLvx+HGbDDJe25uHJxykVVY/OKubh8YumISysHM2CHK9tzxTiMQLdf056r +x9H0dPtN7blxHCbYrewbxrkLZjKLO4EpcMT17bluHB24bdW+vGpjg2urxy5V +84bK2b5m0Puqit25al1bs9NCl9Uetq4el5fPrFjj5pqZpqfj72vPveNo/oPK +vqfWvPf7K+aAirF29ziMgTXuaM/tZe9X34Jv74qxdlt9qwMq1zej8en4ldpv +/YqTcM3eFXtrzYpzbqmZ2XvVGt9DqobcFSZhENyxfLOXm+Tc8LEfqDU5YsXY +m5gHao9moUFoDzPRIthh1Uk0yavb85r2PHTa6kzDAHT/Os239iTcgW1Wb/Zq +k9jYa41JfGzcseYkPjbuWGsSn1pYYt1JarJxxHqT+Nh0/fqT+Oh4un/TZm8y +iU7HERs1e8NJYun2DSbJ0cvMZtXTmhyxYjACVti82ZtNwgh6qL3xJLX52HrQ +uLTuXs3ec5JZ1JBrJppZjLU9JtHItPL2zd5uEs7AHls1e8tJbFyx9SQ+Nq7Y +ZhIfm27fdhKfWjhgh0lqsrHBjpP42Lhhp0l8mMBMZtl9EibBJrs2e5dJYrHG +zpPkeBc4aItJ3ok1OWLFWLMHs4vBNHqovdsktfnYenhXuGHvSd4ZG0vsM4mP +ZqTznuAsTMIh/q1j/2bvN0ksLtl3khy2GGt8YnHGAZPkYAaccHCzD5pEM9KO +zzH7JGtY4pBJYjAEHX+ouzOJbqfzH97sh02iw3HDQ5v9kEli6fIHT5KjN645 +cJIZrMkRK0YvPdTWExPoofZhk9TmY+uhlj2YXU2z4IpHTDITG2s8chIfnUzX +P8ldmeRd8rG9U2t0/pMnicEdWOQx7uYkmpw2f5y7Montm/gWfGLFWJPjLDrT +zrIzqTbd9pRJemAI3PBMd2OSWXHOoyaZmY7CKk931yaJxQHPmiRHLTrrqZPU +FKumWnLUsgezqylWTbXkqOWb+9Zq+va44rmTnAG/yX5nv9bsr06i43HAS9yl +STgE2zzfXZzExj4vmMTHxh0vnMTHxgEvmsSnFo546SQ12djiZZP42Lji5ZP4 +aHhaftDs/iQanVbvuluTxOKApUly9DKzWfW0JkesGAyBE0bu2iRMoofavUlq +87H10FuOWDPIxRrjSWrQ4HTzG529STQ3nXy4uzQJY2CNqbs7yRot/YpJYqxh +k9kkMZgDZyyc9UlsNdXiEyvGmhy96fA3TTKD2rjhlZP0wCBmNNvrJ+EMnPLa +SX63cAbu8Dv2qkly+dhqWJMjVoy925O9eAe4Rw+1XzdJbT62Hs4S7fb1Sc6U +WbHDmyeZmcal8z7jbEzCJLjhbe7aJAyCRf7PXZvEFmONTyyuePskOXQVrfcu +d3uSs+3MOqvOuDUc8e5JYjAEXf9ed2USZqDrP9zsD02i07HJB9zdSWLp9vdN +kqM3HfeOSWawJkesGL30UFtPjKKH2h+cpDYfWw+17MHsapoFN3xkkpnYWOKj +k/joZLr9c+7OJO+Sj+2dWqPtPz9JDE7BPp9wVydhDuzxKXdnEts38S34xIqx +Jse38018C99QbRzxhUl6YBCc8BV3Z5JZcdHHJpkZs2CXL7l7k8T6m+NvjRy1 +sMkXJ6kpVk215KhlD2ZXU6yaan2xzrRzd9g0mszZwxXfmOQM+hvr7+LqbW21 +abgEuxzprk3CITjlW+7aJLYYa3xicdF3JsnBDDjh++7OJJqRdjzOrJOsYYkf +TBKDIejwH7mLk+h2uv6X3u0kupz2/6m7O0ksnf7jSXL0xjnfnWQGa3LEitFL +D7X1xAR6qP3zSWpjl59N0oOWpVFpU5pWbXuyFz0wBJb4tbs3iQ6n3f/sLkyi +u/nYf5oU47gT7sYkNq74/SQ+Nt3+h0l8auGCv0xSk40V/jqJD2N4p97l3yfh +ED72sZPMhoN+M8mMOAKLHOMuT/Ju7cHs3rHaOONvk/QQq6ZactSyB7OrKVZN +teT4ttjh+Em+MWbADv90lybRfLTfJb7FJMyAHU50NysWm/yrclasmH+XTyyu ++E/l+C444RR3Y5Ley1ev42sNN5xaMZiBjj/d3ZlE49PxZ7fnf5MwBG44sz1n +VCzt/9/KWaXOwUk1w9qVc0bFrFE9Tque61UPtc+q2uuVfWbVWq1mP6lmwRbn +1Exs3HBu+Wh8uv6y9lxa73Krsi+pNTr/8orBIbjigvacPwln0OUXtefCsreo +b3FRxW5Wa3KcRdz4x0nOpNp02xXVAyPQ/de255qaFeucVzNjDFxxdXuuqlgc +cF3lqEULXlk1d6ia11TOxrWH86vmdlXzqsoxmzvmbv2xamON66sHG0fcUD6a +n/a/sz13TMIE+5Z9+yQcgnVubs9NZWOxW8rHxiG3lk8t3HBX1WTT8XeXj26n +85drf0sfmETD87Hvn0Rz0p4bt/WNptHtuOK+9txbvXDObdVTbbr+nupxcNW8 +v3IwA3ZYodVafprYg6rWPVVrn9rrbTWbHLFmlIsjVpymBp1Mt6/Z7DWm+W3g +Y/uNsEbbrzVNDA7BJis3e6VpmANnrNrsVaax/cb4beETK8aaHO+CTt9kmnei +No5Ye5oeGAQnbNDs9afR+N6hd7fhNMyCXdZr9rrTxIqxJkctbLLONDXFqqmW +HHu3B7N7B2LVVEuO30q/gX77vlGzYotNp5mZ5qX79m32PtNw7O51lm6chCmw +xRZtbfNpcnHLZtPUeGbFWOMTizu2nCYHQ+CGbZu9zTTMQMdv3+ztptH4dPyu +zd5lGkbgY+88zV3YrWZxJzAGrtipre04jQ7UQ+2tp6mNFXaYpodYNdWSYxYz +6G2mF1dNteSYna7capo9sPVQm8+s2GO3aWam2Wn3A5t9wDSan4+9/zRrdP5B +08TQ2WKs7TcNg2CRvZu91zS2b+Jb8OEYMdb2nOZbqSHXN6Pr6Xj/VvXgaThH +jtg9ppkV9+w+zcxsMdb4MIEacg+dhmFwxoOafcg0nMLHPniaNTlixdibGGv2 +6H8Xgf+dA/7/wPj/C0O7YYeHTaPhNpi1s92ec9pz9iyMgxse1dYeOQ13YJtH +uIvT2GKs8YmlUx89TQ5mwA6Pc/em0Yy0Y89ep1nDEY+fJoamp8Of6O5No/np ++qe7y9MwBF3/FHdxmlja/UnT5OhNKz9mmhmsyRErRi891NYTJ+ih9lOnqc3H +fkrdUXf1zPacMU1te7IXPXAHjnimuzeNZqfdX+QuTaOb+dgvmIZDcMVz3MVp +bFzx3Gl8bLr9edP41MIFL56mJhsrvGQaH83unXqXnWmYgI+9NM1sWOdZ08yI +U3DJy93lad6tPZjdO1Ybx7x0mh5i1VRLjlr2YHY1xaqplhzfFjf0p/nGOAJn +DN2laTQerfd232IaRsUJE3dnmlhsMpomhy3GGp9Yfyen0+RgBKywcJen6a2n +XmawhhuWTRNDw9PZr3CXptH4dPzrmv3aaZiBjn+1uztNLG3+ymly9Pa3ejbN +DNbkiBWjlx5q64kL9FD7NdPU5mProZY9mF1Ns2CL108zExs3vGEaH01Px7/T +2Z/mXfKxvVNrdNW7ponBIXT4W9yNaXQ53f5Wd3Ma2zfxLfjEirEmx1nEfc+f +5kyqTRe9e5oemIGu/4C7MM2sWOeN08yMU3DG+9zlaWLp+g9Ok6MWrfWeaWqK +VVMtORjKHsz+pmli1VRLjv/Z2tXrvyn7b8tmdefcNTPjCJzxYXdvGs1P+3/G +WZ+GCfjYn5qGc7DPx+x9Ght3fHwaHxsHfGIan1q44bPT1GTT8Z+bxke30/lf +djan0fB87COm0Zy058+b/bNpdDuu+KK7PU0v7PHJaXqqTdd/fpoeYtVUSw5m +wA5fdZemiVVTLTl60cG/mKan2t6BveshF0d8bZoadDLd/l13ZxrNzMc+chru +wCLfcDensXHGN6fxsXHGt6bxqYUFvjdNTTZO+P40PgxAt//U2Z+GOfjYP55m +zTvzrsRgFKzyI3dtmnftHdi7d642FvnBND3EqqmWHHu1B7Pbs1g11ZLjXWGH +X07zzmg4Wu7fztI0mnbFsv85Ddc6Q87OR6ZhBpzwG3dtmlo45VfT1GSLscYn +lo767TQ5GAEX/NHdm0az09lHuQvTaHY6/BhnfRpG4GP/bZqzbyazuAO4BFf8 +1d2dRkfqofbvp6lNy/95mh5i1VRLjlnMoLeZxKqplhyz04K/m2YPbD3U5jMr +djh2mpnpdLr9JGd7Gs2+Stkn1hptf3LFrFQxJ9Y3wBhY4wR3pewV6lvw4aLl +au24af72+Jvgb4G/QTS733i/7f+dhnvkiP3HNLPioL9PMzNbjDW+taqG3NOn +4Rjcclp7Tp2GadYo+5RaW6tiT6u9rV5r9khr4IazptEcOAJnnN2e/03zN9Xf +1kc2bfaIWTgF15zXnnMrFpucUznsjWrtnIrFFedXDibABhe158JpdCIteb+9 +1hpOuLhiaG46+9L2XDKNhqfLr27PVdPofLr9ivZcXrG0+WWVozcuuaBm2Lpy +Lq+YLarHJdVzu+qh9pVVe7uyr6h3tX69m7Oq9ma1Fz1wA664tj3XTKPT6fbb +2nPrNDp8r7JvmYZrcMMN7bm+bLr8xvKx6fKbyqcWFri9arLp/jvKd1C9U+/y +3mkY46Cy76nZsM91NSPuwCZ3t+euerc71uxXV21scWf1OKBq3lM5O9cerq+a ++1XNuyrHt8UFD9Q3xgnYYvl2lpabRdPRdls0e/NZ/k0XF6zU7BVnicUyK8yS +wxZjjU8sllh5lhxMgA1Wa/aqs/TWU68Hag0nrD5LDM1OV6/Z7DVm0fR0+/rN +Xm8WRqDb12n22rPE0uJrzZKjNy5ZZZYZrMkRK0YvPdTWEwfoofa6s9TmY+uh +lj2YXU2zYAmcZCY2TsBMfDQ83b5Vs7ec5V3ysb1Ta3TU1rPE4A66e5NmbzyL +DqfTN2v2prPYvolvwSdWjDU5ziJWvLnOpNp0zzaz9MAIdPyOzd5hllmxzUbF +ebgEV2zf7O1miaXjd5olRy1aattZaopVUy05atmD2dUUq6Zacszmjt1SM6qN +FXaepQcbV+wyi4/Gp/X3bfY+szAAH3vvWbgG6+ze7N1msXHGHrP42HT/nrP4 +1MIJ+81Sk0237z+Lj06n6x/U7ENm0ex87INn0Zi05tPsdRadjiMOavaBs/TC +GnvN0lNtOv6AWXqIVVMtORgBKzy42YfOEqumWnLUsmd7VdNscsSaUS5ueMgs +NehiOv0x7s4sGpmP/ahZ1mj5x84SgzuwyGHu9iyMgSse7u7MYvuN8dvCJ1aM +NTneBV3+9Fneidq44XGz9MAcuODJ7sosmt479O6eMgujYJUnunuzxIqxJkct +LPL4WWqKVVMtOfZuD2b3DsSqqZYcWtO/E397Gs1pVizxjFlmpmnpvLGzNgvX +OlPO0q6zaALa4NnNftYsuTjlmbPUYIuxxieWrnrOLDkYARe8wN2ZRbPT1S9y +12bR7HT4UrNfPgsj8LFfNstdMJNZ3Alcgite6m7Ooiv1UPt5s9Sm3V88Sw+x +aqolxyxm0NtMYtVUS47ZacPnzrIHth5q85kVO3Rmmfmb9V7nzu4sGp+PPZ1l +zbtfzBJDV4uxNplFk9PmQ2d9Fts38S34cJEYa/1ZvpUacn0zGp4uf7W7MAv3 +yBHbm2VWHNSdZWa2GGt8GEMNua+chWNwyCv8LZiFafjYy2ZZkyNWjL2JsWaP +zhomOrL2bTbs8JpZZmTjjNfO4qPxaf23ujuzMAAf+y2zcA6ueYO7OIuNdd44 +i4+N2940i08tnPC2WWqy6e63z+Kj0+n49zb7PbNodj72u2fRnbTpEd7VLDod +C7zLXZ6lF+558yw91abj3zFLD7FqqiUHA2CB9zf7fbPEqqmWHL3o3i/N0lNt +78De9ZCLMz4wSw06nHb/hLM8i+7mY39sFi7BOh9yd2exccWHZ/Gx6faPzOJT +Cxd8cpaabKzwqVl8GIMO/4KzPwuH8LE/N8uad+ZdicEgWOSz7t4s79o7sHfv +XG1s+OlZeohVUy059moPZrdnsWqqJce7wgpfnuWd0Yh03a+dpVl0IR/7l7No +PFrvb2rPwjU44mvN/uostbDKV2apyRZjjU8sHf31WXIwA3b4trs9CzPQ7d9x +V2bR6XT9j5z1WTQ7H/sHs/xN9rd53XnTU/PochzxfXdxFkbRQ+1vzlKbbv/u +LD3EqqmWHLOYQW8ziVVTLTlmxwLfmGUPbD3U5jMrzvjxLDPT9HT875ztWXQz +H/s3s6zRVb+fJca7F2PNN8AldPkv3JVZbN/Et+DDKWKs/WyWs4gbPzrLmcQI +dPxf3J1ZmEaO2J/OMis2+sksM7PFWOPDHGrIPWoWnYVl/uSuz6K5+Nh/mGVN +jlgx9ibGmj2azR1zt8xoNmfKWTKjs4Uzjp7ljGEAuv+f7tIsun75so+fhUuw +zrHu4iw2Fvr7LD42DvnHLD61sMS/qiYbR/y7fDQ77X5qe06ZhRlWL/vkWTQq +3XmFdzWLZqfdT2rPf2bphTuOm6Wn2jjhxOqxatU8uXIwBW44vT2nVewqVUuO +WsvVXo+r2das2FMrF3v8t2rQyLTyec7yLBp8o7LPqTW6/vyKwSBY5Mz2nDEL +p+CO/7XnrLJxx9nlW7dizqoc74IWv7LeidrY4YLqgUtwyKXtuWQWZtim3t1l +s3AHbrl4lv+7PFtVzGWVoxYuubBqblE1L6mctWsPZ9Q72KxqXlQ5/jbQdevN +8zfCrLjiqpqZ7qVzl29ry81z9pwpZ8kZxCg44tr2XFO52OTqqrFDxVxTPrE4 +47rKoftww03tuXEWZqDjb2nPzbMwAh1/V3vunIUh9iv7jlk0qr9x/ratPY9u +xyq3t+e2WXTcblX7hqpN199aPfapmndUzu41w801k9i9q9atNTtdeH3tYZfq +cUP5zIoj7q6ZaXbafeU220rz6GY+9orzrNH5q8wTQ1OLsbbCPByEOx5oz/1l ++ya+BR+uOaTW7qtvpYZc3+yx9Y68m7XmYaCDK/bemhX73FMzsw+qNT7Moobc +NedhGmyzRrNXn4dT/FvYas1edZ41OWLF2JsYa/bov3X6b5i43r8VOHvYYv15 +zqC/sf6uvl7sPBqP1jvY7PNwCpbZqNkbzpOLWzaYpwZbjDU+sThp43lyMAN2 +2LzZm83DDHT3ls3eYh6dTsdv7y7Mo9n52NvO8+/WNCJt+LpZdDoW2KatbT0P +o+ih9qbz1Kbjt5qnh1g11ZJjFjPobabnVk215Ng7LXzIPO/AXnDUJvPsyaw4 +Yod5Zqbb6fi9m73XPLqcj73nPDqcj73HvJjHOW72zvPYOGPXeXxqYYN95qmJ +KXwT3+LAebiDj33APMyjplo7zTMb1tlxnhnZYqzx2Yt35l3ZE87AKvs3e795 +mIOPve88a3rqJcZsYqyZESNghUOb/aB5NB1t9yzffh5Ny8d+xjzvVo5Y7xgz +4ISHuovz1MIqD56nJluMNT6x2OKweXIwAi54pLsxj2anqx/t7syj2enwJzb7 +CfMwAh/78fOwijP2uqqLS3DF49zlec6dHmo/fJ7atPtj5ukhVk215JjFDHqb +6S1VUy05r61z/LB59sDWQ20+s2KHJ80zMw1PNz3X2Z5H4/Oxnz3PGt3yvHli +vHsx1nwDmpw2f7qzPI/tm/gWfLhIjLWnznMWceRu85xJGp4uf4mzNQ/3yBH7 +lHlmxUFPnmdmthhrfBhDDbkvmodjcMgL3fV5dBcf+/nzrMkRK8bexFizR7Ph +2t3nmZHtjrlbfGbFEi+dZ2Y6n64fO7vzaH4+9nAeJuBjD+bhHpzT8S7msbFP +dx6fWnT2ZJ6adDndfnizl82j0fnYi3k0Oq3+SndlHl1Kh77Hu5xHh/Kx3zVP +L5zYm6cnHY8V5u7+PJqejz2dZ01PvcSYTYw1M6qFo/rz1GTbs73ymd2MZrMH +up2Of7O7NI8u52O/cZ41Wv8t88TQ5WKsvWEeDsIZr3VX57H9xvht4cM1Yqy9 +ep53QZu/d553gjno8ne6C/MwkByxr5rnXfKxvVOx3qF3JwfHqCH37fMwC3Z5 +m7s6Dzfysf9vnjU5YsXYmxhr9ui30R7tzW8kTUfbfdVZmkf30rlf9m7n0fh0 +/UfdzXm415lyll4+D1Ngiw80+/3z7B3LvG+ed8AWY42PZqezP+6uzKPZ6fDP +Nvsz8zACH/vT8/SWI9YM7gLuftk8d4JtJrPwmQXXfHCemXAMDvmUuzuPzudj +f2KeNT31EmM2MdbMSKd6B/b+4Xlq060fmqcHW4w1Phqelv+msz2Pjudjf30e +jU03+7+N+d15NL4Ya1+bh4NwxxHuzjy2b+Jb8PlWcsT6Zr6Vb+jb+WaYQA+1 +vzMPg/Cxj5znXWOfz83zztk46fPz+HCTGfT+wjxrfGwx9oZzvjXPHtnY59vz ++Nh66sX3hde2Wu3pvq79vWnPXt2mA9pzW3tu7YYzcMiP3LV5bGzy43l8bCzz +k3l8GAhX/MxdnYcJsMEv3eV51nDDz+eJkStHrBp0JK15sr3Nk4sjfjVPDZqc +Dv+NuzaPTZv/dh4fGwv8bh6fXmbQW0+an/b/g7s8j40T/jiPj40D/jSPT289 +9TKD2mrI1UMsdjhqnhyMgTX+4m7No8Np93+4K/NwDW74m7swj02XHz2Pj02X +HzOPj02rHzuPTy1ccNw8NdlY4fh5fGxccEL5Vql36l3+Zx7uwCb/dpcrFkv8 +s3LsxR7MflStrVixYuzNHsxujytXD7VPrNorl62Hv2v+9j1u0TTJIkyBG051 +l+bhCOxxentOKxvL/Ld8bOx3RvkwLI44qz1nzsMAWOAcZ7/WcML/Kmadyjmz +aqxRPU+rGeTihnOrBs1Np57fnvPKpl0vKB+b9r+wfOvXDGdXTxqf1r+4PReV +jQsuKR+b7r+0fBtVz/NqBmvY4LKKYeOIy8vHxhZXlI8Op+Ovb89183AMTriq +PVfWGl11Q8VYo9OvrhganVa/tj3XlL1z1bq2YnesNTnOojPtLB9btemiG6sH +jU6r39Ge2+fhEGxya3tumUcz0U43t+emyt297Btrbc+Kvbn2ul3txZ73rh5q +31a19y771vo2m9e7v7BmwRZ31kyYAlvc3Z675tH8dP7y7WwutwjnYJ9723NP +2bjsvvKxccT95WNjiwfKpxZ2WGGRmmzcsOIiPjYdvtIiPrqdrl/D3VhEl9P+ +qzZ7lUVi6fSVF8l5UM1s1gdqTY5YMTQ/7b9Ws9dchAn0UHu1RWrzsfWgc2nh +bZu9zSKzqCHXTDSwGGtbL6KzafGNmr3hIhyCbdZt9jqL2DhivUV8bDp9/UV8 +bDp9g0V8amGBjRepycYJmyziY2OHTRfxYQ4zmWWrRZgDe2zR7M0XicV+my2S +413gpLUXeSfW5IgVY80ezC4G5+ih9paL1OZj6+Fd4YTtFnlnbOyw/SI+OpF2 +fEizH7wIE9BBOzV7x0VisckOi+SwxVjjE0sn7bxIDh2HA3Zr9q6LnN0D62ze +XWt0++6LxNDcdPaezd5jEU1Om+/f7P0W4Q4ssk+z914kljbfa5EcvWm1XRaZ +wZocsWL00kNtPTGJHmrvu0htPrYeatmD2dU0C5Y4YJGZ2DjiwEV8dDrdfpi7 +ssi75GN7p9Zo+4ctEoN7cMQhzT54Ea6g0w91VxaxfRPfgk+sGGty/G3wN8Hf +gjurNt398EV6YA66/DHuxiKzYp+DFpkZh2CVR7lri8T6zfNbJ0ctWv4Ri9QU +q6ZactSyB7OrKVZNteQ8fVk70+3Z9PB2/g/PbyluePwiv6k4Ans80d1bxMYl +T1rEx8YqT17Eh/twz1Pd5UU0P+3/DL0WWcMFT1skRq4csWrQkHTjwrtYJJeO +f+YiNWhuOvvZ7uYiNu39nEV8bFr9uYv49DKD3npiCCzx/GY/bxGbzn/BIj42 +7f/CRXx666mXGdRWQ64eYrHEixbJwRBY4iXu3iI6mm7vOduLcA3d/TJ3cRGb +7n75Ij42nb20iI9Ne3cW8amFBfqL1GTT/YNFfGwsMFzER6N7p97lbBFuwBoT +d2uRWBwxWiTHXuzB7PZkTY5YMfZmD2a3R1yjh9rTRWrzsfVwlpwhZ8eZ8m2x +wbJFvjEuwSqvcHcWsbHRKxfxsb/vji3i+8E8nPAad3cRJqDrX9/s1y2yhhte +u0iMXDli1dBbT73MIBcbvGGRGpiBjn+Tu7eITdu/eREfGye8ZRGfXmbQW08a +npZ/a7P/bxEbN7xtER8bN7x9EZ/eeuplBmt0/zsWiWFjgXcu4mPjiHct4qOT +6foPOquLMAVOeI+7uMganf+hRWKs0d3vXSSGDqez3++uLmKrqRafWDHW5DiL +zrSz7EyqjRs+vEgPGp+O/5SztAhD4IaPu2uLaDra7qPu0iK5fGw1rMkRK8Ze +7cle7Blz6KH2JxapzcfWQ28s8elFZmDjhM8s4mNjh88u4sMAdP9X3J1FOAPL +fN5dXMTGil9YxIcp6Poj3O1FbFr/S4v41MIBX12kJhsbfG0RHxs3fH0RH11O +93/H2VxE5+OEb7m7i8TS/d9YJEcvM5tVT2tyxIrBDHTp99ylRTS/Hmp/e5Ha +fGw99JYj1gxyadvvL1KDbqaT/+DsLaKRaeWfObuLMAfO+KG7ucga3f/zRWKs +4YwfLRKDMXDFT9zdRWw11eITK8aaHL1p9T8uMoPaOOEXi/Sg6c1ott8twhU4 +5Dfu3iJcgTN+1exfLpLLx1bDmhyxYuzdnuzFO8Aceqj920Vq87H1oDVpVlqV +5jQrTvjTIjPTfLTfGc7GIoxAB/zVXVuEK7DGn921RWwx1vjE0g5/WySHLsMJ +x7o7i5xlZ9ZZdaat4Ya/LxKDGej449zFRTQ+HX+iu7AIQ+CGf7q7i8TS/scv +kqM3HXj0IjMsVzknVIxeeqit54rVQ+1/Ve0Vy9ZDLXsw+9E1C7b4T83Exg0n +lY/Gp+vPcnfqXa5b9hm1Ruf/r2JwCK44tT2nLMIZdPnp7Tmt7LXrW5xesWvW +mhzfzjfxLf5UtX3Xs6sHRqD7L2jP+TUr1jm5ZsYYuOK89pxbsTjgwspRy1k5 +p2puXDXPr5zVag+nVM0Nq+a5leO3x2+M35a3VG2scVH1wBBY4pL2XLwIA9D9 +V7fnqkW4A9tc1p5Ly8Zel5ePjTuuKB8bd1xZPrWwxDVVk40jri0fm66/rnx0 +PN1/S3tuXkSn44gb23NDxdLt11fOdjXzVdVzl8q5oWIwAla4zbdahBH2qNo3 +Ve3dy9aDxqV1V2sad9VlmWWvyjXTwyvG2irLopFp5fvac+8inIE97mzPHWXj +irvKx8YVd5ePTbffUz61cMD9VZONDR4oHxs3LLcsvofVTGZZeVmYBJus2OwV +liUWayy/LDneBQ66vd6JNTlixexTe7ijYg6rHmqvtCy1+dh6eFe4YfVleWds +LLHGsvjoQrpvx2bvsCwcghPWbvZayxKLS9Zclhy2GGt8YunAdZYlB0PghvWb +vd6yaExac1+zL8saLthgWWJwAm7YqNkbLosup/u3aPbmy6LzccKmzd5kWWLp +/o2XJUdvWnTdZZnBmhyxYvTSQ209af7/x9Jdht9XVW3fp7u7u7tBRcXEwL5U +7G539zawuwNbsbu7O1FARKS7U7rlnp/7HC/WcYxrzFFrrjn37/z+8X4ePdTe +opPafGw91PIOZlfTLLhj605mYuOEbTrx0c10/q7N3qWTveRj21NrdP9uncRg +EhyyfbO364Qx6PIdm71DJ7Zv4lvwiRVjTY6zeEid5buqNo7YvZMemAEn7ONu +dDIrttm2k5npPJyxV7P37CQWJ+zbSY5adOAendQUq6ZactTyDmZXU6yaasnx +N9ff3tc0+9Wd1HYGfHs9/J0WY+1Vneh2XHB4sw/rhDOwx0HNPrATG+sc3ImP +jTMO6cTHpvsP7cSnFq44opOabJxwn058bOxw3058NDqtflSzH9iJ5qf979/s +IzuJxR336yRHLzObVU9rcsSKwRQ44sHuWicMoofaD+ikNh9bDzqZLn6yb9XJ +LGrINRMNLcbakzphBLr5Ue5SJ383cMjD3N1ObBzy8E58bNxxdCc+Nu54RCc+ +tWjxR3dSk40jjunEx8YJj+nEhwnMZJYndsIU2OLxnfy7g1hs4d8h5NgLf9ce +0smeWJMjVow172B2MZhGD7Wf0EltPrYe9gpLPKWTPWPjhKd24qNx6byBb98J +V+CGp7tbncRii2M7yWGLscYnFlc8o5McGowWe7a73AkDO6PO5gGdrOGI53QS +gyFww/PdtU6YgM5/abNf0onOxxEvcvc6iaX7X9BJjt445pmdzGBNjlgxemGX +53bSE4PoofaLO6nNx9ZDLe9gdjXNgite1slMbKzx8k58dDJdP3L2O9lLPrY9 +tUbHjzuJwR1YpOMudsIc2KPnbndi+ya+BZ9YMdbk+NtgT+2lvxFqY4VJJz0w +AV2/dLc6mRXnvKKTmXEGLpm7y53E+o3x2yJHLRwy7aSmWDXVkqOWdzC7mmLV +VEuO3zJc8NpOftPYWOG4Tnx+U/0OXtqeSzrhFlzzBne1k1is8bpOcthirPGJ +xRVv7CSHTsUJb3GXOtGUdOLnvXsna1jirZ3EYAg6/u3uSie6nc5/r7vRiS7H +De9q9js7iaXT39FJjt508Zs6mcGaHLFi9NJDbT0xgR5qv7uT2nxsPWhVmpQW +vbhqeyfvogfGwBrvd/c60cV0+sfdnU40Mh/7o51wBvb4kLvRiY0rPtyJj40r +ju/Epxbt/4lOarJxwSc78dH89tRefrYTxuBjn9DJbLjmA53MiEmwyWfctU72 +1juY3R6rjT0+1UkPsWqqJUct72B2NcWqqZYc3xYLfKGTb4wZsMOX3JVONB6t +92vfvhMmwAZfbfZXOonFIV/uJIctxhqfWNzwtU5yfBdc8E13rZPeeuplBms4 +4VudxGAEuv077lonmp5u/5G70Qkz4ITvu3udxNL63+0k56I6B1/vZAZrcsSK +0UsPtfXEGHqo/YNOavOx9bi4zpbZ1TQLlvhxJzOxccJPOvHR9HT8b92VTvaS +j21PrdH1v+skBnfgiJ+7m51wBR3+y2b/ohPbN/Et+MSKsSbHWcSNH+nkTKpN +p/2+kx6YgM7/i7PUyazY5qedzIwpcMSf3LVOYun+v1aOWrTfHzqpKVZNteSo +5R3MrqZYNdWSYzZ3zN36SNXGFn+rHmzccGL5aHxa/zR3qRMGWLvsUzvhDmxz +krtYNvY6uXxs3HFK+dTCCf+ummy6/fTy0el0/TnuRieafeOyz+pEY9KaN9i7 +TnQ6jjizPWdUL1zzz+qpNh3/n+qxYdU8q3IwAlY4rz3nVuwGVes/VWutetd/ +1mybVuw5lYsbzq8adDGdfnl7Luvkb8N2ZV9aa7T8FRWDO7DIhe25oBPGwBUX +t+eisv2NuaR8W1bMRZVjL+jyG2tP1MYNV1YPzIELrmvPtZ1o+j1q767vhFGw +yjXtubpid6+166oWFrmqau5SNa+tnM3rHS6oPdipal5dOf4tY6v6DfJbZFYs +cVPNTBPSfWt32z53w63OlLP0906YATvc2p5bKhen3Fw19qmYW8onlo64rXIw +A064qz13dsIIdPs97bm7E01Pt6/ceq/UDRPwsVfs5i6sUrO4E5gCR6zQ1u7t +RAceVLXvqNrY4H/VQ6yaask5uGa4u2Y6rGreWzlmp4Vur3c4oHrcUT6zYo1V +upmZpqfj12/2et1ofj72ut2s0fobdBNDZ4uxtk43jIE11mz2Gt3YvolvwYdb +xFhbvZtvpYZc34zGp+P9t8ZNu+EaOWJX62ZWnLNqNzOzxVjjwxRqyN2kGwbB +HRs3e6NuGIePvWE3a3LEivFuYqx5R/9vXPxv0Px3I/+dCGfghi26+W+iOAJb +bNXsLbuxsczW3fjYWGubbnwYA1ds1+xtu2EErLBjs3foZg1HbN9NjFw5YtWg +IWnJB9qbbnJxxU7d1KDx6fJdmr1zNzatvms3Pjau2K0bn15m0FtPDIAF9mj2 +7t0wB47Yq9l7dmPjhr278emtp15mUFsNuXqIxRr7dJODIbDEfs3etxudTLcf +2uxDuuEOHHFAs/fvxsYRB3bjY9PpB3XjY9PZB3fjUwsLHNZNTTZOOLwbHxs7 +HNGNj4a3p/by/t1wCQ65X7Pv200sTrlPNznexTuY3TtZkyNWjHfzDmb3jphD +D7WP7KY2H1sPv2l+277f7O91821xxFHdfGNcgTse7C51Y2ORh3TjY/u3j4d2 +48MUOOLh7m43TIANHulsd7OGG47uJkauHLFq6K2nXmaQiyMe1U0Nmp7uPsbd +6camxR/TjY+NIx7bjU8vM+itJ81P+z++2Y/rxsYNT+jGx8YJT+zGp7eeepnB +GpZ4UjcxbJzwf9342Njhyd346GS6/pnuRjecQWc/1V3rZo3Of1Y3MdZo8WO7 +iaHZ6eynu2vd2GqqxSdWjDU5zqIz7Sw7k2rTbc/upgdNT6e/yFnqhktwxfPd +tW40FC31XHexm1w+thrW5IgV4129k3fxzphED7Vf0E1tPrYevo1vYO99I7Ng +hxd3MxPGwBovdRe7YQC6v+cudcM1WOfl7mI3Ns54RTc+Nt3/ym58bCzQ6can +Fpbod1OTjSMG3fjYdP2wGx8dT/fP3Y1udDqOmLhb3cTS7aNucvQys1n1tCZH +rBiMgBWW7lY3jKCH2tNuavOx9aBxad13O1vdzKKGXDPRzGKsvbMbjUwrv8Hd +6YYzsMdr3LVubFzx2m58bFxxXDc+Nt3+um58auGAN3ZTk40N3tSNj40b3tyN +DxOYySzv6IZJsMnb3L1uYrHGW7rJsRc46FXd7Ik1OWLFWPMOZheDafRQ++3d +1OZj62GvcMN7utkzNpZ4bzc+mo62+4qz0A0j4IIPuGvdxOKS93WTwxZjjU8s +3fTBbnLoOlxwvLvWzdl1Rp1NZ9gaTvhINzE0PN39MXepGw1Pl3+m2Z/uhkNw +xCfdpW5iafWPd5OjN+32oW5msCZHrBi99FBbTwyih9qf6qY2H1sPtbyD2dU0 +C1Y4oZuZ2Ljis934aHRa/WvuSjd7yce2p9bo+q93E4NzcMUX3M1uOANXfMld +6cb2TXwLPrFirMnx2+A3wW+B3wi1scM3uumBCej477ob3cyKhT7Xzcw4BZd8 +213rJtbfPH/r5KiFY77ZTU2xaqolRy3vYHY1xaqplhxajAajvWgyf0txxA+6 ++ZuKK3DHj9y9bmws8uNufGzs8pNufJgHR/zMXe6GAbDAL921btZwws+7iZEr +R6wadCNteZa96CYXN/yqmxo0ON39G3ezG5sW/203Pjbt/7tufHqZQW89aXxa +/w/N/n03Ni74Yzc+Nt3/p258euuplxnUVkOuHmKxwp+7ycEU2OKv7l43uptW +P8XZ7oZjcMKJ7mLZdPjfy8emw/9RPjZtflL51MIB/6yabGxwavnYOOBf5dug +9tRentENZ2CR09vz74rFDqdVjndZoWb/c62tW7Gn1butVLN7x/Wrh9r/qdrr +l62Hs+QMOTs/qG+LE86ub4wbsMa57TmnbOxyXvnYOOH88jmjzuqF7bmgGyag +6y9pz8W1hhsuqpjNK+eCqrFx9TynZpCLDS6tGpiBjr+8PZeVTdtfUT42Triy +fFvVDBdXTxqelr+6PVeVjRuuKR8bN1xbvm2r52U1gzW6/7qKYWOB68vHxhb/ +LR+dTNff3p7bumEKnHBTe26sNTr/joqxRnffXDF0OJ19a3tuKXv/qnVrxe5b +a3KcRWfaWT6pauOGO6sHjU/Hr9hr56gXhsAN/2vPPd1oOtru7vbcVbkHl31n +rR1asWL2rHfyLjd0wxx6qH1v1ea7t3rojSVW6mUGNk5YuRcfGzus0osPA9D9 +azd7rV44A8us1uxVe7FxxOq9+Nh0/Rq9+Ni0/pq9+NTCAev0UpONDdbtxcfG +Dev14qPL6f5Nmr1xLzofJ2zY7A16iaX71+8lRy8zm1VPa3LEisEMdOlmzd60 +F82vh9ob9VKbj62H3nLEmkEubbt5LzXoZjp5j2bv3otGppW3a/a2vTAHztiy +2Vv0skb3b99LjDWcsVUvMRgDV2zT7K17sdVUi0+sGGty9KbV9+xlBrVxwg69 +9KDpzWi23XrhChyyS7N37oUrcMZOzd6xl1w+thrW5IgV4929k3exB5hDD7V3 +7aU2H1sPWpNmpVVfV7PihL16mZnmo/0e6mz0wgh0wH7N3rcXrsAa+zR7715s +Mdb4xNIO+/eSQ5fhhIOafWAvZ9mZdVadaWu44eBeYjADHX9osw/pRePT8fdz +N3phCNxwRLMP7yWW9j+slxy96cADepnBmhyxYvTSQ209MYceat+nl9p8bD3U +8g5mV9Ms2OLIXmZi44b79+Kj8en6h7s7vewlH9ueWqPzj+4lBofgiqPc1V44 +gy5/sLvTi+2b+BZ8YsVYk+Pb+Sa+hW94XH3XR/TSAyPQ/Y9xd3qZFes8oJeZ +MQaueLS710ssDnhsLzlqOSuP7KWmWDXVkqOWdzC7mmLVVEuOWtjicb3UZOOG +x/fiY2OJJ/TiwwB0/7HuTi/cgW2e5K71YmOv/+vFx8YdT+7Fx8YdT+nFpxaW +eFovNdk44um9+Nh0/TN68dHxdP/z3OVedDqOeLa71Uss3f7MXnL0MrNZ9bQm +R6wYjIAVXuBb9cIIeqj9nF5q87H1oHFp3alv1cssasg1E80sxtq4F41MK7/C +XeqFM7DHi93dXmxc8ZJefGxc8dJefGy6/WW9+NTCAa/spSYbG3R68bFxQ7cX +HyYwk1lGvTAJNhm4S73EYo1eLzn2Age9sJc9sSZHrBhr3sHsYjCNHmoPe6nN +x9bDXuGGWS97xsYS8158dCHd935noRcOwQmvcrd6icUli15y2GKs8YmlA1/d +Sw6GwA3Hucu9aExa81Nm72UNF7yulxicgBve4G70osvp/re5C73ofJzwZne1 +l1i6/4295OhNi76mlxmsyRErRi891NaT5tdD7bf0UpuPrYda3sHsapoFd7y9 +l5nYOOEdvfjoZjr/g+5KL3vJx7an1uj+D/USg0lwyLvdzV4Ygy5/r7vSi+2b ++BZ8YsVYk+MsOtPOsjOpNo74cC89MANO+Li70cus2OadvcxM5+GMj7prvcTi +hE/0kqMWHXh8LzXFqqmWHLW8g9nVFKumWnLU8s19azV9e9zw6V7OgL/T/i5f +1Z4re9HtuOCL7lIvnIE9TnAXe7Gxzmd78bFxxud68bHp/s/34lMLV3ypl5ps +nPDlXnxs7PCVXnw0Oq3+rWZ/sxfNT/t/3d3qJRZ3fLWXHL3MbFY9rckRKwZT +4IjvuGu9MIgean+jl9p8bD30liPWDHKxyHd7qUFD09K/9y17YQSs8BN3qRcm +wSHfd3d70dS09c/crV7WcMkPeonBILjjR856L7aaavGJFWNNjt50+x96mUFt +nPHzXnpgBDOa7be9MAbW+LW71gtjYI1fusu95PKx1bAmR6wY7+6dvIs9wDh6 +qP2bXmrzsX9dZ4nWu7rOlFmxxh97mZnmpfvOdxZ64Qwc8df2/KUXxsAaf3YX +e7FXqLU/VyzO+Fvl0GS02T/cvV7OtjPrrH661nDFSRWDKXDEKe5eL4xA95/u +bvSi+3HFv9pzasXigH9Wjt645sSaYc3KObViVqseJ1fPdaqH2qdV7XXK/lfV +WqVmP7FmwRn/qZnY2OOM8tHNdP6F7bmg9nKLss+vNbr+oorBIdjk7Pac1QuD +YJFz23NO2ZvVtzi3YjepNTm+nW/iW/yxamOHi6sHRqDzr2jP5TUr7jmzZsYd +OOWy9lxasX5zrqwctXDJJVVzu6p5eeVsWO9wVtXcpmpeWjn+t53+N6L+m6j/ +Nurs4YZrejmDfmP9Lj6p3/RYPxyDc/7bnut74QzscV17ri1791q7rmJxxg2V +Q7fihpvbc1MvGpNu3LDV3qCfNWxxS8VgCrr+tvbc2ouOp/vvac/dveh0HHFn +e+6oWLr99srRm06+sWY4oHLuqJh9q8et1fPg6qH2XVX74LL1oF1pVNr0CVV7 +73oXPTAH9ri3Pf/rRSfT7Wu0d1u9H83Mx16tH+7AIis1e8V+bJyxcj8+Ns5Y +pR+fWlhgzX5qsnHCWv34jq49tZfr98McfOz1+pkN56zQz4wYBaus2/7vdfrZ +28Nq9nuqNhZZu58eYtVUS45a3sHsaj6kaqolx7fFBhv1840xBJbYpNkb96P5 +aL99fft+mAEnbN7szfqJxSWb9pPDFmONTyyO2KKfHN8FJ2zd7K366a2nXmaw +hhu26ScGM9Dx2zV72340Ph2/S7N37ochcMOOzd6hn1jaf/t+ch5f52DLfmaw +JkesGL30UFtPzKGH2jv1U5uPrYda3sHsapoFW+zaz0xs3LBbPz4an67fv9n7 +9bOXfGx7ao3OP6CfGByCK/Zs9h79cAZdvnez9+rH9k18Cz6xYqzJcRZx5Kr9 +nEm16bYD++mBEej+w5ylfmbFOrv3MzPGwBWHNPvgfmJxwOH95KhFCx7UT02x +aqolRy3vYHY1xaqplhyzuWPulhnVxhpH9NODjSPu04+P5qf9H+wu9cMEfOyj ++uEQrHOku9iPjcXu34+PjUMe0I9PLdzwkH5qsun4h/bjo9vp/Ee5G/1oeD72 +I/rRnLTnc+xdP7odVxztLvfTC+c8sJ+eatP1D+unh1g11ZKDGbDDMe5eP7Fq +qiVHLe/sXdU0mxyxZpSLIx7TTw06mW5/srPdz98GPra/EdZo+6f0E4NDsMnj +3O1+mANnPMHd6cf2N8bfFj6xYqzJsRd0+nP72RO1ccRT++mBQXDCM93dfjS+ +PbR3z+qHWbDL0921fmLFWJOjFjY5tp+aYtVUS4539w5mtwdi1VRLjr+V/gb6 +23dNzYotntfPzDQv3bdwtvrhWGfKWbpvP0yBLV7o7vWTi1ue308NthhrfGJx +x4v6ycEQuOFl7mI/zEDHv8Ld6Efj0/EDZ78fRuBj9/q5C2YyizuBMXBF113u +RwfqofZL+qmNFV7ZTw+xaqolxyxm0NtMYtVUS47Z6coX9/MObD3U5jMr9hj2 +MzPNTru/xlnvR/PzsV/Vzxqd/9p+YuhsMdaW/TAIFpm5O/3YvolvwYdjxFib +9POt1JDrm9H1dPyb3YV+OEeO2HE/s+KeUT8zs8VY48MEash9Yz8MgzPe4Leg +H07BPq9zd/tZkyNWjHcTY807mgVbvKWfmdi44a39+NhY4m39+OhOuv+97k4/ +3IFt3uHu9WPjoHf242PjjHf142PTre/ux6cWlnhfPzXZOOL9/fjYdP0H+vHR +8XT/R93lfnQ6jviwu9hPLN3+wX5y9DKzWfW0JkesGIyAFT7urvTDCHqofXw/ +tfnYetC4tO43fbt+ZlFDrploZjHWvt6PRqaVP+cs98MZ2ONT7l4/Nq74dD8+ +Nq74TD8+Nt1+Qj8+tXDA5/upycYGX+jHx8YNX+zHhwnMZJav9cMk2OQr7m4/ +sVjjS/3k2Asc9Il+9sSaHLFirHkHs4vBNHqo/dV+avOx9bBXuOFb/ewZG0t8 +ux8fzUjn/cVZ6IdDcOr33J1+YnHJd/rJYYuxxicWV3y/nxy/mzjhR+5yP5qR +djzN7P2sYYkf9xODIej4nzb7J/3odjr/1+5CPzocN/zC3esnli7/WT85evud +/kE/M1iTI1aMXnqorScm0EPtX/ZTm4+th1rewexqmgVX/KafmdhY47f9+Ohk +uv5v7ko/e7li2X+pNTr/xIrBHVjkD+5mP5qcNv+Tu9KP7Zv4FnxixViT4yw6 +087yCVWbbvt79cAQuOGf7kY/s+Kc3/UzMx2FVU521yoWB5xaOWrRWf+omqtX +zVMqRy3vYPbfVexqVUuOv6H+lu44aJp5kNpr17fX46kVY237QXQ8DjinPWf3 +wyHY5j/tOb1s7HNG+di448zysXHAWeVTC0ecWzXZ2OK88rFxxfnlo+Fp+Uvb +c0k/Gp1Wv6g9F1YsDrigcvTauGY9q9a2rFgxGAInXN6ey/phkm2q9sVVe+uy +9aCJ6dw7fauaZbvKNdMBFWPt9n40N518fXuu64cxsMZV7bmybCxydfnYOOOa +8rFxxrXlU4v2/m/VZOOCG8rHxgo3lm//mskst/XDFbjklvbcXLE446bKsRfY +6Yrak70r5+aK2aHe4cqK2a96qH1r1d6v7Ftqr7DBXbVnbBxxd/loWrpu03a2 +NhmEQXDCve35X8Vij3sqh31Yrd1TsThihUFy6CjabuX2f680CCM7o87mv2sN +N6wySAxmoONXa/aqgzACHb9Os9ceRJdjkTWbvcYgsXT66oPk6E23rTjIDNbk +iBWjlx5q64lJ9FB7rUFq87H1uE+9g9nVNAtOWHeQmdjYYb1BfHQxnb55szcb +ZC/52PbUGi2/xSAxuATrbNjsDQZhDKyxcbM3GsT2TXwLPrFirMnxt2Hd2svT +qjZu2HKQHpgDF2zX7G0HmRUHrT/IzBgFq2zT7K0HifUb47dFjlpYZKtBaopV +Uy05ankHs6spVk215Pgtww07DfKbxsYSOw/i85vqd/AdzX77IByCVXZr9q6D +xOKSXQbJYYuxxicWB+0+SA5GwAV7NXvPQTQirXi0dx9kDTvsPUgMZqC79232 +PoPodDr+4GYfNIgOp/UPaPb+g8TS5fsNkqM3rtljkBmsyRErRi891NYTA+ih +9oGD1OZj6+Feu6e/bPYvBqntnbyLHpgBOxza7EMG0d20+gPcnUF0Nh/7yEE4 +BNsc0ezDB7FxxH0G8bHp9PsO4lMLBzxwkJpsbHDUID5MYU/t5cMG4Q4+9kMH +mQ33HDbIjLgBezzEXRtkb72D2e2x2rjiQYP0EKumWnLU8g5mV1OsmmrJ8W2x +wiMG+cYYASs82l0aROPRei/0LQZhBFzwWHdzkFgscswgOWwx1vjE4obHDZKD +EXDBE92NQZhFT70eOcgaTnjSIDEYgW5/srsziKan25/hLgzCDDjh2GY/dZBY +Wv8pg+TojV0eP8gM1uSIFaOXHmrriTH0UPtpg9TmY+uhlncwu5pmwRLPHGQm +Nk541iA+mp6Of7GzP8he8rHtqTW6/iWDxOAOHPFcd2MQrqDDn+9uDmL7Jr4F +n1gx1uQ4izjxfoOcSbXptJcO0gMT0Pkdd2GQWbHNsweZGVPgiFe4y4PE0v3d +QXLUov1eNkhNsWqqJUct72B2NcWqqZYcs7lj7pYZ1cYWvUF6sHFDfxAfjU/r +L5z1QRiAjz0bhDuwzci7D2Jjr/EgPjbumAziUwsnLAepyabbXzWIj06n61/f +7NcNotn52McNojFpzePt3SA6HUe81t0epBeumQ7SU206/tWD9BCrplpyMAJW +eKO7N0ismmrJUcs7e1c1zSZHrBnl4oY3DVKDLqbT3+VsD/K3gY/tb4Q1Wv7d +g8TgDizyFnd7EMbAFW9zdwax/Y3xt4VPrBhrcuwFXf6RQfZEbdzwnkF6YA5c +8EF3dxBNbw/t3YcGYRSs8n53bZBYMdbkqIVF3jtITbFqqiXHu3sHs9sDsWqq +JYfWpFlp1WtrVizx0UFmpgnpvu84W4NwqzPlLA0GYQbs8Al3b5BcnPKxQWqw +xVjjE0tHfHKQHMyAE05wFwdhBLr9c+7GIJqebv+Ksz8IE/CxvzTIXTCTWdwJ +TIEjvuguD6ID9VD704PUxgafH6SHWDXVkmMWM+htJrFqqiXH7LTQpwZ5B7Ye +avOZFWt8dZCZaXo6/gfO+iCan4/9vUHW7PsPB4mhs8VY++4gjIE1vuXuDGL7 +Jr4FH24RY+0bg3wrNeT6ZjQ+Hf9zd2kQrpEj9uuDzIpzvjbIzGwx1vgwhRpy +fzoIg+COn7j7g5wbPvaPBlmTI1bMtXW2rHlHs9AgtIeZaBHs8KtBNMlew6Zj +2nNTe24chgHo/j+6m4NwB7b5jbs4iI29fjuIj407fjeIj407fj+ITy0s8adB +arJxxJ8H8bHp+r8M4qPj6f6T2vOPQXQ6jjjR3R0klm7/a+XoZWaz/r7WVqpY +MRgBK5zSnpMHYYRVq/bfq/YqZetB49K6F/s2NcvqlWumLSvG2oWDaGRa+Qx3 +axDOwB7/as+pZeOK08rHxhX/Lh+bbj+9fGrhgDOrJhsbnFU+Nm44u3xb1Exm +uWAQJsEm57Xn3IrFGudUjr3AQf+sPdmkcs6tmDXrHU6tmM2rh9rnV+3Nyz6v +9go3XFJ7xsYSl5aPZqTz/ucsDMIh/q3jivZcXrG45LLK2a5iLi+fWJxxZeVg +BpxwTXuuHkQz0o5rtbO75jBrWOLaisEQdPz17bluEN1O59/SnpsH0eG44cb2 +3FCxdPl/K0dvXHNVzbBH5dxQMbtWj+uq597VQ+2bqvbeZd9YtXau2a+qWXDF +rTUTG2vcVj46ma5fob3bvbWXfPfWnlqj81ccJgZ3YJE723PHIJqcNr+7PXeV +fWh9i7sr9uBak+MsOtPO8ulVm25baZgeGAI3rN7s1YaZFefcXjPTUVhl1ba2 +yjCxOGCNYXLUorNWHqamWDXVkqPWgTX77RWrplpyjqpv7lur6dvjirWHOQP+ +Jvs7+9RmP2UYHY8DNm72RsNwCLZZt9nrDGNjn/WG8bFxx/rD+NhYZINhfGrh +iE2GqcnGFpsO42Pjis2G8dHwtPw2zd56GI1Oq2/Z7C2GicUBmw+TgznMbNYN +h1mTI1YMhsAJ2zV722GYRA+1txqmNh9bD73liDWDXKyx/TA1aHC6+QDfchjN +TSfv1uxdh2EMrLFjs3cYZo2W3n2YGGvYZKdhYjAHztil2TsPY6upFp9YMdbk +6E2HHzjMDGrjhj2G6YFBzGi2/YbhDJyyT/3dwhm4w9+xPYfJ5WOrYU2OWDHe +3Tt5F3uAe/RQe99havOx9XCWaLdjhzlTZsUOBw0zM41L5x3jbAzDJLjhsGYf +OgyDYJFDmn3wMLYYa3xiccXhw+TQVbTefZt9n2HOtjPrrDrj1nDE/YaJwRB0 +/f2bfeQwzEDXP8TdGEanY5Oj3MVhYun2BwyTozcdd8QwM1iTI1aMXnqorSdG +0UPtBw1Tm4+th1rewexqmgU3PHSYmdhY4mHD+Ohkuv2x7s4we8nHtqfWaPvH +DRODU7DPI9zNYZgDezzK3RnG9k18Cz6xYqzJ8e18E9/CN1QbRzx+mB4YBCc8 +2d0ZZlZc9PBhZsYs2OVJ7t4wsX5z/NbIUQubPGGYmmLVVEuOWt7B7GqKVVOt +J9SZdu5uHkaTOXu44mnDnEG/sX4Xf9PsXw/DJdjlWe7aMByCU57hrg1ji7HG +JxYXPXuYHMyAE57n7gyjGWnH13r3YdawxPOHicEQdPgL3cVhdDtd/3J3Yxhd +Tvu/xN0dJpZOf9EwOXrjnOcMM4M1OWLF6KWH2npiAj3UfukwtfnYetCyNCpt ++quq7Z28ix4YAku80l0cRofT7hN3ZxjdzcceDcMlWKfnbg5j44r+MD423T4Y +xqcWLpgOU5ONFWbD+DCGPbWXrx6GQ/jYrxpmNhzUGWZGHIFFlu7aMHvrHcxu +j9XGGfNheohVUy05ankHs6spVk215Pi22OG4Yb4xZsAOr3dXhtF8tN/Hffth +mAE7vKnZbxwmFpu8YZgcthhrfGJxxZuHyfFdcMLb3LVheuuplxms4Ya3DxOD +Gej4d7prw2h8Ov797sIwDIEb3uPuDRNL+79rmJxf1jl4yzAzWJMjVoxeeqit +J+bQQ+33DlObj62HWt7B7GqaBVt8YJiZ2Ljhg8P4aHy6/pPuyjB7yce2p9bo +/E8NE4NDcMXx7uYwnEGXf7TZHxnG9k18Cz6xYqzJcRZx43CYM6k23fbpYXpg +BLr/887SMLNinQ8NMzPGwBWfddeGicUBXxgmRy1a8DPD1BSrplpy1PIOZldT +rJpqyTGbO+ZumVFtrPHFYXqwccSXhvHR/LT/t92lYZiAj/3NYTgE63zVXRzG +xmJfG8bHxiFfH8anFm74zjA12XT8d4fx0e10/o/djWE0PB/7h8NoTtrz7/Zu +GN2OK37gLg/TC+d8Y5ieatP13xumh1g11ZKDGbDDT929YWLVVEuOWt7Zu6pp +NjlizSgXR/xsmBp0Mt3+O2d7mL8NfGx/I6zR9r8fJgaHYJNfuNvDMAfO+JW7 +M4ztb4y/LXxixVj7Re0Fnf6P2hO1ccQfhumBQXDCX93dYTT+yrV3fxuGWbDL +n921il2p1uSohU3+OExNsStULTne3TuY3R6IVVMtOf5W+hvob9/TalZscVLN +TPPSfZc7a8NwrDPlLH15GKbAFv909yoXt5xcNVavmFPKJxZ3nFo5GAI3nN6e +fw/DDNjhDHdjGI1Px5/fnvOGYYTNyj53mLtgJrO4ExgDV5zTnrOH0YHrVu3T +hmEErHBWe86s2E2r1jk1y/rV+/SK3bhqnVWz05X/qndYu3qcVj6zYo8Lamaa +nXa/uj1XDaP5dyr7ylqj86+pmB0qxtoVwzAIFrm0PZeUvV19C76tK8baxfWt +dqhc34yup+P9W9UNw3DO1hV7Uc2Key6smbesmIvKt0fVkPvfYRgGZ1zfnuuG +4ZTdyr621vao2Ovr3XatNe944cvz/401/99W8/8vHtoNO9wyjIb726id/fZ8 +cNzO5TiMgxvuaM/tw3AHtrmtPbeWfUCt3VaxdOqdlYMZsMM97bl7GM1IO27V +emw5yhqO+F/F0PR0+Apt7d5hND9dv1r7v1cdhSHo+pWbvdIosbT7iqPk6E0r +31UzWJMjVsxh1ePe6nlk9VB7lVFq87H1cEfd1fc0+92j1D6k3kUP3IEj1mhr +q4+i2Wn3DZu9wSi6mY+9/igcgivWbvZao9i4Yp1RfGy6fd1RfGrhgo1GqcnG +ChuP4nt87am93GIUJuBjbz7KbFhnzVFmxCm4ZLNmbzrK3noHs9tjtXHMJqP0 +EKumWnLU8g5mV1OsmmrJ8W1xw9ajfGMcgTO2bfY2o2g8Wu8w334URsUJOzR7 ++1Fiscl2o+SwxVjjE+t3csdRcjACVtil2TuP0ltPvcxgDTfsOkoMDU9n797s +3UbR+HT8vs3eZxRmoOP3avaeo8TS5nuMkqO33+qdRpnBmhyxYvTSQ209cYEe +au89Sm0+th5qeQezq2kWbLHfKDOxccP+o/hoejr+iGYfPspe8rHtqTW66j6j +xOAQOvygZh84ii6n2w9xlkexfRPfgk+sGGtynEXct94oZ1Jtuui+o/TADHT9 +A52lUWbFOgeMMjNOwRn3b/aRo8TS9UeNkqMWrXW/UWqKVVMtOWp5B7OrKVZN +teT439b639D6b8r+27JZ3Tl3zcw4Amc82NkdRfPT/o92l0ZhAj72I0fhHOzz +MHdxFBt3PHwUHxsHHD2KTy3ccMwoNdl0/GNG8dHtdP6T3I1RNDwf+wmjaE7a +86X2bhTdjise7y6P0gt7PGKUnmrT9Y8dpYdYNdWSgxmww5PdvVFi1VRLjl50 +8MtG6am2PfDuesjFEU8ZpQadTLc/29keRTPzsZ85CndgkWPd5VFsnPG0UXxs +nPH0UXxqYYHnjFKTjROeO4oPA9DtL3YXRmEOPvYLR1mzZ/ZKDEbBKi9wt0bZ +a3vg3e252ljkeaP0EKumWnK8q3cwu3cWq6ZacuwVdnj5KHtGw9Fyb3SWRtG0 +fOzXj8K1zpCz85BRmAEndNy1UWrhlFeMUpMtxhqfWDqqO0oORsAFQ3dvFM1O +Z4/dhVE0Ox2+dNZHYQQ+9nyUs28ms7gDuARXzNzdUXSkHmr3R6lNy09G6SFW +TbXkmMUMeptJrJpqyTE7Ldgb5R3YeqjNZ1bs8KpRZqbT6fa3ONujaHY+9ptG +WaPt3zpKjL0XY803wBhY43Xuyii2b+Jb8OEiMdZeO8pvj98EvwV+g2h2f+P9 +bX/XKNwjR+xrRpkVB716lJnZYqzxYQg15L5zFI7BLe9w10dhGj7220ZZkyNW +jHcTY8070hq44b2jaA4cgTPe726N8pvqd/D29tw2Cqfgmg81+4OjxGKTD4yS +wxZjjU8srvjwKDmYABt81F0cRSfSkj+yF6Os4YSPjRJDc9PZn3D3RtHwdPnn +3JVRdD7d/ml3eZRY2vyTo+TojUuOH2UGa3LEitFLD7X1xAFY5IRmf2aU2nxs +PeyVPbI39kxt7+Rd9MANuOIL7tooOp1u/6azPooO52N/fRSuwQ1fdpZHseny +r4ziY9PlXx3FpxYW+NYoNdl0/7dH8dHc9tRe/mAUxuBjf3+U2bDPF0eZEXdg +k++526PsrXcwuz1WG1t8Z5QeYtVUS87/Z7JhZldTrJpqyfFtccGPR/nGOAFb +/NTdGUXT0Xan+haj/JsuLviFuzdKLJb52Sg5bDHW+MRiiV+OkoMJsMFvnPVR +euuplxms4YTfjhJDs9PVv3dXRtH0dPtf3YVRGIFu/1Oz/zhKLC3+h1Fy9MYl +vxplBmtyxIrRSw+19cQBK1TtP49Sm4+th1rewey/qlmwxN9qJjZOOLF8NDzd +fpqzX3u5dtmn1hod9e+KwR1090nuxig6nE4/pT0nl71mfYtTKnb1WpPjLGLF +r41yJtWme06vHhiBjj/bWapZsc3fa2ZcgivObM8ZFUvHn1M5atFS/6maG1bN +sypnlXqHf1TN9avmGZVjNnfM3fpa1cYK51YPNq44r3w0Pq1/eXsuG4UBtiv7 +0lG4Butc2J4LysYZF5WPTfdfXD61cMIVVZNNt19ZPjqdrr++PdeNotl3K/va +UTQmrblKY+GVx9HpOOKa9lxdvbDGJdVTbTr+quqxS9W8tnIwAla4oT3/rdid +q9ZVVWvbetdLarY9Kvb6ysUNN1YNuphOv7M9d4zyt+HAsm+vNVr+rorBHVjk +5vbcNApj4Ipb23NL2f7G3Fa+fSrmlsqxF3T5quPsidq44e7qgTlwwYptbYVx +NL09tHcrjcMoWOXe9vyvYsVYk6MWFrmnah5eNdW6t95975r9xoo9rGrJoTX9 +O/EzRtGcZsUSq40zM01L523X7G3H4Vpnylk6fxRNQBus2dbWGCcXp6w+To0H +Vow1PrF01Vrj5GAEXLBes9cdR7PT1Rs0e/1xNDsdvlmzNx2HEfjYm4xzFzav +WdwJXIIrNm5rG42jK/VQe51xatPuG47TQ6yaaskxixn0NtMjq6ZacsxOG649 +zjuw9VCbz6zYYfNxZn5a7etOzd5xHI3Px95hnDV7v/M4MXS1GGvbj6PJafNt +mr31OLZv4lvw4SIx1rYa51upIdc3o+Hp8j2bvcc43CNH7JbjzIqDthhnZrYY +a3wYQw25u4/DMThkt2bvOg7T8LF3GWdNjlgx3k2MNe/orGGiZ9Z7mw077DXO +jGycsfc4Phqf1j/E3RmHAfjYB43DObhmv2bvO46NdfYfx8fGbQeM41MLJxw6 +Tk023X3YOD46nY4/stn3G0ez87HvO47upE2fYK/G0elY4D7NPmKcXrjnwHF6 +qk3HHz5OD7FqqiUHA2CBBzT7/uPEqqmWHL3o3ieO01Nte+Dd9ZCLMx44Tg06 +nHY/2lkeR3fzsR82DpdgnQc1+6hxbFzx4HF8bLr9IeP41MIFjxinJhsrPHIc +H8agwx/n7I/DIXzsx4yzZs/slRgMgkWOcffG2Wt74N3tudrY8FHj9BCrplpy +vKt3MLt3FqumWnLsFVZ40jh7RiPSdR3ffhxdyMd+xTgaj9Zb2JtxuAZHPKXZ +Tx6nFlb5v3FqssVY4xNLRz91nBzMgB2e4W6Pwwx0+7PclXF0Ol3/Amd9HM3O +x37eOL/Jfpv/1Ow/jqPLccRz3cVxGEUPtZ82Tm26/dnj9BCrplpyzGIGvc0k +Vk215JgdCxw7zjuw9VCbz6w444XjzEzT0/F9Z3Uc3czH7o6zRlcNxomx92Ks ++QaYhy5/ubM8ju2b+BZ8OAW7vNTdHecs4saHjnMmMQIdP3P2x2EaOWJfPM6s +2OhF48zMFmOND3OoIXcyjs7CMmN3fxzNxccejrMmR6wY7ybGmnc0mzvmbpnR +bM6Us2RGZwtnLMc5YxiA7n+Dsz6Orudjv24cLsE6r/bu49hY6DXj+Ng45LXj ++NTCEm8cpyYbR7xpHB/NTru/o9lvH4cZ+NhvG0ej0p2fsZfjaHba/a3u9ji9 +cMdx4/RUGye8eZweYtVUSw6mwA3vcnfGiVVTLTlqeWfvqqbZ5Ig1o1zs8e5x +atDItPKH3aVxNDgf239zsUbXHz9ODAbBIu91N8fhFNzxfmd7HBt3+G81fGLF +WJNjL2jxE8bZE7Wxw0fG6YFLcMgn3Y1xmMEe2rtPjcMduOXj7vY4sWKsyVEL +l3x0nJpi1VRLjnf3Dma3B2LVVEuO3wa67s/j/EaYFVd8dpyZ6V4696fO1jhn +z5lylpxBjIIjvtDsz4+Ti00+N04NthhrfGJxxhfHyaH7cMNX3fVxmIGO/7q7 +Mw4j0PHfbfZ3xmEIPva3x9GofuP8tv1hHN2OVb7lbo6j4/RQ+8vj1KbrvzFO +D7FqqiXHLGbQ20xi1VRLjtnpwi+N8w5sPdTmMyuO+N44M9PstPsvnfVxdDMf +++fjrNH5vxonhqYWY+1n43AQ7vixuzOO7Zv4Fny4Roy1H47zrdSQ65thAntk +b34/DgPJEfuDcWbFPt8fZ2a2GGt8mEUNub8bh2mwzW/d/XE4hY/963HW5IgV +493EWPOO/lvnfvVvBP6twNnDFn8Z5wz6jfW7uu+ksc8kGo/Wu8Zs43AKljmx +PX8bJxe3/LVqrFgxfyufWJz098rBDNjhFHdnHGagu09118bR6XT8Ge35zzia +ff2yTx/n361pRNpwn3F0Ohb4d3tOG4dRVq/aJ1VtOv5f1WPdqnl65axRM/yz +Zlq7ap5WOd6dFr629sC74Kh/1DuZFUecWTPT7XT8Je25eBxdvnXZF42jw7cq ++8JxOAX7nNuec8rGGeeVTy1scGnVxBQ717e4ahzu2KnsK8dhnk2q1tk1G9Y5 +q2bcqGLOLt+qtWcn1TvhDKxyRXsuH4c5ti/7slrbsXpdUbNtV2tmxAhY4fr2 +XDeOpqPt1mhnafVJNC0fe7VJ9na3irXHmAEn3NieG6oWVvlv1dyjYm4on1hs +cVPlYARccFt7bh1Hs9PVd7Tn9nE0Ox1+b3v+Nw4jHFb2PeOwijO2T9XFJbji +7vbcNc65269q31K1afc7q8chVfOeytm/Zri9Zjqoat5VOXrodXO9wz7V45by +mRU7rDDJzDQ83bR2+7/XmkTj87HXnGSNbllnkhh7L8aab0CT0+arNnuVSWzf +xLfgw0VirK08yVnEkeePcyZpeLp8o7a24STcI0fsSpPMioNWnGRmthhrfI+o +GnI3mIRjcMj6zV5vEt3Fx153kjU5YsV4NzHWvKPZcO0FNSPbHbuwfGbFEhtP +MjOdT9dv1+xtJ9H8fOxtJmECPvbWk3APztncXkxiY58tJvGpRWdvP0lNupxu +37XZu0yi0fnYO0+i0Wn13Zu92yS6lA490t5PokP52PedpBdO3HKSnnQ8Vtip +2TtOoun52DtMsqanXmLMJsaaGdXCUVtNUpPtnb0rn9nNaDbvQNPT8Qc1+8BJ +dDktf0Cz959kjdY/eJIYulyMtf0m4SCcsXez95rE9jfG3xY+XCPG2p6T7AVt +fv9J9gRz0OX3cbYmYSA5YveYZC/52PZUrD20d3JwjBpyD5+EWbDLYc0+dBJu +5GMfMsmaHLFivJsYa97R30bv6N38jaTpaLunOFuT6F469/+cpUk0Pl3/MGd3 +Eu51ppylTSdhCmxxVLMfOMm7Y5kHTLIHbDHW+Gh2Ovtod2cSzU6HP6bZx0zC +CHzsR0/SW45YM7gLuHuTSe4E20xm4TMLrnnQJDPhGBzyqGY/chKdz8d+xCRr +euolxmxirJmRTrUH3v0hk9SmWx88SQ+2GGt8NDwt/3RnfRIdz8c+dhKNTTc/ +17efROOLsfbUSTgIdzzRWZ/E9k18Cz7fSo5Y38y38g19O98ME+ih9rMnYRA+ +9rMm2Wvs89hJ9pyNkx43iQ83mUHvx0+yxscW491wzjMmeUc29nnmJD62nnrx +4QQc8Xx3ZRIbW7xgEh8bh7xwEh9uwTkvcRcnWcMqL5okhi3GGp9YnPHSSXIw +AlZ4hbs9iW6kLd/mXSZZww2vnCSGBqe7u+7WJJqeTh83ezSJ7qfjB+7yJLG0 +em+SHL1xyssmmcGaHLFi9NJDbT1xgR5qDyepzcce1B12l+9pz92T1PZO3kUP +HIEzpu7aJLqdjj/O3ZpEl/OxXzMJ5+CIhbsxiU2nLyfxsen0V03iUwsbvG6S +mmwc8PpJfDS4PbWXb5mEOfjYb55kNiw0m2RGHIJV3uRuT7K33sHs9lhtrPGG +SXqIVVMtOWp5B7OrKVZNteT4tjjh7ZN8Y9yANd7p7kyiE2nJL/j2k3AeTn1P +s989SSy2edckOWwx1vjE4or3TpKDCbDBB9z1SXrrqZcZrOGED04SQ2PTpR92 +NybR8HT5J9yFSXQ+3f7RZn9kklha9vhJcvTGJe+bZAZrcsSK0UsPtfXEAXqo +/bFJavOx9VDLO5hdTbNghU9OMhMbV3xqEh8NTzd9yV2ZZC/52PbUGt3y5Uli +cA6OOMHdnESz0+mfc5YnsX0T34JPrBhrcpxF7PjqSc6k2nTQVybpgSHo9G86 +S5PMioU+PcnMuASrfN1dmySWtv/WJDlq0VZfnaSmWDXVkqOWdzC7mmLVVEvO +arOm/dtzcntOmmVWd85dMzPOwBXfcXYn0fi0/k/dpUkYgI/940m4Bwt9312c +xMZpP5jEx8YVP5zEpxZO+NkkNdl0988n8dHpdPxv3Y1JNDsf+9eT6E7a9HR7 +N4lOxwK/cpcn6YVlfjRJT7Xp+F9M0kOsmmrJwQBY4Pfu3iSxaqr1i+pF9/6n +eqptD7y7HnJxxh8mqUGH0+5/d7Yn0d0rl/23SbgE6/zJXZ7ExhV/nsTHptv/ +MolPLVzwj6rJxgonlQ9j0OGnuQuTcMjaZZ9aa+vWXonBIFjkn+7WJHttD7z7 +b6s2Njy5eqxZNU+tHO/qHcz+h4pdo2qdXHuFFc6oPaMLacUbnKVJNOIeZV8/ +Ccc6Q87OdydhBLro7PacVbWwyplVc8OKOat8YummcyoHE9DpF7Tn/Ek0Nl19 +UXsunESD0+JXtOfySZhh+7Ivm+Tsm8ks7gAOwSaXtueSSXTj5lX7vKpNu19c +PbatmpdVzhY1w4U109ZV85LKMTvtd269w6bV47zymRVbXFkz0+y0+83tuWkS +3b532TfWGl18S8XsWTE31jfAFNjiuvZcW/bu9S34dqkYa9dM8tvjN8Fvgd8g +GtzfeH/b75qEc3ap2KtrVtxzVc28U8VcXb6DqobcOyfhFCxze3tum0TX71/2 +rZMwz0EVe0e923615h1pDZzwv0k0B27AGitM212a5DfV7+CL2//9omm4BNut +3OyVponFKStOk8MWY41PLA5aZZocDIAFVm/2atNoRjpxl2bvPM0a3b7GNDE0 +Nl29VrPXnEaT0+YbNHv9aXQ5Lli32etME0uLrz1Njt44ZNVpZrAmR6wYvfRQ +W0+coYfa601Tm4+tx2G1R/fWnqntnbyLHrgCR2zU7A2n0ey0+9bN3moaXc3H +3nIazqHDN232JtPYdPhm0/jYdPfm0/jUovO3maYmm/bfdhofTW5P7eVO03AH +H3vHaWbDOhtPMyOOwB47NHv7afbWO5jdHquNM7abpodYNdWSo5Z3MLuaYtVU +S45viwV2neYb4xBssnuzd5tG09F2R/n20zACLtir2XtOE4uV9pgmhy3GGp9Y +3LD3NDmYABvs1+x9p+mtp15msIYT9p8mhmanqw9s9gHTaHq6/XDfehpGoNsP +afbB08TS4gdNk6M3LtlnmhmsyRErRi891NYTB+ih9qHT1OZj66GWdzC7mmbB +EkdMMxMbJ9xnGh8NT7c/2F2ZZi/52PbUGh31kGlicAfdfaS7OY0Op9Mf0Oz7 +T2P7Jr4Fn1gx1uQ4i1hwi2nOpNp0z0On6YER6PhHOkvTzIpt7jvNzLgEVxzt +rk0TS8c/apoctWiph01TU6yaaslRyzuYXU2xaqolx2zumLtlRrWxwqOn6cHG +FcdM46Pxaf0nu0vTMAAf+0nTcA3WeZy7OI2NMx4/jY9N9z9hGp9aOOEp09Rk +0+1PncZHp9P1z3I3ptHsfOxnTKMxac2hvZtGp+OIp7vL0/TCGk+cpqfadPyx +0/QQq6ZacjACVniOuzdNrJpqyVHLO3tXNc0mR6wZ5eKG505Tgy6m01/qbE/z +t4GP7W+ENVr+ZdPE4A4s8nx3exrGwBUvdHemsf2N8beFT6wYa3LsBV0+mmZP +1MYNL5+mB+bABT13dxpNbw/tXX8aRsEqHXdtmlgx1uSohUVeMU1NsWqqJce7 +ewez2wOxaqolh9b078J/nURzmhVLjKeZmaal897lbE3Dtc6Us/SYaTQBbTBz +96bJxSmTaWqwxVjjE0tXzafJwQi44NXu4jSana5+rbsxjWanw9/k7E/DCHzs +N0xzF8xkFncCl+CK17vL0+hKPdReTlObdj9umh5i1VRLjlnMoLeZxKqplhyz +04aLad6BrYfafGbFDm+eZuY/176+z1mfRuPzsd8zzZq9f/80MXS1GGvvnkaT +0+bvcHemsX0T34IPF4mx9rZpvpUacn0zGp4u/4i7NA33yBH71mlmxUFvmWZm +thhrfBhDDbkfnoZjcMiH3P1pmIaP/YFp1uSIFePdxFjzjo97bfudac8Wx7Xf +3+Ny9laqGLE4Amd8zNmdRuPT+p9zd6ZhAD72CdNwDq75pLs5jY11PjWNj43b +Pj2NTy2c8PlparLp7i9M46PT6fivNfur02h2PvZXptGdtOmv7dU0Oh0LfNld +nqYX7vnMND3VpuO/OE0PsWqqJQcDYIFvNPvr08SqqZYcvWjj30zTU2174N31 +kIszvjlNDTqcdv+hszyN7uZjf38aLsE633Z3p7FxxXem8bHp9u9O41MLF/xo +mppsrPDjaXwYgw7/pbM/DYfwsX8+zZo9s1diMAgW+Zm7N81e2wPvbs/VxoY/ +maaHWDXVkuNdvYPZvbNYNdWSgxGwwu/cnWk0Il13tm8/jS7cqOwzp9F4tN7l +9mYarsERf3SXpqmFVX4/TU22GGt8YunoP02Tgxmww9+c/WmYgW7/u7szjU6n +609tzz+n0exrln3KNL/JfpsPmTUNNosuxxEnt+ekaRhlxar9l6pNt/+jeqxe +NU+pnJVqhhNrJrGrVS05ZscCf57mHdgrVO0/16w44181M01Px5/nrE6jmzct ++5xao6vOr5iNK+ac+ga4hC4/w1kue8P6FnzrVYy106c5i7jxe9OcSYxAx1/a +nkumYZr1KvbfNSs2Oq1mXqdi/l2+rauG3Iun0VlY5qL2XDiN5tqy7AtqbeuK +vajebYtaO7++lb+zh87yzczqzrlrZna2cMYV05wxDED3/7c910+j63cv+7pp +uATrXOXdy8ZCV5ePjUOuKZ9aWOKGqsnGETeWj2an3W9vz23TMMP+Zd86jUal +O9dts68zi2an3W9pz83VC3dcWz3Vxgk3VY99q+atlYMpcMOd7bmjYvepWjdV +L1p3vVl67lp7cF31kIs97qoaNDKtvHKLXWkWDc7HXnEW5sAe97Tn7rKxyf/K +x8Yd95ZPLRywyiw12dhg1Vl8GAMHrN3stWbhED72mrOs2TN7JQZn4JQ16t9V +D6g9uKP2XG0c4t9d9RCrplpyvOshNftdFaumWnLsFW5Yf5Y9o2HpuD2avfss +OpePvdssZ23HOjvOHCbBDRu1tQ1nqYVFNpil5iMqxhqfWFyx8Sw5dB5O2LzZ +m83CCHT7ls3eYhYmoNu3b/Z2szADH3vbWTSp3zS/ZQfNotOxyTbN3noW3aaH +2pvOUpuO32qWHmLVVEuOWcygt5nEqqmWHLPTgZvM8g5sPdTmMytu2GGWmWl0 +Wn3vZu81i07mY+85yxpdv88sMfZejDXfAPfgjF2bvcsstm/iW/DhGDHWdp7l +t8vfHH9r/IZhAHtkbw6chXnkiN1pllmxzo6zzMwWY43vpVVD7gGzMAyW2b/Z ++83CJXzsfWdZkyNWjHcTY807+i3DDofN8pvmN9Nv56ea/clZflP52J+YRdPR +dk832yxcgl3u0+wjZqmFUw6fpSZbjDU+sbjovrPkYASs8IBm338WRqCzj3LX +ZtHldPvDm/2wWTQ6H/uhs/w7NU1IC358Gl1O+z/E3Z6FSfRQ+8hZatPtD5ql +h1g11ZJjFjPobaZF1VRLjnenfZ8xyx54F9x0v1neyay44ehZZqbT6fYnOuuz +6HA+9uNn0d187MfNwiVY59Hu5iw2rjhmFp9aWOBJs9TEEL6Jb3HsLJzBx37q +LIyjplqPnGU2bPOIWWZki7HG513smb3yTrgCmzzFXZ+FMfjY/zfLmp56iTGb +GGtmxATY4Fnuziwajpab+vazaFg+9niWvZUj1h7jGFzw3GY/Z5Za2OTZs9Rk +i7HGJxZLPG+WHEyAA17k7M+i0enol7g7s2h0urvT7FfOwgR87FfMwibO2Mer +Lg7BES9vay+b5dzpofYLZqlNq790lh5i1VRLjlnMoLeZTqiaasn5WJ3j58/y +Dmw91OYzK1bozjIzzU4nLZztWTQ9H3s2yxpdspwlxt6LseYb0OC0+MhZnsX2 +TXwLPhwkxtpglrOIGx8zy5mk2enw1zlbs3COHLH9WWbFPb1ZZmaLscaHKdSQ ++9pZuAV3vMZdn0VX8bFfNcuaHLFivJsYa97RbDj2sbPMyHbH3C0+s2KH188y +M11Px7/b2Z5F4/Ox3zkLA/Cx3zEL5+CaN9uLWWys85ZZfGrR1e+ZpSYdTqd/ +yNmeRZPzsT8wiyanzY93tmfRoXTn1+z9LLqTj/2VWXrhwrfO0pNuxwbv91sw +i4bnY793ljU99RJjNjHWzKgW1nzbLDUxlHf2rm+fZXYzms070Ol0+wnO+iw6 +nI/96VnWaPvPzhLjb4kYa/6m4B5c8XFnfRbb3xh/W/hwjBhrH51lL2jxr8+y +JxiDDv+yszUL88gR+5FZ9pKPbU/F2kN7Jwe3qCH3i7MwClb5grs/CyfysT83 +y5ocsWK8mxhr3vHk+jcMvzt+h2g62u4PztIsupCu/Z29nUXT0/Hfd3Zn4Vxn +yll64ywagVb4VrO/Ocu7Y5dvzLIHbDHW+Gh0OvqH7s4sGp3u/nmzfzYLE/Cx +fzpLbzlizeAu4Ow3zHIn2GYyC59ZcMy3Z5kJt+COn7j7s+h4PvaPZlnTUy8x +ZhNjzYx0qj3w7t+dpTbd+p1ZerDFWOOj41dwzp3tWTQ9H/tPs2hsutn/tuEf +szCAGGt/nIV76PLfOOuz2L6Jb8HnW8kR65vdWd/Qt/PNVqkeav99FoZYpewT +Z9lrrPOLWfacjYt+OYsPJ5lB71/NssbHFuPdcM1f6x3ZWOdv5Vupep5YPpyA +I06Z5X/TgSuwyqnuljsxb+e8Pb1F+71dhFtw17/bc1rF4ph/VQ57nVr7V8Xi +jtMrByNghTPbc8YsupG2vNW71BpuOKtiaHC6+5z2nD2LpqfTL2rPhbPofjr+ +/PacV7G0+rmVozdO+U/NsGnlnFcxG1WPs6vnFtVD7Quq9hZl6+GeusuvaHvy +8nlqb1DvogeOwBmXtOfiWXQ7HX9te66ZRZfvUvbVs3AOjri8PZeVTadfUT42 +nX5l+dTCBtdVTTZ2u758+9Se2subZ2GOfcq+qWbDQpfWjDgEq9zYnhtqb7eu +2S+q2jjlv9Vjr6p5U+VsW+9wWdXco2reUDm+LU64rb4xbsAad7Tn9ll0Ii25 +UdvLDefhPP8Ocnd77qpYbHNn5bAPrrU7KxZn3FM5mAAbrNBq3Vu9D6het9Ua +Tlhxnhgamy5dudkrzaPh6fI1m73GPDqfbl+t2avOE0vLrjJPjt645H81gzU5 +YsXopYfaeuIAPdRefZ7afGw9Dqt3uLdqmgUrrDXPTGxcsfY8Phqebtqk2RvP +s5d8bHtqjW7ZdJ4YnIMj1mv2uvNodjp9A2d5Hts38S34xIqxJsdZxI5X1ZlU +mw7abJ4eGIJO37rZW80zKxZaZ56ZcQlW2bLZW8wTS9tvM0+OWrTV5vPUFKum +WnLU8g5mV1OsmmrJ8VvmN8xv1yk1qzt3dc2MM3DFdi1223k0Pq2/e7N3m4cB ++Ni7zsM9WGjHZu8wj43TdprHx8YVO8/jUwsn7DFPTTbdvec8Pjqdjt+/2fvN +o9n52PvOoztp04fau3l0OhbYp9l7z9MLy+wyT0+16fi95ukhVk215GAALHBg +sw+YJ1ZNteToRfc+bJ6eatsD766HXJxx0Dw16HDa/b7O9jy6m499xDxcgnUO +cZfnsXHFofP42HT7YfP41MIF95unJhsrHDmPD2PQ4Q9u9oPm4RA+9lHzrNkz +eyUGg2CRB7pb8+y1PfDu9lxtbHj/eXqIVVMtOd7VO5jdO4tVUy059gorPHye +PaMLacXn+vbzaEQ+9rPn4VhnyNnZfh5GoIse6a7NUwurHD1PTbYYa3xi6aZH +zZODCej0xzb7MfNobFr78e7CPBqcFn9qs58yDzPwsZ88z9k3k1ncARyCTf7P +XZxHN+qh9jHzaHba/Ynu4jyxaqolxyxm0NtMYtVUS47Zab9Hz/MObD3U5jMr +tjh2nplpdtr9Bc7qPLqdj/28edb829EL54mx92Ks+QaYAls8y1mex/ZNfAs+ +nCTG2jPm+e3xm+C3wG8QDe5vvL/tL5uHc+SIffo8s+Kep80zM1uMNT6Moobc +l87DKVjmJe7+PMzCx37RPGtyxIrxbmKseUdaAye8ch7NgRuwRtddmuc31e/g +ae351zxcgu0G7uY8sTilN08OW4w1PrE4aDhPDgbAAhN3ZR7NSCd+wLvOs0a3 +T+eJobHp6rm7NI8mp81f667Mo8txwavc1XliafHFPDl645DRPDNYkyNWjF56 +qK0nztBD7VfPU5uPrYe9skf2xp6p7Z28ix64Ake8zl2bR7PT7m9v9tvm0dV8 +7LfOwzl0+Bud5XlsOvxN8/jYdPeb5/GpRee/Y56abNr/nfP4aHJ7ai/fNw93 +8LHfO89sWOf188yII7DHe9zlefbWO5jdHquNM941Tw+xaqolRy3vYHY1xaqp +lhzfFgt8cJ5vjEOwyYfdpXk0HW33Ld9+Hkbwd/Wjzf7IPLFY6fh5cthirPGJ +9bf4Y/PkYAJs8El3eZ7eeuplBms44VPzxNDsdPVn3KV5ND3d/kXfeh5GoNs/ +5+7OE0uLnzBPjt645OPzzGBNjlgxeumhtp44QA+1Pz9PbT62Hmp5B7OraRYs +gZPMxMYJmImPhqfbv+OuzLOXfGx7ao2O+u48MbiD7v6auzmPDqfTv+Esz2P7 +Jr4Fn1gx1uQ4i1jwLfOcSbXpnu/N0wMj0PE/dpbmmRXbfGWemXEJrvihuzZP +LB3/k3ly1KKlvj9PTbFqqiVHLe9gdjXFqqmWHLO5Y+6WGdXGCj+dpwcbV/xs +Hh+NT+v/3l2ahwH42L+dh2uwzi/dxXlsnPGreXxsuv/X8/jUwgl/mKcmm27/ +4zw+Op2uP9HdmEezr1T2X+fRmLTmBfZuHp2OI/7iLs/TC2v8Zp6eatPxf5qn +xwpV86+VgxGwwj/cvXli1VRLjlre2bv+pmZbpWJPrFzccFLVoIvp9NOd7Xn+ +Nqxb9mm1Rsv/p2JwBxY5pT0nz8MYuOJUd6dsf2P+Vb41KuaflWMv6PILa0/U +xg1nVA/MgQvObc8582j6zWvvzpuHUbDK2e05q2I3q7VzqxYWObNqblw1z6mc +1eodTq492LBqnlU5tKZ/Fz58Hs1pVixxUc1M09J5dzpb83CtM+Us/XweTUAb +XNqeSyoXp1xcNbaumEvKJ5auuqxyMAIuuKo9V86j2enqa9pz9TyanQ6/sT03 +zMMIe5b933nugpnM4k7gElxxfXuum0dX7li1r6jatPu11WP3qvnfytmpZri6 +Ztq1al5XOWanDS+vd9i+elxRPrNih5tq5kNrX//Xnnvm0fiHln13rdn7eyvm +4Iqxdtc8mpw2v709t5V9YH0Lvv0qxtqt9a0OrlzfjIany1ddtHuxCPfsV7G3 +1Kw46Oaamb1vrfHdr2rIXXkRjsEhKzV7xUWYho+9wiJrcsSK8W5irHlHZw0T +HVHvbTbssNoiM7JxxuqL+Gh8Wn+DZq+/CAPwsddbhHNwzVrNXnMRG+usvYiP +jdvWWcSnFk7YcJGabLp7o0V8dDpW2KLZmy+i2fnYmy2iO2nT/ezlIjodC2za +7E0W6YV71l2kp9p0/MaL9BCrplpyMAAW2LrZWy0Sq6ZacvSie/dfpKfa9sC7 +6yEXZ2yzSA06nHbfpdk7L6K7+dg7LYpxnONmb7uIjSu2X8THptt3WMSnFi7Y +dZGabKyw2yI+jEGH79PsvRfhED72Xous2TN7JQaDYJE9m73HIsxkD7z7lovU +xoa7L9JDrJpqyfGu3sHs3lmsmmrJsVdY4YBF9oxGpOse6dsvogv52EcvovFo +vServQjX4IiDm33QIrWwyoGL1GSLscYnlo4+ZJEczIAdjnD2F2EGuv2+7s4i +Op2uP6rZD1xEs/OxH7DIb7Lf5s81+7OL6HIccf9mH7kIo+ih9mGL1Kbb77dI +D7FqqiXHLGbQ20xi1VRLjtmxwKGLvANbD7X5zIozHrTIzDQ9HX+Ms7qIbuZj +P2qRNbrqMYvE2Hsx1nwDXEKXP9xZXsT2TXwLPpwixtpDFzmLuHHHRc4kRqDj +n+TuLMI0csQ+ZJFZsdGDF5mZLcYaH+ZQQ+4TFtFZWObx7v4imouP/dhF1uSI +FePdxFjzjmZzx9wtM5rNmXKWzOhs4YynLHLGMADd/2x3aRFdz8d+5iJcgnWO +dRcXsbHQ0xbxsXHI0xfxqYUlnrNITTaOeO4iPpqddn9xs1+0CDPwsV+4iEal +O19lrxbR7LT7C9zlRXrhjmcs0lNtnPC8RXqIVVMtOZgCN7zUXVwkVk215Kjl +nb2rmmaTI9aMcrHHyxapQSPTygNneRENzsf231ys0fXDRWIwCBZ5hbu8CKfg +jo6zvoiNO/y3Gj6xYqzJsRe0+KsX2RO1scNokR64BIfM3eVFmMEe2rvFItyB +W6bu4iKxYqzJUQuXjBepKVZNteR4d+9gdnsgVk215PhtoOs+v8hvhFlxxWsW +mZnupXM/7GwtcvacKWfJGcQoOOJ1zT5ukVxs8tpFarDFWOMTizNev0gO3Ycb +3uyuL8IMdPxb3Z1FGIGOf3ez37UIQ/Cx37mIRvUb57fthEV0O1Z5h7u5iI7T +Q+03LlKbrn/bIj3EqqmWHLOYQW8ziVVTLTlmpwvfsMg7sPVQm8+sOOI9i8xM +s9PuH3PWF9HNfOyPLLJG5398kRiaWoy14xfhINzxQXdnEds38S34cI0Ya+9f +5FupIdc3wwT2yN58ZhEGkiP2fYvMin3eu8jMbDHW+DCLGnI/vQjTYJtPufuL +cAof+xOLrMkRK8a7ibHmHf1vO4+o/ybsvwM7e9jiC4ucQb+xflfXWjb9tYzG +o/X+YrZFOAXLfLnZX1okF7d8cZEabDHW+MTipK8skoMZsMM33J1FmIHu/pa7 +tohOp+N/4O4uotn52N9b5N+taUTacI1FdDoW+K67uQij6KH21xapTcd/e5Ee +YtVUS45ZzKC3mcSqqZYc704L/7X2wLvgqK8u8k5mxRE/XGRmup2O/42zvogu +52P/ahEdzsf+5SKcgn1+6m4uYuOMny3iUwsb/HaRmpjCN/Et/rQId/Cx/7gI +86ip1o8XmQ3r/GiRGdlirPF5F3tmr7wTzsAqf3DXF2EOPvbvFlnTUy8xZhNj +zYwYASuc6O4soulou0vt9SKadpuyL669Xali7TFmwAknuUtVC6v8vWquUjH/ +KJ9YbHFy5WAEXPAvZ38RzU5X/9vdWUSz0+Fnt+esRRhho7LPXIRVnLE1qi4u +wRVntOc/i5y7tar2P6s27X569digap5ZOWvXDKfVTOtVzf9Uzup1jk+pd1ij +evyzfGbFDufUzDQ83XRFey5fRONvX/ZltUa3XFkx21bMZfUNaHLa/CLfvuyt +61vw4SKsdEF7zl/kLOLIny9yJml4uvz69ly3CPdsXrHn1aw46NyaedOKOa98 +u1YNudcuwjE45Jr2XL2I7tq57KtqbdeKvabebada845mw7W/WGRGtjvmbv2i +ZsUS/62Z6Xy6/q723LmI5j+o7DsWYYIDy759Ee7BOTfrVTb2uaV8atHZd1dN +upxuX6n9dq64jEbnY6+wjEan1Vdp9srL6FI6dItmb76MDuVjb7ZML5x4a/Wk +47HCve353yKa/rCy76k1PfW6t2Y7tNburlo46raquX+98+3lM7sZzeYd6HY6 +fr1mr7uMLudjr7PMGq2//jIxdLkYa2svw0E4Y41mr76M7W+Mvy18uEaMtdWW +2QvafMtl9gRz0OWbNnuTZRhIjthVl9lLPrY9FWsP7Z0cHKOG3I2XYRbsslGz +N1yGG/nYGyyzJkesGO8mxpp39LfRO3o3fyNpOtruoGYfuIzupXMPaPb+y2h8 +un7HZu+wDPfuXWfpxkWYAlts09a2XubdscxWy+zBEyvGGh/NTmfv3OydltHs +dPiezd5jGUbgY+++TG85Ys3gLuDuG+pOsPeqWW6oWXDNtsvMhGNwyG7N3nUZ +nc/H3mWZNT31EmM2MdbMSKfaA+++/TK16dbtlunBFmONj4an5Q9r9qHL6Hg+ +9iHLaGy6+Uh3aRmNL8bawctwEO7Yz91ZxvZNfAs+30qOWN/Mt/INfTvfDBPo +ofZ9l2EQPvZ9ltlr7LPXMnvOxkl7L+PDTWbQe59l1vjYYrwbzjl8mXdkY58j +lvGx9dSLj1bz/4bH/66NZvPu2OH+y+wBG2c8YBkfjU/rH+1uLsMAfOyHLcMs +2OVB7uIyNtZ58DI+Nk56yDI+tXDCI5apyaa7H7mMj06n4x/X7Mcuo9n52I9Z +RnfSpi+0F8vodCxwjLu5TC+c89BleqpNxz9qmR5i1VRLDgbAAk/wW7FMrJpq +ydGL7n3RMj3VtgfeXQ+5OOOJy9Sgw2n3p7sry+huPvaxy3AJ1vm/Zj9pGRtX +PHkZH5tuf8oyPrVwwTOWqcnGCs9cxocx6PDnO0vLcAgf+7nLrNkzeyUGR2CR +57hry+y1PfDu9lxtnPGsZXqIVVMtOd7VO5jdO4tVUy059gorvHiZPaMJacPj +nKVlNCMf+zXL6Dxa8J1qL8M12O9l7tIytbDKS5apyRZjjU8szn35MjkYASt0 +3f1lGIGu7rsby+hyun3qrC+j0fnY42V+l/12n9meM5bRsbT/yF1ehkn0UPuV +y9SmcwfL9BCrplpyzGIGvc0kVk215JgdJ71imXdg66E2n1lxw2yZmWl4uukN +zvYyupyP/bpl1uiWNy4TY+/FWPMNcA+ueLW7soztm/gWfDhGjLXlMmcRNz51 +mTOJGejyt7s7yzCPHLGLZWbFOvNlZmaLscaHW9SQ+9ZldBV2eYu7vozG4mO/ +aZk1OWLFeDcx1t5Y38rf1bPqm5nVnXPXzOxs4Yp3LXPGaH46/0Pu0jKcwMf+ +wDIcgn3e4y4uY2Oj9y7jY+O29y3jUws7fHiZmmzccPwyPhqdVv9ksz+xjM7n +Y398Gc1Je37PXi6j0Wn1j7nLy/TCMe9fpqfadP1HlukhVk215GAGHPBpd3GZ +WDXVkqMXLfz9ZXqqbQ+8ux5yscRnlqlBZ9PiX3aWl9HcfOwvLsMk2OSz7u4y +Nvb53P+j6S7DNjuqrVF33N3d3T1ocCf4RoK7u76Pe2PBHYK7uzshhJBAhBhx +d3c9dX9jnh/r2mNPr1pV6xmju7myFBtMZ3x1KTa1aIFvLaUmzUAnfMfdWIru +oDN+5CwtRWewwT9Yis+e2SsxdB8d8n13eSl7bQ+s3Z6rTYt8dyk9xKqplhxr +tQazW7NYNdWSY6/ohJ8tZc9wQtzwRO9+Kbx25cInLOWsOUPOjjNHl9AJv2z4 +F0upRXv8fCk1YTF8bGLxqF8tJQevowt+5+wvhbPj2X9wd5aiEfDwvznrS9Ed +bPBflsIxfdN8y85YCi+nRf7c8J+WwtP0UPs3S6mNt/9xKT3EqqmWHLOYQW8z +iVVTLTlmx/t+vZQ1wHqozWZW2uHYpcyMp+Pt/3FWl8LDVyt8Uvlw+5MrZpWK +OaneAR1EV/zTWS68Ur0LtmUVw/ePpXy7/Ob4rfENW7f2yN6cvhSNs6xij1vK +rLTQ35cyMyyGj22dqiH3v0vh8bTKae05dSmcfq3Cp5RvnYo9rda2Zvms0beM +VvjfUr5pvpm+nQ/vNH7VyTeVDT6iE86H591vtqVoFFrlvPacW7VolXOq5sYV +c27ZxNKG51cOTUAbXNyei5bC2fHqS9tzyVJ4Px5+dXuuWooG2LHwlUu5N+7V +O9psb++Eh+PqV7Tn8qVokC2r9oVVG0+/rHpsXzWvrJytaoZLaiax21Wty2rt +eO2yTvbAWui8C2pNZqUdrqmZcXbc/fb23LYUXr1v4VuXwqv3KXzLUnQI7XND +e64vjKffWDa18Pw7qiZdcWi9i3uXoiMOKXzPUjTNblXrupqN9rm2ZtylYq4r +2+a1ZxfWmmgMWuPu9ty1FJ1xYOE7y3dw9bq7ZjugfGakA2iPFdt+rdAJh8Pl +tmp4y044LBu8RSd7K0esPaYR6IJVGl65k1q0zUqd1ITF8LGJpSVW7SSHJqAD +1mx4jU44Oh69dsNrdcLR8e4NG96gE03ABq/fnovac3F7vttt3/NudAgdsV6z +rduJBtFD7dU7qY2rr9NJD7FqqiXHLGbQ20xi1VRLjtmPaM9qnawB1kNtNrPS +Cht1MjPOjidt2/A2nXB6NnjrTnx4yXadxNh7MXzeAQ6Oi2/e8GadYO/Eu2Cj +g8TwbdrJWaQTb6ozibPj4bs0386d6Bw5YjfpZFa6Z+NOZobF8LEdVTXk7tSJ +bqE7dmx4h054FRu8fSc+OWLFWJsYPms0G516c80Iu2O3lM2stMOuncyM1+Px +BzS8fyccnw3erxMNwAbv24nOoWv2tBedYFpnr05sauHVB3ZSEw/H0w9v+LBO +ODkbfGgnnBw3f2DDD+iEh+KdR9rLTngnG/ykTnrRhXt30hNvpw0OafjgTjg8 +G3xQJz499RJjNjF8ZlSLbtqnk5qwNVsrm9nNaDZrwNPx9ke5S53wcDb4EZ34 +cPtHdxLjt0QMn98UuoeueKi72gn2G+O3hY2OEcP34E72Ahd/Sid7QmPg4U90 +FzrRPHLEPqiTvWSD7alYe2jv5NAtash9fCcahVZ5nLvaiU5kgx/TiU+OWDHW +JobPGnFNf078taVwTpwOt3u1s9QJL8RrX2lvO+H0ePyz3c1OdK4z5Szt3glH +wBWe3vDTOlk77fLUTvYAFsPHhqPj0c91Vzrh6Hj3ixp+YSeagA1+QSe95Yg1 +g7tAZ+/WyZ2AzWQWNrPQMc/oZCa6he54vrvbCY9ng5/XiU9PvcSYTQyfGfFU +e2Dtz+qkNt76zE56wGL42OypvX29s90Jp2eDX9sJx8ab3+bdd6IBxPC9phPd +g5e/3N3pBHsn3gWbdyVHrHd2TL1D7847oxH0UPstnWgIWuLN7nYne03rvLiT +PYfpopd0YqOTzKD3SzvxscFirI2ueUMna4RpnTd2YoP11IvNWaOJvl77Yu04 +EO5jD3AhWuOdnXAiHB/X7zu7nWgANrjbic6ha97d8Ls6wbTOezqxwXTbUic2 +teiEQSc1Ybx72IkNT8fj5w3POuHsbPC0E96Jm37O3nTC02mBibvdSS+6p9NJ +T7Xx+FEnPcSqqZYcGoAWWO6udBKrplpy9MJ7P99JT7XtgbXrIZfOeG8nNfBw +3P0j7lYnvJsN/lAnuoTWeb+70QmmKz7QiQ3G2z/YiU0tuuCjndSEaYWPdWKj +MfDwzzg7negQNvhTnfjsmb0SQ4PQIp90tzvZa3tg7fZcbdrw4530EKumWnKs +1RrMbs1i1VRLjr2iFb7QyZ7hhLjhL5ylTjgjG/yzTngeLvgXtTvRNbTflxr+ +Yie1aJVjOqkJi+FjE+vPUb7cSQ6NQCt83d3oRCPg1d90Fzrh5Xj79xv+Xicc +nQ3+biffZd/u9RpXW7cbHov7f8dd7kST6KH2Vzupjed+q5MeYtVUS45ZzKC3 +mcSqqZYcs9NNX+lkDbAearOZlW74QScz4/B406+d7U54ORv8y058eMtvOomx +92L4vAO6h674qbvSCfZOvAs2OkYM3487OYt049GdnEmaAS//k7vTieaRI/ZH +ncxK6/ywk5lhMXxsdIsacv/QCa+iXX7vrnfCsdjg33bikyNWjLWJ4bNGs7lj +7pYZzeZMOUtmdLboir92csZwfjz/BHepE52wYuHjO9EhtM+x7mInmDb6eyc2 +mG47rhObWrTDv6omTDecWDYcHVc/tT2ndMLz1yx8ciecE/e8wl51wtFx9f+0 +59+d9KJj/tFJT7Xx+pOqx+pV8+TKoRnogP+257SKXa1qyVlWaz6+aq5VOafV +jHJpidOrBp6Ni5/nLHfCuTcpfE75cPvzK4ZGoVXObM8ZneggOuPs9pxVGI// +X9nWr5izKsde4OpX1p6oTUdcUD3oDtrk0vZc0okm2a727rJOdCBdQnNdVLHb +lu/SqkWbXFg1t6qal1TOurWGM2oPtqiaF1WObwMet3433wiz0hFX1cx4Lt64 +YvOt0M3Zc6acJWeQTqEbrm3PNZVLi1xdNXaqmGvKJhavuq5y8Dw64ab23NgJ +h8e7b2nPzZ1oBrz8rvbc2YkOOaDwHZ1wTt8437Z1uuHptMnt7bmtE962R9W+ +oWrj8bdWj/2q5h2Vs2fNcHPNJHbfqnVrzY4HXl9r2K163FA2s9ISd9fMeDse +v2qbbZVueDkbvHI3Plx/tW5i8HQxfCt1o4vojGUN31/YO/Eu2OgYMXz31btS +Q6539qjaI3uzdjea59CKvbdmpY3uqZnhQ8rHRseoIXetbjQL7bJmw2t08+dg +bPDq3fjkiBVjbWL4rNGZdDa/182fITh7tMQG3ZxB31jf1Xc1/M5uOCDed0jD +B3ejWWiXjRveqJtc2mXDbmrAYvjYxNKKm3STQyPQCls0vHk3HB7P3qrhLbvR +AXj5Dg1v340mYIO364ZL4oi4IU6Jl+Pu2zbfNt1oEj3U3qyb2nj71t30EKum +WnLMYga9zfTMqqmWHGvHcw/tZg+she7btJs1mZWW2LGbmXF4XH6fhvfuhmez +wXt1w7PZ4D270SW00K4N79INxtt368amFt6/bzc16QzvxLs4qBtdwQYf2I3G +UVOtnbuZjRbaqZsZYTF8bNZiz+yVNdEctMcBDe/fje5gg/frxqenXmLMJobP +jHQBLXK4u9MNp8Ptnm6vu+G0bPBTu9lbOWLtMc1AOzzYXexG49A6D2z4Ad1g +MXxsYumKh3STQyPQBQ93N7rh7Hj1I92Nbjg7Hv54Z78bjcAGP7abb7Jvsz9b ++3Y3uoSueEzDj+7m3Omh9hHd1MbdH9VND7FqqiXHLGbQ20xi1VRLzjvqHD+0 +mzXAeqjNZlba4QndzIzD403Pcla74fhs8DO68eEt/9dNjL0Xw+cd4OS4+VO8 ++26wd+JdsNFFYvie3M1ZpBt37+ZM4vB4+fOdpW50jxyxT+pmVjroid3MDIvh +Y6Mx1JD7vG50DB3yXHe/G97FBj+7G58csWKsTQyfNZqNbt2jmxlhd8zdYjMr +LfGCbmbG8/H6Vzvb3XB+NviV3WgCNvgV3egeOuclenWDaZ+XdmNTC89+TTc1 +8XK8/U3OdjccnQ1+QzccHVd/S8Nv7oaX4qEze9kND2WDJ930ohNf1k1PPJ5W +eH3Dr+uG07PBr+3Gp6deYswmhs+MatFRL++mJmzN1spmdjOazRrwdjy+4y51 +w8vZ4Pd048P1u93E4OVi+N7djQ6iM97hrnaD/cb4bWGja8Twva2bvcDN593s +Cc2Bl4/dhW40kByxb+1mL9lgeyrWHto7OXSMGnKH3WgW2mXgrnajG9ngXjc+ +OWLFWJsYPmv022iN1uY3EqfD7b7oLHXDe/HcL9jbbjg+Xn+0u9mN7nWmnKUX +daMpaIv3Nry8m7XTMotu9gAWw8eGs+PZH3ZXuuHsePgnG/5ENxqBDf54N73l +iDWDu0B3v7CbOwGbySxsZqFr3tfNTHQMHfIxd7cbns8Gf6Qbn556iTGbGD4z +4qn2wNo/0E1tvPX93fSAxfCx4fC4/Fed7W54PBv85W44tm+0b/O3uuH4Yvi+ +1I0Oojs+5+50g70T74LNu5Ij1jvzrrxD7847own0UPub3WgQNvgb3ew17fOp +bvYcppM+3Y2NbjKD3p/pxscGi7E2Oudr3awRpn2+3o0N1lMvNv+tgqe1Z4tB +/psFuBvd8f1uONyw1852ex7fYh7XD8/DBU/WuxsdQ/f8yNntJpd2+UE3NWAx +fGxi6Y4fd5NDN9AJP3cXuuHcePYv3aVuODxe/vuGf9cNb2eDf1t3yt16TJvx +0b3oALz+N+52N5pED7V/2k1tXP5X3fQQq6ZacsxiBr3NJFZNtX5Va8d7T6k9 +sBY66CfdrMmstMQfupkZh8flj3d2u+Hxywof1w1PZ4P/3o3uoSv+4q50g/H2 +v3ZjUwvv/2fVxLlXr3fx7240xmqFT+pG96ip1p+6mY0O+mM3M8Ji+NisxZ7Z +K2uiU2iZE9vzr250x8qFTyjfqtXrxJptpfKZkU6gLU5zV7rhhbjjtd59Nxxx +58JX196uVbH2mO6jW89oz+lVi9b5b9Vcp2JOL5tYOuPMyqET6IJz2vO/bjg1 +Hnpee87thrPj4Ze05+JuePpWhS/q5n8X5t9g+jtJfzeJ9+PxF7bngm40yEZV +++yqjeueXz22qJoXVc7GNcO5NZPYzavW+TU7nXNWrWGD6nF22cxKO1xaM+NM +eMkN7bm+G06/W+Hryoen3Fgxu1TMdfUOaAq8/ar2XFl4p3oXbNtXDN8V3ZxF +uvFv3ZxJnBw3v709t3Wje7av2MtrVjrospp524q5vGz7VA25t3ajW2iZW9pz +czc8a6/CN5Vvn4q9pda2Z/ms0bfA7+pje/kmmJXWPbabmc1Ka9xRM+P5eP3K +LXalXjg/G7xiL5qADV6hFx1EG91jLwrTbfeWTS08e5VeauLlePtaDa/ZC0dn +g9fohaPj6us0vHYvvBQP3aHh7XvhoWzwdr30omPuq554PK2wevOt1gunZ4NX +7cWnp15izCaGz4x64b479tJTbVrp/uphdjOazRrwdjx+s4Y37YWXs8Gb9MLD +2eCNe9EptM/6Da/XC6YzNujFphZtsHkvNWkKvHvbhrfpRXewwVv3onnUVGvd +XvaODbaHcu2ZvVLDWmjFZb2siUahVbZq//+WvehENniLXnx66iXGbGL4zIgH +4oYPclZ64YRs8AN64bVs8OG9cHi8es+G9+hF1x5UZ+eubjQDnrRL8+3cy7ug +XXbq5Z0cVTF8bDg1Hr13w3v1wrlx7wMbPqAXjcAG799LbzlizeDs09V31h2A +D6xZ7qxZ8LRde5mJTqFd9mt43154PBu8Ty8+PfUSYzYxfGbEQ+2Bte/eS21c +cLdeesBi+Njwdrz3CGe5Fw7PBj+kF47sN99v/aN64fVi+B7ciw6iKw5zlnvB +3ol3webdyRHrHfo2+A322+sbQWPoofYje9EhbPAjetlrWuigXvYcpoMO7sVG +F5lB70N68bHBYqwNt39YL2uEaZmH92KD9dSLjU6gLR7v7vTyzfTt/GHDP+jl +m8oGf78XjofXvUHvXnQKrfekhp/YSy265Qm91ITF8LGJpYue3EsOXYCnP81d +6IVT49HPaPjpvXBwXPy5DT+nFx3ABj+7l2+xNZjdNxlPpxP+z13vRXPoofZT +eqmNqz+zlx5i1VRLjlnMoLeZxKqplhxrx23f2MseWAudc2QvazIrbfG8XmbG +2/HyVzi7vXB4NvhlvfBsNvilvegevPyFDb+gF4yXv6gXm1q4/it7qYmDeyfe +xet60Rls8Gt70TVqqvX8Xmajc47qZUZYDB+btdgze2VNdAZt8hp3vxfNwQa/ +qhefnnqJMZsYPjPSHbTIm92VXnggrvhe774XTsgGL3rZWzli7bE/x/LnvG9r ++K291KKd3tJLTVgMH5tYuuLtveTQBXTAu92NXjg03rnkLvTC0fFuOmTQCy9n +g/u9/G9pcDTczL8lw/Px9l7zdXvRHHqo/c5eauO2nV56iFVTLTlmMYPeZhKr +plpyzE7XvKOXNcB6qM1mVlqBZjIzjoR3fMDZ7oXDs8Hv68Xnu/PBXmLsvRg+ +74CGwNPnznIv2DvxLtjoIDF8017OIh344l7OJA6Oi3/M2epF58gRO+llVrpn +3MvMsBg+NhpDDbkf6UWn0C4fdtd7+W6ywUf34pMjVoy1ieGzRrPRqi/pZUbY +HXO32MxKW3y8l5nxejz+i85uLxyfDf5CLxqADf58L7qHFvq0vegF02mf6cWm +Fl79pV5q4uF4+jca/novnJwN/lovnBw3/5a70gsPxTt/Zy974Z1s8G966UW3 +fLaXnng7bfBVd78XDs8Gf7kXn556iTGbGD4zqkULfa6XmrA1Wyub2c1oNmvA +0/H2n7hLvfBwNvhHvfhw+5/2EuO3RAyf3xS6h674nrvaC/Yb47eFjY4Rw/ed +XvYCF/99L3tCY+Dhv3YXetE8csR+u5e9ZIPtqVh7aO/k0C1qyP1lLxqFVvmF +u9qLTmSDf9aLT45YMdYmhs8acU1/TrxhL5wTT8Qdz3C2euG1eON/naVeODxe +fay72YuudaacpU/2ohnwpj81/Mde1k67/KGXPYDF8LHh0Hjnce5KL5wb9z6p +PSf2ohFWKfyvXnrLEWsGd4Gu/kQvdwI2k1nYzIK3/bmXmegU2uWE9vyzF82y +YuHjy7dy9RJjNtz4H73MiJfaA2v/ay+1ccO/9NIDFsPHZl83co6d9drjDQuf +1Qtnxp0v9O574fwblO/MXnQQXXGqs1547XoXp9a7Wr9iz6h3tW69O+9ss+qh +9vm96JDNCp9Xe00L/bv2HKaD/lO2NWoGvU8u3xqF/1Nro2POqTXCtM25Zduk +ep5XNmfN30NsVPsA00QbVy17QWtcVHuC1+PZ17bnml44/s6Fr+5FA+xU+Kpe +dBBdc1l7Li1M61xeNrXw6uuqJt6Ph9/Snpt70QB7Fb6pF56Oy9/Wnlt74Zy4 +55r9tg/9cFI2ePV+etGiV1RPvB23v7E9N/TC4XcvfH359qxeN9Zsu5XvuuqF +W6/VT0+16dArq8feNeOttQYcHpdf1mLv74Vns8H39cKzDy18by+6hBa6sz13 +FMbb7yqbWrTaCv3UpDPw8tUaXrUfXcEGr9KPxtm/at1ee7df4dsq157ZKzV2 +qHd4Va2J5qA9Vm6+lfrRjWzwiv349NRLjNnE8JkRB8QFd254p344Ihu8Yz+c +lg3eoR9Oj9tv1PCG/fA+3HB/a+lHR/lzknUbXqefd0H7rN3PO4HF8LHRBHj0 +Jg1v3A8Px9O3anjLfjg5G7xFP73liDWD77bvdL/hXj/faTa4288sdMJ6/cyE +59IGmze8WT+clw3etB+fnnqJMZsYPjPSOPbA2jfopzYtsn4/PWAxfGw4E16y +e8O79cPp2eBd++H0ePO+De/TD28Xw7dLPzqF9tneXekHeyfeBZt3J0esd+gs +0o1315mkO/RQe+9+dAcbvFc/e03nbN3PnsO0zzb92GgkM+i9bT8+NliMteFZ +e/SzRhj32rMfG6ynXmxmo1vvqRkPrjt2b9nshTPlLNkTHB/Xf6Cz248GYIMP +70c3sMGH9aNLaKGD7EU/mFY6uB+bWnTCg/qpiZPj5g/3beqH17PBR/TD6fH0 +Rzb8iH54J276bHvTDwdlg5/VTy868ZB+euLwuPxD3f1+OD4b/OB+fHrqJcZs +YvjMqBZddGg/NWFrtlY2s5vRbNaAh+PuT3a3+uHdbPAT+/Hh+Uf2E4OTi+F7 +Qj+6hRZ6rLvTD6Y7/F0NG00jhu/R/ewFXv6cfvaEDqE7nuls9aNz5Ih9VD97 +yQbbU7H20N7JoVPUkPv0fnQi3eLvlPzdEp3CBvtvY/PJESvG2sTwWaNvAy43 +6OcbgSPiim9xlvrhuLjum+xtP7wMr3+xu9uPxnWmnKUD+tEtdMRRDT+vn7XT +Js/tZw9gMXxsODue/VJ3ox+NgIe/uuFX9aM72OBX9tNbjlgz+LaaQW/fWBzU +N863rdPPLHjc8/uZCY+nXV7R8Mv74fRs8Mv68emplxizieEzIx5oD6z9hf3U +xgtf0E8PWAwfGw6Py7/D2e6Hx7PBb+tHE5jZrEv98HYxfG/tR6fQRm9wd/rB +3ol3weZdyRHrnXlX3qF3553RIXqo/Z5+dAcb/O5+9pr2eU0/ew7TSq/tx0Yj +mUHv1/XjY4PFWJs/C3tnP2uE6Zp39WOD9dSLjeZ1p9wlf8fpG+lbeVl7Lu3n +G+u7ekl7Lu6H0+P2C2e3H06IB37NbP1oGFpmrHY/Z5mWGfZzpmExfGw4O179 +XnenH96Ph3+o4aP70QBs8Af76S1HrBn0xju/3s8M/twaR8QNL6lZaNNJPzPh +7bj9Bxp+fz8cng1+Xz8+PfUSYzYxfGbEc3Hrb7qL/Wgee2IvZv1oQDZ42g+P +x9O/4Kz3w+nZ4M/1w7vZ4M/2w7vZ4M/0o1Noo0842/3oCntur7/Sj45gg7/c +j6aRI/Zj/egGMXxf6mdvaZ0P97PHNBFt9NGGP9LPXlmD2e0Zn5pqibEW2uOY +ftYE0yVf7McG66kXG16IK/7Ju+uHI7LBf+iH17LBv++Hw+PVP3R2+3m39txe +e8c0A+3wHXetn3dB+3yrn3cCi+Fjw6Hxzh+7a/1wbtz7lw3/oh+NwAb/vJ/e +csSagVbx9yAXV1946zpb/7/N//1uPzPRKbTLzxr+aT+8lw3+ST8+PfUSYzYx +fGZ0bu2BtX+/n9p6fa+fHpfU2eZjw0nwkL852/1wKDb4L/1wZtz5n951P5xf +DN+f+9FBdMXvvPt+sHfiXbB5d3LEeofOIh35yX7O5LLqofY/+tEhywof189e +00K/6mfPYTro1/3Y6CIz6P2bfnxssBhrw7WO7WeNMG3z935ssJ56sZmNrv1U +PzPCdOyn+7HB7pi7xYZj48FnOMv98Px1C/+3H86/TuHT+tEEaxc+tR9dROf8 +W+9+eD7efW57zumH829c+H/98HLc/fz2nNcPL9+ofGf3wztx3Vu8m3446F6F +b6petNV/quceFcN3Y60Fjz+z1gTj9meVbYPqeXbZ1KJtT66aMB16StnWrDWf +WjY8nra6qj1X9sPpdyh8RT86Aa++wbvvh4dvX77L+9EptNFF7bmwsN+Yi8u2 +beWI9RuEd+Fi6wzavg+iM3ar2tf1oyN2LXxt7f2mtbfn1l7TRRfUnm9eM1xY +tt1rD2+sma2Nlry61gjTKdeUbefqeW3Z/Db6zfRb6TfykTWzWdcahOPiums2 +vMYgnBgPvq899/bD8fHue9pzdz+615lylk7sR1PQFre357Z+zgZtdGs/ZwTn +xr1XbrVXGkQjsMErDlL7kOqlBx0ihm+FQb4NtPcJ/XwjYLr7X2Xbp2a4rXqu +XDOeWDHWgtvfX2uCaZtlg9gOr556sVkLXnhHrenA2gNrv6t8uOOdFYMT48ab +tdxNB+H1eMoGDa8/iCYQw7fJIJxfDN96g5wd3H/dQc4QneSdeBerD+KTI1bM +I+odenfeGZ0jR+xqg/Ak2mOjhjccZNYDa/Y7613QSqsM8k7oGDOabeNBfHTT +qoPEqCWGT01r00NtaxRrBr3l+N/ibFz/xs2/daMhaIktB/k3bCdM23tpz8dn +7Td7Fl1Cp2zdfFsNgmmXbQax0YF00HYNbzuIBqAFdmx4h0F8dML2g8TIlSNW +DZwSjzzCrIPk4vU7DVIDB8e7d2l450EwLr7rIDYYd99tEJteZtBbT5qCttij +4d0HwXj/noPYYFpgr0FseuuplxnUVkOuHmJpi70HyaEpaIt9G95nEF6Nxx/i +7gyic/Dw/Z3dQTAefsAgNhjvPnAQG4yLHzSITS3a4NBBasJ0wGGD2GDa4PBB +bDi7PbWXDxlER9AeD3K3B4mlKx4wSI61WIPZrYlPjlgx1mYNZrdGOkcPtR88 +SG02WA/fON+1nzX800HeLa3wsEHeMZ1CuzzCt20QTCs9chAbTOs9ahCbP9ei +Yx/jLg7C+XH/x7vLg/jogscOEiNXjlg19NZTLzPIxeOfMEgNHBVXfZK7NAjG +vZ88iA3GzY8cxKaXGfTWk4agJZ7a8FMGwXj+0waxwbj/0wex6a2nXmbgox2e +MUgMTDc8cxAbTEs8axAbXo43vcDdGETX4OHPdhcH8eEtLxwkhg9Xf84gMTg4 +Ln6UuzYIVlMtNhxeDN9zBzmLzrSz7EyqjQe9aJAeODze/kp3YRDdQYu8zN0a +hCPhSi9xFwfJZYPV4JMjVoy1WpO1WDOdo4faLx+kNhush3fjHdh778gsdMOr +BpmJjqBdXuPuDcLx8fo3uzuD6B5a6HXu3iCYDnz9IDaYbnjDIDaYlnjjIDa1 +aIW3DFITxuPfOogNxrvfNoiNLsDT3+NsDsLDcfV3uruDxOLlbx8kRy8zm1VP +PjlixeD9tEHHXRpEc+ih9rsGqc0G64Hz4rlH2+tBZlFDrplwZDF8HxiER+PW +E2d3EN1B6/TdzUEwXj4YxAbj5cNBbDAePhrEphZdMB2kJkwrzAaxwbTcfBAb +HWIms7x/EA1BS7zXXRsklhZcDJJjL+ii7iB7widHrBg+azC7GDpGD7XfN0ht +NlgPe0UbfGiQPYNpkw8PYsMBccFvOwuDcCC852Pu2iCxtM1HBsmBxfCxicWD +Pj5IDt6Gl3/KXRvk7DqjzqYzzIdnf3qQGBwbr/6suzQIZ8fTv9TwFwfRGbTH +F9ylQWJx8c8NkqM3LvaJQWbgkyNWjF56qK0nXaOH2scMUpsN1kMtazC7mmah +E748yEww7fCVQWw4O+7+XXdlkL1kg+0pH178vUFi6CC8/Ovu5iA8HS//prsy +CPZOvAs2sWL45Pg2+Cb4FvhGqI17f3+QHnQI3v4Td2OQWWmrrw4yM11Bi/zI +XRsk1m+e3zo5atEdPxikplg11ZKjljWYXU2xaqol5/fDdqbbMx+18z/Kbymt +8PNBflPpFNrll+7eIJju+NUgNpgW+fUgNrqO9vutuzwIB8fF/6DXID464HeD +xMiVI1YNPBKv/J+9GCQX7/7jIDVwbLz1z+7mIBiX/csgNhg3/+sgNr3MoLee +NAVtcWzDfxsE0wF/H8QG0wHHDWLTW0+9zKC2GnL1EEsn/GOQnP+nUdxLd28Q +Ho67n+xsD6Jz8Ox/uYuF8eoTywbjzSeVDcal/102tfD8U6omjPufWjaYljut +bBvUntrLswbRDLTDGe05vWLpjP9WzrJaw/G1pnUq5/SKWbHWcEKtcf3qofaZ +VXv9wno4S86Qs/Pzere0wzn1jukMWui89pxbmHY6v2yw/53LBWWjGWiHi9pz +4SCaAK+/tD2XlI9uuLhiNq+cC6vGxtXz3JpBLm1wWdWgGfD4K9pzeWHc/sqy +wXTCVWXbqma4pHri8Lj8Ne25ujDdcG3ZYLrhurJtWz0vrxn48P7rKwamBW4o +G0xH3Fg2PBmvv6M9tw+iKeiEm9tzU/nw/Dsrhg/vvqVi8HA8+7b23Fp4v6p1 +W8XuUz45zqIz7Sz/u2rTDXdVDxwfj1+hfVOWDaMh6Ib72nPvIJwOt7unPXdX +7kGF7yrfIRV7T611z1qLNR9WPdS+v2qz3V899KYlVhxmBphOWGkYG0w7rDyM +jQbA+9dqeM1hdAYts2rDqwyD6YjVhrHBeP3qw9hgXH+NYWxq0QFrD1MTpg3W +GcYG0w3rDmPDy/H+jRveaBieTyds0PD6w8Ti/esNk6OXmc2qJ58csWJoBrx0 +04Y3GYbz66H2hsPUZoP10FuOWDPIxW03G6YG3own79Hw7sNwZFx524a3GUZz +0BlbNLz5MD68f7thYvjojC2HiaEx6IqtG95qGKymWmxixfDJ0RtX33OYGdSm +LbYfpgdOb0az7TaMrqBDdml452F0BZ2xU8M7DqMx2OAdhvHJESvG2q3JWuwB +zaGH2rsOU5sN1gPXxFlx1VHNSifsNczMOB/u92hnYRiNgAfs1/C+w+gKWmOf +hvceBovhYxOLO+w/TA5eRicc1PCBw5xlZ9ZZdab56IaDh4mhGfD4Q93FYTg+ +Hv9gd2EYDUE3PKDhw4eJxf0PGyZHbzzwgGFm4JMjVoxeeqitJ82hh9oPHKY2 +G6yHWtZgdjXNQls8ZJiZYLrhocPYcHy8/rHuwjB7yQbbUz48/3HDxNAhdMXD +3c1hdAZe/kh3fRjsnXgXbGLF8Mnx7rwT78I7HNZ7ffwwPWgEvP9Id22YWWmd +I4aZmcagK57kbg8TSwc8ZZgctZyVJwxTU6yaaslRyxrMrqZYNdWS47fHb4zf +lquqNq3x1GF60BC0xNPdvWE0AN7/3IafM4zuoG2e6S4Og2mvZw1jg+mO/xvG +BtMdzx7GphYt8bxhasJ0xFHD2GC8/vnD2PB4vP+l7vIwPJ2OeJG7NUws3v6C +YXL0MrNZ9eSTI1YMjUArvNy7GkYj6KH2i4epzQbrgePiuu/yroaZRQ25ZsKZ +xfC9YxiOjCu/3l0aRmfQHq9yd4fBdMWrh7HBdMVrhrHBePtrh7GpRQe8YZia +MG3wxmFsMN3wpmFsNIGZzPL2YTQJbfJWd2mYWFrjzcPk2As66BXD7AmfHLFi ++KzB7GJoGj3UftswtdlgPewV3fDuYfYMpiXeM4wNL8T7PuosDKND6ISuuzVM +LF2yNEwOLIaPTSwe2Bsmh4agG4bu8jAcE9c8xuzD+OiC0TAxdALdMHE3huHl +eP97G14+DM+nE+bu6jCxeP90mBy9cdH+MDPwyRErRi891NYT59dD7cUwtdlg +PdSyBrOraRa6433DzATTCe8fxoY34/kfd1eG2Us22J7y4f2fGCaGJqFDjnY3 +h9EYePmH3ZVhsHfiXbCJFcMnx1l0pp1lZ1JtOuKTw/SgGeiEz7kbw8xK23xg +mJnxPDrjM+7aMLF0wueHyVELD/zUMDXFqqmWHLWswexqilVTLTl+c3duzzXt +uXqY2s6Ad6/HjhXDd9UwvJ0u+Ia7NIzOoD2+7C4Og2mdrwxjg+mMrw5jg/H+ +rw1jU4uu+OYwNWE64VvD2GDa4dvD2HB0XP2HDf9gGM6P+3/P3Romlu74zjA5 +epnZrHryyRErhqagI37srg2jQfRQ+/vD1GaD9cCT8eK/eVfDzKKGXDPh0GL4 +/jKMRsCbf+0uDfO7QYf8rOGfDoPpkJ8PY4Ppjl8MY4Ppjl8OY1MLF//NMDVh +OuK3w9hgOuF3w9hoAjOZ5c/DaAra4o/D/LmDWNrCn0PIsRd+134yzJ7wyREr +hs8azC6GptFD7T8NU5sN1sNe0RLHDrNnMJ3w92FsOC6ed76z0B66gm443t0a +Jpa2OG6YHHhZ+Y6rWLrin5Wz8jBc7MT2/GsYDeyMOptfLB8dcVLF0BB0w3/c +jWE0AZ5/env+OwzPpyNObc8pFYv3n1w5etMxJ9QMa1TOKRWzavX4d/Vcu3qo +fVrVXrvwqVVr5Zr9hJqFrjijZoJpjTPLhifj9Re254Lay80Ln18+PP6iiqE7 +aJH/tefsYTQH7XFue84pvGm9i3MrduPyyfHbYE/t5TFVe6v2XFw9aALa4Ir2 +XF6z0jln1cx0Bl1yWXsuHUYD+Mb4tlxZteiQS6rmtlXz8srZoNZwdtXcumpe +Wjk7D6MLrh3mmwbTCteVzTfVd/CZo8a/RtEtdM2N7bmhYmmN6ysH3r1811cs +XXFT5eCpdMKt7bllGE6JJ27Yam8wio+WuK1iaAg8/o723D4Mb8fz72vPvcPw +crrh7vbcVbF4+p2VozdefHPNcEDl3FUx+1aP26vnwdVD7Xuq9sGF9cBVcVJc +9OlVe+9aix40Bq2xrK3l/mF4MZ6+Rvv/Vx+FI7PBq42iMx7UnpUaXnEUTFes +PIoNpitWGcWmFu6/5ig1YbpgrVFsj6s9tZfrj6Ix2OD1RpmNrllhlBlpEtpk +3YbXGWVvreH+2mO1H9WetUfpIVZNteSoZQ1mV1OsmmrJ8W5pgY1Gecc0A+2w +ScMbj8LxcL19vftRNAFtsHnDm40SS4dsOkoOLIaPTSzdsMUoOd4LXbB1w1uN +0ltPvczARydsM0oMjYC3b9fwtqNwerx9l4Z3HkUz0Ak7NrzDKLG4/vaj5Dyt +zsGWo8zAJ0esGL30UFvPo4bpofZOo9Rmg/VQyxrMrqZZaIldR5kJphN2G8WG +0+Px+ze83yh7yQbbUz68/oBRYugOOmLPhvcYRVe83BlueK9RsHfiXbCJFcMn +x1mkG1cd5UyqjacdOEoPmgDPP8xZGmVW2mb3UWamKeiIQxo+eJRYvP/wUXLU +wv0OGqWmWDXVkqOWNZhdTbFqqiXHbO6Yu2VGtWmLB4zSA6YbHjiKDcfvOOfu +0igagA1++Ci6g7Z5iLs4Cqa9HjqKDaY7jhjFphad8KhRasJ4+6NHseHpeP0T +3Y1RODsb/PhROCau+WJ7NwpPpyMe5y6P0ouuedgoPdXG4x8zSg+xaqolZzqM +VniyuzdKrJpqyVHLmq1VTbPJEWtGuXTDkaPUwIvx9P9ztkf5bWCD/Ubw4fLP +HiWG7qBFnupuj6Ix6IqnuzujYL8xflvYxIrhk2Mv8PKXjLInatMNzxmlB81B +F7zA3R2F09tDe/fCUTQKrXKUuzZKrBg+OWrRIs8dpaZYNdWSY+3WYHZ7IFZN +teT4swx/huE78NSalZZ46Sgz44R435KzNYpudaacpQeNohloh1e4e6Pk0ikv +G6UGLIaPTSwe8cpRcmgGOuG17uIoGuFr7oS7MQqnx9vf6uyPognY4DePchfM +ZBZ3gqagI97kLo/CA/VQ+9Wj1P66OzxKD7FqqiXHLGbQ20xi1VRLjtlxoVeN +sgZYD7XZzEprvG2UmXF6PL7vrI/C+dng7ig+XH8wSgyeLYavM4rGoDXe7e6M +gr0T74KNbhHD985R3pUacr0zHB+P93eN01F0jRyx7xhlVjrn7aPMDIvhY6Mp +1JA7GUWD0B3jhkejaBw2eDiKT45YMdYmhs8a9560b3N7bm3PLZPoDLphMcrf +idIRtMV7nc1RMC3zvlFsMK31/lFsfxtGV3zQXR5FI9AKH3bXRvHREUePEiNX +jlg1LhmGS37f2kfJpSs+MkoNHB8v/5i7OArG1T8+ig2mKz4xik0vM+itJw1A +C3zK3R4F0xGfHsUG0w2fGcWmt556mUFtNeTqIZbW+OwoOTQELfF5d20Unoy3 +f9VZHkV30BHHuHujYDrii6PYYDz9S6PYYDz7y6PY1KIFvjZKTZhO+PooNph2 ++MYoNhzentrL746iS+iQb7u7o8TSKd8cJcdarMHs1sQnR6wYa7MGs1sjzaGH +2t8ZpTYbrIdvmm/bjuPGYcZ5t3TED0Z5x3QFrfIjd2sUDUKL/KThH4+C/dnH +T0ex0RR0xM/d3VE0AW3wK2d7FB/d8ItRYuTKEauG3nrqZQa5dMSvR6mB0+Pd +v3V3RsG4+O9GscF0xO9HsellBr31xPlx/z82/IdRMN3wp1FsMJ3w51Fseuup +lxn4aIm/jBID0wl/HcUG0w5/G8WGJ+P1J7gbo+gMPPvv7lr58Px/VQwfLn7c +KDE4O559vLtWeMWqxSZ2WfnkOIvOtLP85aqNt51YPXB6PP00d2EUXUJXnOxu +jcKhcKl/t+ekyl218InlW71ixVirNVmLNa9ZPdQ+pWqvWVgP78Y7sPe/r1lo +h//WTDQGrXFGe04fRQPg/ee159xRdA2tc1Z7zixMZ5xdNhjv/1/ZYFrgnLKp +RUucXzVhOuKCssF4/YVlw+Px/svbc9koPJ2OuKQ9F1cs3n5R5WxcM59bPbes +nIsrhkagFa5szxWjaIRtq/alVXubwnrguLjuPe25u2bZvnLNdGDF8N01CkfG +lW9szw2j6Aza45r2XF2Yrri2bDBdcV3ZYLz9+rKpRQfcVDVh2uDmssF0wy1l +O6BmMsudo2gS2uT29txWsbTGrZVjL+igq2pP9qmc2ypmx1rD1RWzf/VQ+46q +vX/h22uv6IZ7a89gWuK+suF0uN1m7Vu36TgagS5YoeFl48TSJfdXDiyG7/6K +xZtWHCcHr6MLVml45XHOrjPqbJ5RPjph1XFicHi8e/WGVxuHw+Pl6za8zjg6 +hI5Yq+E1x4nF1dcYJ0dv3G2lcWbgkyNWjF56qK0nDaKH2muPU5sN1kMtazC7 +mmahFdYbZyaYrlh/HBuOjqtv0fDm4+wlG2xP+fD6LceJoXPoio0a3nAcnUFX +bNLwxuNg78S7YBMrhk+Ob4Nvgm/Bf6s27bDVOD1oAjx++4a3G2dWWmiDcWam +U+iSbRveZpxYv3l+6+SoRcdsPU5NsWqqJUctazC7mmLVVEsOLoaD4V44md9S +OmKncX5T6Qq6Y5eGdx4H0yK7jmODaZfdxrHRPHTEHg3vPo4GoAX2bnivcXx0 +wp7jxMiVI1YNvBG3fLy9GCeXbthnnBo4ON69X8P7joNx8f3HscG4/wHj2PQy +g9564vi4/kENHzgOpgsOHscG4/2HjGPTW0+9zKC2GnL1EEsrHDpODk1BWxze +8GHj8G5c/QhnexwdQyc8sOEHjIPx8AeNY4Px8AePY4Nx84eMY1OLDnjYODVh +2uDh49hgOuAR49hwcHtqLx87js6gRR7tbo0TSzs8cpwca7EGs1sTnxyxYqzN +GsxujTSMHmo/ZpzabLAezpIz5Ow4U94tnfCEcd4x3UBrPMndGQfTLk8exwbT +CUeOY3NGndWnurvjaAK8/hkNP30cH93wtHFi5nWuxaqht556mUEubfDMcWrQ +DHj8/7l742Dc/tnj2GA64Tnj2PQyg9564vC4/PMafu44mG44ahwbTDc8fxyb +3nrqZQY+vP8F48TAtMALx7HBdMSLxrHhyXj9K53VcTQFnfASd3EcH57/qnFi ++PDul44Tg4fj2S93V8fBaqrFJlYMnxxn0Zl2lp1JtemGV4/TA8fH49/kLI2j +IeiG17tr43A63O617tI4uWywGnxyxIqxVmuyFmumOfRQ+w3j1GaD9dCblnjz +ODPAdMJbxrHBtMNbx7HRAHj/krszjs6gZd7uLo6D6Yh3jGOD8fp3jmODcf13 +jWNTiw7ojFMTpg2649hguqE3jg0vx/snzuY4PJ9OGLq748Ti/f1xcvSiZd49 +Tk8+OWLF0Ax46cxdGofz66H2aJzabLAeessRawa5uO18nBp4M578KWdvHI6M +K3/Q2R1Hc9AZy93NcXx4/9HjxPDRGe8dJ4bGoCve7+6Og9VUi02sGD45euPq +nx5nBrXphA+N0wOnN6PZPjGOrqBDPubujaMr6IyPNPzhcXLZYDX45IgVY+3W +ZC32gObQQ+2Pj1ObDdYD18RZcdXra1Y64TPjzIzz4X4/dTbG0Qh4wBfctXF0 +Ba3xOXdtHCyGj00s7nDMODl4GZ3wZXdnnLPszDqrzjQf3fCVcWJoBjz+a+7i +OBwfj/+OuzCOhqAbvunujhOL+399nBy98cAvjjMDnxyxYvTSQ209aQ491P7W +OLXZYD3Usgazq2kW2uK748wE0w3fG8eG4+P1P3d3xtlLNtie8uH5vxgnhg6h +K37obo6jM/DyHzf8o3Gwd+JdsIkVwyfHu/NOvAvv8Lp6r78cpweNgPf/zt0Z +Z1Za5/vjzExj0BW/cffGiaUDfj9OzvV1Vn41Tk2xaqolRy1rMLuaYtVUS45a +tMUfxqkJ0w1/HMcG0xJ/GsdGA+D9x7k74+gO2uYv7to4mPb66zg2mO742zg2 +mO44dhybWrTEP8apCdMRx5cNxuv/WTY8Hu//j7s8Dk+nI050tyoWbz+hcvQy +s1mPLd/KFSuGRqAVTvGuxtEIq1Xtk6r2qoX1wHFx3Uu9q5pljco101YVw3fx +OBwZVz67PWeNozNoj/+257TCdMXpZYPpijPKBuPtZ5ZNLTrgf1UTpg3OKRtM +N5xbti1rJrNcNI4moU0uaM/5FUtrnFc59oIOOrX2ZNPKOb9i1qo1nFYxW1QP +tS+s2lsUvqD2im64rPYMpiUuLxteiPctm7SzMI4OoROuas+VFUuXXFE521fM +lWUTiwdeXTk0BN1wXXuuHYdj4pprt/prTeKjC66vGDqBbrixPTeMw8vx/tvb +c9s4PJ9OuKU9N1cs3n9T5eiNi15TM+xZOTdXzG7V44bquU/1UPvWqr1P4Vuq +1i41+zU1C91xR80E0wl3lg1vxvNXbGtbYZK9ZIPtKR/ev9IkMTQJHXJPe+4e +R2Pg5fe1597C3sn9ZTu4Yu6tHGfRmXaWz6zadMTKk/SgGeiENRpefZJZaZu7 +amY8j85YrflWnSSWTlhzkhy18MBVJqkpVk215Kh1UM1+V8WqqZacR9Q7967V +9O7phnUmOQN+p/0uP7fh50zC2+mCjRveaBKdQXus1/C6k2BaZ/1JbDCdscEk +Nhjv33ASm1p0xSaT1ITphE0nscG0w2aT2HB0XH2bhreehPPj/ls2vMUksXTH +5pPk6GVms+rJJ0esGJqCjtiu4W0n0SB6qL3VJLXZYD30liPWDHJpke0nqYFD +49IHNLz/JBoBb97NXZpEk9AhOza8wyQ+3Hr3SWL46JKdJomhQeiOXRreeRKs +plpsYsXwydEbbz9wkhnUpjP2mKQHjWBGs+03icagNfwdkb8rojFojb0a3nOS +XDZYDT45YsVYuzVZiz2gcfRQe99JarPtW38P5Szhes+b5EyZldY4aJKZcV68 +70hnYRKdQUcc1vChk2gMWuOQhg+eBIvhYxNLZxw+SQ5Ohps9yN2e5Gw7s86q +M85HVzx4khiago54aMMPmUQj4P2Pdjcm0Sh0xSPcxUli6YAjJsnRm655wCQz +8NEKD5skRi891NaTJtFD7UdOUpsN1kMtazC7mmahMx4zyUww7fHYSWx4M57/ +VHdhkr1kg+0pH17/tEli6BDa5Anu5iQahBZ5krs+CfZOvAs2sWL45Hh33ol3 +4R2qTTs8fZIeNAKe/2x3bZJZ6Z7HTTIz3UGnPMvdniTWN8e3Ro5adMkzJqkp +Vk215KhlDWZXU6yaasnxbzv9G1F/J+rvRp09uuGoSc6gb6zv4l8a/vMkOobO +eZG7O4nOoD1e4C5OgsXwsYmlM148SQ7eSje8zF2ahGPijUNrn8RHW7x8khia +Aq9/pbsyCY/H+19vbyfh6XTEaxp+9SSxePurJsnRG09+ySQz8MkRK0YvPdTW +k0bQQ+3XTlKbDdYDd8VRcdM/VW1rshY9aA7a443u3iQ8GW9/l7swCWdmg98x +ie6gRd7ibkyC6Yy3TmKD6Yy3TWJTixZ49yQ1YTrhPZPYaAB7ai/7k2gONrg3 +yWx0zpsmmZFGoVW67toke2sNZrfHatMiS5P0EKumWnLUsgazqylWTbXkeLe0 +wWiSd0xD0BITd2USzof7fd67n0Qz0AnzhmeTxNIl00lyYDF8bGLpiMUkOd4L +nfA+d22S3nrqZQY+uuH9k8TQDHj8B921STg+Hv+xhj86iYagGz7s7k0Si/sf +PUnOH+scLJ9kBj45YsXopYfaetIceqj9kUlqs8F6qGUNZlfTLLTFxyeZCaYb +PjGJDcfH649xVybZSzbYnvLh+V+cJIYOoSs+7W5OojPw8s82/JlJsHfiXbCJ +FcMnx1mkI98+yZlUG2/70iQ9aAS8/+vO0iSz0jqfnGRmGoOu+Kq7NkksHfCN +SXLUwgW/PElNsWqqJUctazC7mmLVVEuO2dwxd8uMatMa35ykB0xHfGsSG86P ++//YXZpEE7DBP5xEh9A633UXJ8G02PcmscF0yPcnsalFN/xkkpowHv/TSWx4 +O57/a3djEg7PBv9yEs6Je55k7ybh7XTFL9zlSXrROT+YpKfaeP3PJukhVk21 +5NAMtMNv3b1JYtVUS45a1mytappNjlgzyqUjfjdJDTwZb/+bsz3JbwMb7DeC +D7c/dpIYOoQ2+YO7PYnmoDP+5O5Mgv3G+G1hEyuG7w+1F3j6v2tP1KYj/j5J +DxqETjjB3Z2E469Se/evSTQL7XK8u1axK5dPjlq0yXGT1Fyhav6zcqzdGsxu +D8Quq1py/Fb6DfTbd1TNSlv8p2bGefG+K5ytSXSsM+UsfXsSTUFbnNqeUyqX +bjm5aqxRMaeUTSzdcVrl0BB0w5ntOWMSzYDHn92esybh+Hj8Bc7+JBphs8Ln +TXIXzGQWd4LGoCvObc85k/DA9ar26VWbVvhf9dikap5XOevXDGfVTBtVzXMq +x+x45X9rDetUj9PLZlba48KaGWfH3a9pz9WTcP6dCl9VPjz/2orZoWL4rpxE +g9Ail7Xn0sLb1btg27pi+C6pd7VD5XpneD0ef3N7bppE52xdsRfXrHTPRTXz +lhVzcdn2qBpyb5xEw9AZN7Tn+kl0ym6FryvfHhV7Q61t1/JdW7PQFrfUTDQD +7XDbJP+G7fdPbvemPfMj22/kkdE4dMNd7blzEt1B29zRntsLH1C+OyoWT727 +cmgG2uG+9tw7CWfEHbeetnVO46Mj7q8YnB4PX6H5lk3D+fH61RpedRoNgdev +3PBK08Ti7itOk6M3rnxPzcAnR6yYw6qH2nrSCXqovco0tdlgPdxRd/XDDX9o +mtqH1Fr0oFHoiDWbb41pODvuvlHDG07Dm9ngDabRIXTFOg2vPQ2mK9adxgbj +7etNY1OLLth4mpowrbDJNLan157ayy2n0QRs8BbTzEbrrDXNjHQKXbJ5w5tN +s7e00+rT7LHadMym0/QQq6ZactSyBrOrKVZNteR4t3TDNtO8YzqCztiu4W2n +4Xi43uHexTQalU7YseEdpomlTbafJgcWw8cm1ndyp2lyaARaYdeGd5mmt556 +mYGPbthtmhgcHs/eo+Hdp+H4ePx+De87jWbA4/dueK9pYnHzPafJ0du3eudp +ZuCTI1aMXnqorSddoIfa+0xTmw3WQy1rMLuaZqEt9p9mJphuOGAaG06Pxz/Q +2Z9mL9lge8qHVz1omhg6BA8/2N2Yhpfj7Yc2fMg02DvxLtjEiuGT4yzSfetP +cybVxosePE0PmgGvf3jDD5tmVlrnwGlmplPojCPc5Wli8fpHTJOjFq71kGlq +ilVTLTlqWYPZ1RSrplpyPjtrXLM9L5u3dzHPrO6cu2ZmOoLOeJSzOw3nx/2f +7C5NownY4CdOo3Non8e6i9NguuNx09hgOuDx09jUohuOnKYmjMc/ZRob3o7n +P8vdmIbDs8HPmIZz4p6vtXfT8Ha64unu8jS9aI8nTNNTbbz+qdP0EKumWnJo +Btrh2e7eNLFqqiVHLzz4ddP0VNseWLsecumI50xTA0/G21/sbE/DmdngF06j +O2iR57nL02A646hpbDCd8fxpbGrRAi+ZpiZMJ7x0GhsNgLe/2l2YRnOwwa+c +xmfP7JUYGoVWeYW7Nc1e2wNrt+dq0yIvm6aHWDXVkmOt1mB2axarplpy7BXt +8Ppp9gyHw+VmztI0nJYNnkyja50hZ+fR02gGOuFN7to0teiUN0xTExbDxyYW +j3rzNDk0Al3wdndvGs6OZ7/TXZiGs+PhXWd9Go3ABi9Nc/bNZBZ3gC6hK97j +7k7DI/VQ+63T1Mbl3zVND7FqqiXHLGbQ20xi1VRLjtlxwbdMswZYD7XZzEo7 +9KaZGU/H25c729NwdjZ4Po0Pt3/vNDH2Xgyfd0Bj0Bpjd2Ua7J14F2x0kRi+ +4TTfHt8E3wLfIJzdb7zf9qOn0T1yxA6mmZUO6k8zMyyGj42GUEPuB6fRMXTL +B9z1aTQNG/y+aXxyxIqxNjF81ohr0A0fmYZz0BF0xsfcrWm+qb6Dd7Xnzml0 +Cl3zyYY/MU0sbfLxaXJgMXxsYumKT02TQxPQBp91F6fhibjkL611Gh+d8Llp +YnBuPPsL7t40HB4v/2rDX5mG5+PtX3KXp4nFzY+ZJkdvuuTT08zAJ0esGL30 +UFtPOkAPtb88TW02WA97ZY/sjT1T25qsRQ+6ga74urs3DU/H23/gLk3Dw9ng +702ja+iGb7mL02C8/NvT2GC8/DvT2NSiBX44TU0Y7//RNDac257ay59PozHY +4J9NMxvt841pZqQ7aJOfusvT7K01mN0eq01b/HiaHmLVVEuOWtZgdjXFqqmW +HO+WLvjVNO+YTqAtfuMuTcPpcLvTvItpdML/0wUN/26aWLrht9PkwGL42MTS +En+YJocmoA3+7C5P01tPvczARyf8ZZoYnB2v/pu7NA2nx9v/6V1PoxHw9uPc +3WlicfFjp8nRmy754zQz8MkRK0YvPdTWc1n1UPsf09ReVlgPtazB7H+sWWiJ +E2ommE74V9lweLz9dGe/9nKdwqeVD486o2LoDrz73+05aRoNgaef0p6TC69V +7+KUisXV/1M5ziKt+N1pzqTaeM+Z1YNGwOPPdRdqVtrmxJqZLqEr/teesysW +jz+vctTCpc6qmhtVzXMqZ5Vaw0lVc4OqeXblmM0dc7e+W7VphfOrB0xXXFA2 +HB/Xv7I9V0yjAbYvfPk0uobWudjaC9MZl5QNxvsvLZtadMJVVRPG268uG56O +19/Ynhum4ey7F75+Go6Ja67aOOYqs/B0OuK69lxbvWiNy6qn2nj8NdVj16p5 +feXQCLTCze25qWJ3qVrXVK3taq2X1Wx7VuyNlUs33FI18GI8/Z723D3Nb8NB +he8qHy5/b8XQHbTIbe25dRqNQVfc0Z7bC/uNubNs+1bM7ZVjL/Dy1WbZE7Xp +hvuqB81BF6zUfCvOwuntob1beRaNQqus0PCyWWLF8MlRixa5v2o+oGqqJWfv +WsOttQdi1VRLDq7pz4lfMA3nNCstsfosM+O0eN72DW83i67dss7ShdNwAtxg +reZbc5ZcOmWNWWo8vGL42MTiVWvPkkMj0AXrN7zeLJwdr96w4Q1m4ex4+OYN +bzaLRmCDN53lLmxRs7gTdAldsUnzbTwLr9RD7XVnqY27bzRLD7FqqiXHLGbQ +20xPrJpqyTE7brjOLGuA9VCbzay0wxazzHxU7evODe80C8dng3ecxWfvd5kl +Bq8Ww7fDLJwcN9+24W1mwd6Jd8FGF4nh23qWd6WGXO8Mh8fL92p4z1l0jxyx +W80yKx205Swzw2L42GgMNeTuMYuOoUN2b3i3WTQNG7zrLD45YsVYmxg+a3TW +aKIX1rrNRjvsPcuMMJ2xzyw2HB/XP9TdmUUDsMEHz6Jz6Jr9G95vFkzrHDCL +DabbDpzFphadcNgsNWG8+/BZbHg6Hv/Qhh8yC2dngx88C+/ETZ9hr2bh6bTA +gxp+4Cy96J6DZumpNh7/gFl6iFVTLTk0AC3wsIaPmCVWTbXk6IX3PnOWnmrb +A2vXQy6d8fBZauDhuPvjneVZeDcb/NhZdAmt88iGHzELpiseNYsNxtsfPYtN +LbrgCbPUhGmFJ85iozHw8Kc5+7PoEDb4KbP47Jm9EkOD0CJHunuz7LU9sHZ7 +rjZt+KRZeohVUy051moNZrdmsWqqJcde0QrPmmXPcES87o3O0iy8kA1+/Swc +D9dbUnsWXUNHPKfhZ89Si1b5v1lqwmL42MTi0c+dJYdmoB1e4G7Pohnw9he5 +K7PwdLz+Fc76LJydDX7ZLN9k3+Z/tOe4WXg5HfFSd3EWjaKH2kfNUhtvf/Es +PcSqqZYcs5hBbzOJVVMtOWanBZ43yxpgPdRmMyud8cpZZsbp8fi3ONuz8GY2 ++E2z+PCqt84SY+/F8HkHdAle/jp3ZRbsnXgXbHSKGL7XzHIW6cbHzHImaQQ8 +/t3uziyaRo7YV88yK230qllmhsXwsdEcash95yw8i5Z5h7s+C+dig982i0+O +WDHWJobPGs3mjrlbZjSbM+UsmdHZojM6s5wxGgDvn7hLs/B6Nng0iy6hdXru +4iyYFurPYoPpkMEsNrVoieksNWE6YjaLDWfH3d/f8Ptm0Qxs8Htn4ah455ft +5SycHXdf7i7P0ovuGM7SU206YT5LD7FqqiWHpqAbPuguzhKrplpy1LJma1XT +bHLEmlEu7XH0LDVwZFz5k87yLBycDfbfsOHD6z81SwwNQot82F2eRafQHR91 +1mfBdIf/9g2bWDF8cuwFLv6VWfZEbdrh07P0oEvokGPcjVk0gz20d1+cRXfQ +LZ+f5c9ZxYrhk0Oj0CX+HPYzs8SqqZYca7cGs9sDsWqqJce3Aa87fpZvhFnp +iq/OMjPei+f+1lmb5ew5U86SM0ij0BHfaPjrs+TSJl+bpQYsho9NLJ3xzVly +8D664bvuwiyaAY//vrs0i0bA43/q7M+iIdjgH8/CUX3jfNv+Pgtvp1V+5G7P +wuP0UPvbs9TG638wSw+xaqolxyxm0NtMYtVUS47Z8cJvzbIGWA+12cxKR/xs +lplxdtz9j87uLLyZDf79LD48/0+zxODUYvh+N4sOojt+7azPgr0T74KNrhHD +98tZ3pUacr0zmsAe2ZtjZ9FAcsT+YpZZaZ+fzzIzLIaPjWZRQ+7fZtE0tM1f +G/7LLDqFDf7zLD45YsVYmxg+a/R3nfvVnxH4swJnj7b4Z51B31jf1f3mLXYe +jofrXWe2WXQKLXOiu1u5dMsJVWOlivlX2cTSSSdVDs1AO5zi7syiGfDu09y1 +WXg6Hn92e86ahbNvUPjMWf7cGkfEDfedhafTAme05/RZNMoaVfs/VRuP/2/1 +WK9qnlk5a9YMp9ZM61TN0yvH2nHh62sPrIWO+netyax0xP9qZrwdj7+sPZfO +wsu3KXzJLDx868IXz6JTaJ/z23NeYTrjgrKpRRtcXjVpil3qXVwzi+7YufDV +s2ieTavWuTUbrXNOzbhxxZxbttVqz/5Ta6IzaJWr2nPlLJpjh8JXlG+n6nVV +zbZ9+cxII9AKN7bnhlk4HW63ZjtLa8zDadng1efZ290r1h7TDHTCLe25uWrR +KjdVzT0r5uayiaUtbq0cGoEuuLM9d8zC2fHqu9tz1yycHQ9fofVeNo9GYIPv +n0WrOGP7Vl26hK64rz33znLu9q/at1dt3P2e6iFWzfsr54Ca4a6a6eCqeW/l +6KHXbbWGfavH7WUzK+2w4jwz4/B40zoNrz0Px2eD15rHh7esO0+MvRfD5x3g +5Lj5ag2vOg/2TrwLNrpIDN8q85xFOvLCWc4kDo+Xb9x8G82je+SIXXmeWemg +leaZGRbDx/aEqiF3w3l0DB2yQcPrz8O72OD15vHJESvG2sTwWaPZ6NqLakbY +Hbu4bGalJTaZZ2Y8H6/fvuHt5uH8bPC282gCNnibeXQPnbOFvZgH0z5bzmNT +C8/eYZ6aeDnevlvDu87D0dngXebh6Lj6Hg3vPg8vxUMfYi/n4aFs8IPm6UUn +bjVPTzyeVti54Z3m4fRs8I7z+PTUS4zZxPCZUS06aut5asLWbK1sZjej2awB +b8fjD2r4wHl4ORt8wDw+XP/geWLwcjF8+8+jg+iMfRreex7sN8ZvCxtdI4Zv +r3n2Ajd/6Dx7QnPg5Q9s+AHzaCA5YvecZy/ZYHsq1h7aOzl0jBpyD59Hs9Au +hzV86Dy6kQ0+ZB6fHLFirE0MnzX6bbRGa/MbidPhds92lubhvXjus+ztPBwf +r3+MuzmP7nWmnKXN5tEUtMXDfRvnWTstc8Q8ewCL4WPD2fHsx7kr83B2PPzI +hp88j0Zgg580T285Ys3gLtDdm85zJ2AzmYXNLHTNI+aZiY6hQ57o7s7D89ng +x8/j01MvMWYTw2dGPNUeWPuj5qmNtz5ynh6wGD42HB6XP8rZnofHs8HPnYdj +483+rcNL5uH4YvieM48Oojue4e7Mg70T74LNu5Ij1jvzrrxD7847owlogxe7 +C/NoEDb4hfPsNe3zlHn2HKaTnjqPjW4yg95Pm8fHBouxNjrn+fOsEaZ9XjCP +DdZTLzb/rU//TU//nRz/bR66ga54+Tz/5uOBi3bW27PS8va9XR7dQue8xl2c +R6PQKq9q+JXzYDF8bGLpjNfOk0Mj0ApvcPbn4Y245fusbR4f3fDGeWJwcLz7 +ze7OPJweT3+nuzwP78fj3+ZuzhOLq79lnhy96ZTXzTMDnxyxYvTSQ2096QI9 +1H77PLXZ4LfVHXaX72vPvfPUtiZr0YOOoDPe7W7Nw9vx+JGzOw8vZ4MH8+gc +OqLj7M6D8fTuPDYYT+/NY1OLNhjPUxOmAybz2HBwe2ovl8+jOdjgxTyz0ULv +mWdGOoRWmbvr8+ytNZjdHqtNa0zn6SFWTbXkqGUNZldTrJpqyfFu6YT3z/OO +6QZa44MNf2AenohLfsO7n0fn0akfdpfmiaVtjp4nBxbDxyaWrvjIPDk0AW3w +cXdhnt566mUGPjrhE/PE4Nh46afcxXk4PF7+hYY/Pw/Px9s/2/Bn5onFZT89 +T47edMlH55mBT45YMXrpobaedIAean9untpssB5qWYPZ1TQLrXDMPDPBdMUX +57Hh8HjTt9yVefaSDbanfHjLt+eJoXPoiK+4m/Nwdjz9a87yPNg78S7YxIrh +k+Ms0o79ec6k2njQd+bpQUPg6T90luaZlRb60jwz0yW0yvfdtXlicfsfzZOj +Fm713XlqilVTLTlqWYPZ1RSrplpyfLv82cgr5vmGmdWdc9fMTGfQFT9xdufh ++Lj+b92leTQAG/zreXQPLfRzd3EeTKf9Yh4bTFf8ch6bWnTC7+apCePdv5/H +hqfj8X91N+bh7Gzwn+fhnbjpmfZuHp5OC/zJXZ6nFy3zq3l6qo3H/2GeHmLV +VEsODUALHOvuzROrplp/qF5471nVU217YO16yKUz/j5PDTwcdz/J2Z6Hd69S ++F/z6BJa5x/tOW4eTFccXzYYb/9n2dSiC/5dNWFa4T9lozHw8NPdhXl0yDqF +TyvferVXYmgQWuTU9pwyz17bA2v/a9WmDU+uHmtVzdMqx1qtwex/r9g1q9bJ +tVe0wtm1Z3ghrnizszQPR9yz8I3z6FhnyNn56TwaAS86tz3nVC1a5X9Vc6OK +OadsYvGm8yqHJsDTL2rPhfNwbLz6kvZcPA8Hx8Wvas+V82iGHQpfMc/ZN5NZ +3AE6hDa5vD2XzcMbt6jaF1Rt3P3S6rFd1byicrasGS6umbapmpdVjtlxv/Nr +DZtVjwvKZlba4uqaGWfH3W9rz63z8PZ9Ct9SPrz49orZq2JuqXdAU9AWN7Tn ++sJ71Ltg27Vi+K6b59vjm+Bb4BuEg/uN99t+zzw6Z9eKvbZmpXuuqZl3rphr +y3ZQ1ZB79zw6hZa5qz13zsPrDyh8R/kOqti7am37l88acQ064f55OAfdQGus +0DjZskW+qb6Dr274VYvoEtpuZZxtkVg6ZcVFcmAxfGxi6aBVFsmhAWiB1Rte +bRHOiCfu0vDOi/jw9jUWicGx8eq1Gl5zEU6Om2/Q8PqL8HK6YN2G11kkFhdf +e5EcvemQVReZgU+OWDF66aG2nnSGHmqvt0htNliPw2qP7M39VduarEUPuoKO +2KjhDRfh7Lj71g1vtQivZoO3XETn4OGbNrzJIhgP32wRG4x3b76ITS08f5tF +asK4/7aL2HBye2ovd1pEd7DBOy4yG62z8SIz0hG0xw4Nb7/I3lqD2e2x2nTG +dov0EKumWnLUsgazqylWTbXkeLe0wK6LvGM6hDbZveHdFuF0uN0jvItFNIHf +1b0b3msRjUQr7dnwHotgMXxsYv0W77NIDk1AG+zf8H6L9NZTLzPw0QkHLBKD +s+PVBzV84CKcHm9/QMOHL6IR8PZDGz5kkVhc/OBFcvSmS/ZdZAY+OWLF6KWH +2nrSAXqofdgitdlgPdSyBrOraRZagk4yE0wn0ExsODze/ihnf5G9ZIPtKR8e +9ehFYugOvPuh7sYiPBxPf5i7uQj2TrwLNrFi+OQ4i7TgFoucSbXxnscs0oNG +wOOf6C4sMitt8+BFZqZL6IrHu8uLxOLxT1okRy1c6rGL1BSrplpy1LIGs6sp +Vk215JjNHXO3zKg2rfDkRXrAdMWRi9hwfFz/2c76IhqADX7WIrqG1nmatS+C +6YynL2KD8f5nLGJTi054ziI1Ybz9uYvY8HS8/kXO5iKcnQ1+wSIcE9d8u71b +hKfTEc93txfpRWs8c5GeauPxz1ukh1g11ZJDI9AKL2n4xYvEqqmWHLWs2VrV +NJscsWaUSze8dJEaeDGe/lpne5HfBjbYbwQfLv+6RWLoDlrk5e72IhqDrnil +u7MI9hvjt4VNrBg+OfYCL3/HInuiNt3w+kV60Bx0wVvc3UU4vT20d29dRKPQ +Km9y1xaJFcMnRy1a5A2L1BSrplpyrN0azG4PxKqplhxc058LnzAP5zQrLfHO +RWbGafG8o52tRXStM+UsPWURToAbvMfdWySXTnnXIjVgMXxsYvGqpUVyaAS6 +oO8uLsLZ8eqhu7EIZ8fD587+IhqBDZ4uchfMZBZ3gi6hKybu8iK8Ug+1u4vU +xt1Hi/QQq6ZacsxiBr3NJFZNteSYHTfsLLIGWA+12cxKOywWmfn42tePOuuL +cHw2+MOL+Oz9xxaJwavF8H1oEU6Om3/A3VkEeyfeBRtdJIbvfYu8KzXkemc4 +PF7+GXdpEd0jR+x7F5mVDlq+yMywGD42GkMNuZ9aRMfQIZ909xfRNGzwxxfx +yRErxtrE8Fmjs7Zy2U6o2WiHzy4yI0xnfG4RG46P63/N3VlEA7DBX1lE59A1 +x7ibi2Ba54uL2GC67UuL2NSiE76+SE0Y7/7GIjY8HY//XsPfXYSzs8HfWYR3 +4qZ/tleL8HRa4Nvu8iK96J4vL9JTbTz+m4v0EKumWnJoAFrgBw1/f5FYNdWS +oxfe+5dFeqptD6xdD7l0xg8XqYGH4+6/dJYX4d1s8M8X0SW0zo/d3UUwXfGT +RWww3v7TRWxq0QW/WqQmTCv8ehEbjYGH/9HZX0SHsMG/X8Rnz+yVGBqEFvmd +u7fIXtsDa7fnatOGv1mkh1g11ZJjrdZgdmsWq6ZacuwVrfDXRfYMR8TrznGW +FuGFGxU+exGOh+tdofYiuoaO+HvDxy5Si1b52yI1YTF8bGLx6OMWyaEZaIcT +2vPPRTQD3n6iu7IIT8frT3XWF+HsaxY+eZFvsm/zocsbB1seXk5H/MddXESj +rFi1j6/aePtJ1WP1qnly5axUM/yrZhK7WtWSY3Za4B+LrGFZ9Ti+bGalM06r +mXF6PP58Z3sR3rxp4XPLh1ddUDEbV8y59Q7oErz8rPacWXjDehds61UM3xmL +nEW68WeLnEkaAY+/rD2XLqJp1qvY02tW2ui/NfM6FXN62bauGnIvWYRn0TIX +t+eiRTjXloUvLN/WFXtxrW2L8lmj2dwxd+tnNZszdXnN6GzRGVcucsZoALz/ +pvbcuAivpyVuaM/1i+gSWufq9lxVmBa6pmwwHXJt2dSiJW6umjAdcUvZcHbc +/a723LmIZjig8B2LcFS8c7121tZdHs6Ou9/entuqF91xXfVUm064tXrsVzXv +qByagm64pz13V+y+VevWqrVbrfW6mu2gir2rcmmPe6sGjowrr9JmW3l5ODgb +7O9c+PD6VZcnhgahRe5vz32L6BS6Y4XmW7Y8mO7wdzVsh1UM3/21F7j4+suz +J2rTDqstTw+6hA5Zu+G1lkcz2EN7t87y6A66Zc2G11ieWDF8ctSiS1Zfnppi +1VRLjrUfWrPfW7FqqiXHtwGvO2x5vhFmpSs2WJ6Z8V48d4+Gd1+es7djnSVn +kEahIzZuvo2WJ5c22XB5ajyhYvjYxNIZmyxPDt5HN2zR8ObLoxnw+K0a3nJ5 +NAIev0PD2y+PhmCDt1sejuob59t28PLwdlpl24a3WR4ep4famy1Pbbx+6+Xp +IVZNteSYxQx6m0msmmrJMTteuOnyrAHWQ202s9IROy7PzDg77r5Pw3svD29m +g/daHh+e//+xdJfR91bV2sfp7u7ubsFWPHY3dnd3Y7u7N6JiYnc3JoqKiCIo +jXSnNAJnfcY1X9xjzDPXrLXue+3f9f1znufs10kMTS3G2l6dcBDu2L3Zu3Vi +eyfeBR+uEWNt107elRpyvTNM4IyczcGdMJAcsbt0Miv22bmTmdlirPFhFjXk +HtQJ02CbA5t9QCecwsfev5M1OWLF2JsYa/bovxX4b5i43r8V+PawxeGdfIN+ +Y/2ufrrZx3Wi8Wi955itE07BMvdr9n07ycUtR3RSgy3GGp9YnHT/TnIwA3Z4 +sLvTCTPQ3Q911zrR6XT8I5v9iE40Ox/74Z38uzWNSBse97HodCzwf+5mJ4yi +h9oP7KQ2HX9kJz3EqqmWHLOYQW8zvbtqqiXH3mnh53ZyBvaCox7QyZ7MiiMe +1cnMdDsd/1Tfeie6nI/95E50OB/7SZ1wCvZ5nLvZiY0zHt+JTy1s8LROamIK +78S7eFYn3MHHPqoT5lFTrcd0MhvWeXQnM7LFWOOzF2fmrOwJZ2CVZ7rrnTAH +H/vpnazpqZcYs4mxZkaMgBWe7+50oulou3d4951oWj722zo5WzlinTFmwAkv +cpc6qYVVXtBJTbYYa3xiscWLO8nBCLjg5b79TjQ7Xf1Kd6cTzU6Hv77Zr+uE +EfjYr+2EVXxjx1VdXIIrXuMud/Ld6aH2SzupTbu/qpMeYtVUS45ZzKC3mb5Q +NdWS86n6jl/SyR7YeqjNZ1bs8IZOZqbh6aZ3+7Y70fh87Hd2ska3vKeTGGcv +xpp3QJPT5m/1LXdieyfeBR8uEmPtzZ18izjyCZ18kzQ8Xf5B31Yn3CNH7Js6 +mRUHvbGTmdlirPFhDDXkvr8TjsEhR7vrneguPvZ7O1mTI1aMvYmxZo9mw7VP +7GRGtjvmbvGZFUt8qJOZ6Xy6fuTb7UTz87EHnTABH7vfCffgnI85i05s7NPp +xKcWnT3upCZdTrcvm73oRKPzseedaHRa/ePuSie6lA79hrPsRIfysb/WSS+c +2O2kJx2PFWbufieano896WRNT73EmE2MNTOqhaN6ndRk27O98pndjGazB7qd +jv+8u9SJLudjf7aTNVr/C53E0OVirH2mEw7CGZ9yVzux/Y3xt4UP14ix9olO +zoI2/2YnZ4I56PKvugudMJAcscd2cpZ8bGcq1hk6Ozk4Rg25X+6EWbDLl9zV +TriRj318J2tyxIqxNzHW7NHfRnu0N38jaTra7o++rU50L517om+pE41P1//Q +3eyEe31TvqWPdMIU2OI7zf52J3vHMt/q5AzYYqzx0ex09o/dlU40Ox1+QrN/ +2Qkj8LF/0UlvOWLN4C7g7g93cifYZjILn1lwzXc7mQnT4JCfu/ud6Hxs81N3 +s5M1PfUSYzYx1sxIpzoDe/9+J7Xp1u910oMtxhofDU/L/8W33omOX7HsP3Wi +senmv3v3nWj8FWrtpE44CHf8zrfeie2deBd83pUcsd6Zd+Udenfe2WrVQ+2/ +dcIgq5V9SidnjX1+1cmZs3HSrzvx4SYz6P2bTtb42L+uveGck2uPbOzz1/Kt +Uj1PKZ+9YoV/1J7ZuOK08rGxxj/Lh0mwyRntOb1sLPOv8mEgXHFme/7dCRNg +g3N867WGG86qmPUq599Vg46kNW+3t8rFEedWDZqcDj+/PeeVTZtfUD42FvhP ++TasGc6unjQ/7X9Rey4sGydcXD42DrikfJtUz/Nqhi2qxoXVQyx2uLRyMAbW +uLw9l3Wiw2n369pzbSdcgxuubM8VZdPlV5WPTZdfXT42rX5N+dTCBddXTTZW +uKF8bFxwY/n2qzN1lrd2wh3Y5Ob2/LdiscRNlbNt7eGy2tNelfPfitm+9nBF +7XHf6qH2LVV737L18Lvmt+813aZJunm3uOGOesc4Anvc1Z47y8Yy/ysfG/vd +XT4MiyPubc89nTAAFlip1V6xmzWcsEI3MYdWzj1V48DqeWfNIBc3rNxNDZqb +Tl212at0Y9Ouq3XjY9P+q3fjO7xm0FtPGp/WX7PZa3Rj44K1uvGx6f61u/Hp +radeZrCGDdbpJoaNI9btxsfGFut146PD6fhNm71JNxyDEzZo9vrdrNFVm3UT +Y41O37CbGBqdVt+42Rt1Y6upFp9YMdbk+BZ909fWN6k2XbR5Nz1odFp9u2Zv +2w2HYJOtm71VN5qJdtqy2Vt0k8vHVsOaHLFi7NWe7MWeMYoeam/TTW0+th7e +jXfg7L0js2CL7buZCVNgix2bvUM3mp/O36PZu3fDOdhn52bv1I2Ny3bpxsfG +Ebt242Nji9268amFHfbspiYbN+zVjY9Nh+/djY9up+sPdDe60eW0/37N3reb +WDp9n25y9DKzWfW0JkesGJqf9j+42Qd1wwR6qL1/N7X52HrQubTww31b3cyi +hlwz0cBirD2sG51Ni9+v2ffthkOwzWHNPrQbG0fcpxsfm04/vBsfm04/ohuf +Wljg/t3UZOOEB3TjY2OHB3bjwxxmMsuR3TAH9niIu9dNLPZ7UDc5zgInHdLN +mViTI1aMNXswuxico4faD+2mNh9bD2eFEx7RzZmxscMju/HRibTjC30L3TAB +HfQYd62bWGzyqG5y2GKs8Ymlkx7bTQ4dhwOe0OzHd/Pt+kZ9m75ha3T7E7uJ +obnp7Ce7S91octr8mc1+RjfcgUWe5i51E0ubP6WbHL1ptcd1M4M1OWLF6KWH +2npiEj3Ufno3tfnYeqhlD2ZX0yxY4qhuZmLjiGd146PT6fYXuyvdnCUf25la +o+1f0k0M7sERz3U3u+EKOv357ko3tnfiXfCJFWNNjt8Gvwl+C/xGqE13v7Sb +HpiDLn+Vu9HNrNjn2d3MjEOwyivctW5i/c3zt06OWrT8y7qpKVZNteSoZQ9m +V1OsmmrJeVuvfbPteVC/fc/9/C3FDa/t5m8qjsAer3f3urFxyRu68bGxyhu7 +8eE+3PNmd7kbzU/7v81d62YNF7ylmxi5csSqQUPSjQuzd5NLx7+9mxo0N539 +TnezG5v2flc3Pjat/u5ufHqZQW89MQSWeG+z39ONTee/rxsfm/Y/uhuf3nrq +ZQa11ZCrh1gs8f5ucjAElviQu9mNjqbbe+5ON1xDd3/EXejGprs/2o2PTWd/ +rBsfm/budONTCwv0u6nJpvsH3fjYWGDYjY9Gd6bOctYNN2CNibvbTSyOGHWT +g5Hswewf6GZNjlgx9mYPZrdHXKOH2tNuavOx9fAt+YZ8O74p7xYbLLt5x7gE +q3zc3erGxgnHduNj44RPdOPDCFjhU+5yN0xA13/GXehmDTcc102MXDli1dBb +T73MIBcbfLabGpiBjv+8u9SNTdt/oRsfGycc341PLzPorScNT8t/qdlf7MbG +DV/uxsfGDV/pxqe3nnqZwRrd/9VuYthY4Gvd+Ng44uvd+Ohkuv577kY3TIET +vumudbNG53+/mxhrdPe3uomhw+ns77hr3dhqqsUnVow1Ob5F37Rv2TepNm74 +QTc9aHw6/ue+pW4YAjf8xN3qRtPRdj9yF7vJ5WOrYU2OWDH2ak/2Ys+YQw+1 +f9pNbT62HnpjiV90MwMbJ/yyGx8bO5zQjQ8D0P0nukvdcAaW+bW72I2NI37T +jY9N1/+2Gx+b1v9dNz61cMAfuqnJxgZ/7MbHxg0ndeOjy+n+U9yNbnQ+TviL +u9VNLN3/p25y9DKzWfVcoXL+XDGYgS491d3qRvOvUrVPrtorl/2X6r1axZ5S +ubTt36sG3UwnX+hb7EYj08r/dne6YQ6ccVp7/lFrdP+ZFWMNZ/yzYjAGrjij +PaeXvV7VOqNi16m1f1ZvWv2imkFtnHBW9di8ZjTbBd1wBQ45rz3ndsMVOOOc +9pxduRuVfVatbVKx59Te16y9OIPNqofa51ftzcrWg9akWWnVI2pWnHBxzUzz +0X53+za6YQQ64PL2XNYNV2CNS9tzSdnb1dqlFUs7XFE5dBlOuLo9V3XzLftm +fasn1BpuuKZiMAMdf117ru1G49PxN7fnv90wBG64sT03VCztf33l6E0HXlkz +7F45N1TMLtXj2uq5V/VQ+6aqvVfZN1atnWr2K2sWbHFLzcTGDbeWj8an6+9t +zz11loeVfXet0fkr9BKDQ3DFHe25vRvOoMvvas+dZR9S7+Kuij2o1u6od7dN +vYuLq7b3umIvPTAC3b9as1ftZVasc1vNjDFwxSptbeVeYnHA6r3kqOVbWamX +mmLVVEvO/rWH26vmfaumWnL87fE3xt+W46s21lijlx4YAkus1ew1e2EAun/D +Zm/QC3dgm3WavXYvNvZatxcfG3es14uPjTvW78WnFpbYqJeabByxcS8+Nl2/ +SS8+Op7u36rZW/ai03HE5s3erJdYun3TXnL0MrNZ9bQmR6wYjIAVtmn21r0w +gh5qb9FLbT62HjQurbu/d9XLLGrINRPNLMbavr1oZFp5l2bv3AtnYI/tm71d +Lzau2KEXHxtX7NiLj02379SLTy0csGsvNdnYYLdefGzcsHsvPkxgJrPs0wuT +YJO9mr1nL7FYY49ecpwFDtq2lzOxJkesGGv2YHYxmEYPtffupTYfWw9nhRsO +6OXM2FjiwF58dCHd9xjvvhcOwQmHNPvgXmJxyUG95LDFWOMTSwce2ksOhsAN +hzf7Pr1oTFrzGc6+lzVccEQvMTgBN9yv2fftRZfT/Q9p9oN70fk44YHuai+x +dP/9e8nRmxY9rJcZrMkRK0YvPdTWk+bXQ+0H9VKbj62HWvZgdjXNgjse2stM +bJxwZC8+upnOf5xvv5ez5GM7U2t0/+N7icEkOOTh7mYvjIE1Humu9KLRvRPv +4lG9xIqxJse36Jv2Lfsm1cYRT+ilB2bACU91t3qZFds8rJeZ6Tyc8WR3uZdY +nPC0XnLUogOf2EtNsWqqJUctezC7mmLVVEuOv7n+9n6x2cf3Uts34N3r4e+0 +GGtf6EW344Lnuzu9cAb2eJa714uNdZ7di4+NM57Ti49N9z+3F59auOIFvdRk +44QX9uJjY4cX9eKj0Wn1V7grvWh+2v+l7m4vsbjjxb3k6GVms+ppTY5YMZgC +R7zK3emFQfRQ+2W91OZj60En08Xvdba9zKKGXDPR0GKsvbsXRqCb3+Tb7uXv +Bg55rbvYi41DXteLj407Xt+Lj4073tCLTy1a/M291GTjiLf04mPjhLf24sME +ZjLLu3phCmzxjl7+3UEstvDvEHKchb9rr+7lTKzJESvGmj2YXQym0UPtd/ZS +m4+th7PCEu/r5czYOOHoXnw0Lp13rG+hF67ADR90t3qJxRbv7yWHLcYan1hc +8aFecmgwWuyj7nIvDOwb9W0+s5c1HPGxXmIwBG7ouhu9MAGdP272qBedjyMG +7movsXR/r5ccvXHMh3uZwZocsWL00kNtPTGIHmoPe6nNx9ZDLXswu5pmwRWT +XmZiY41pLz46ma7/pLvSy1nysZ2pNTr+U73E4A4ssnA3e2EO7HGMu9KL7Z14 +F3xixViT42+DM3WW/kaojRWO66UHJqDrP+9u9DIrzpn1MjPOwCWfddd6ifUb +47dFjlo45NO91BSrplpy1LIHs6spVk215PgtwwVf6uU3jY0VvtyLz2+q38F1 ++k1/9cMtuOZrzffVXmKxxld6yWGLscYnFld8vZccOhUnfMvd60VT0ol/sfde +1rDEt3uJwRB0/HfdxV50O53/Y3elF12OG37g7vYSS6d/r5ccvenib/QygzU5 +YsXopYfaemICPdT+YS+1+dh60Ko0KS1Ks6ptT/aiB8bAGj91F3vRxXT6b92d +XjQyH/vXvXAG9viFu9mLjSt+2YuPjStO6MWnFu3/u15qsnHB73vxrVBn6iz/ +1AtjrFD2Sb3Mhmt+1suMmASb/NFd6+Vs7cHszlht7HFiLz3EqqmWHLXswexq +ilVTrRPr3WKBk+sdYwbscIq70ovGo/Uu8+57YQJs8Pf2nFqxOORvlbNaxZxa +PrG44R+V473ggtPb88/qvUr1OrnWcMIZFYMR6PZ/t+dfvWh6uv08d6MXZsAJ +Z7fnrIql9c+snDXrOzitZtigcs6qmHWrx7+q58bVQ+1zqvbGZZ9dtdau2U+r +WbDE+TUTGydcUD6ano6/oj2X11luX/ZltUbXX1kxuANHXNSeC3vhCjr8kvZc +XPa29S4uqdita02ObxE3/qqXb1JtOu2q6oEJ6Pzr23NdzYpt/lMzYwoccW17 +rqlYuv+GylGL9ru6au5aNa+rnC1qDxdWzZ2r5jWVYzZ3zN36VdXGFjdWDzZu +uKl8ND6tf1d77uyFAQ4q+45euAPb3NKem8vGXreWj407biufWjjhf1WTTbff +XT46na5fuf2WrtSPZudjr9iPxqQ1t2z2Fv3odByxQrPvrV645vbqqTYdf0/1 +EKumWnIwAlZYtdmr9BOr5r2Vc0Dt+Y6qaTY5Ys0oFzes1k8NuphOX6/Z6/bz +t4GP7W+ENVp+/X5icAcWWaPZq/fDGLhirWav2Y/tb4y/LXxixViT4yzo8q36 +ORO1scQG/fTAHLhgs2Zv2o+md4bObvN+GAWrbNLsjfuJFWNNDgbBIhs1e8N+ +YtVUS46924PZnYFYNdWS498y/BuG34E1alYssXU/M9OEdN/BzT6oH27dp76l +//bCDNhhu7a2bT+5OGWbfmo8tWKs8YmlI7bvJwcz4ISdm71TP4xAt+/a7F36 +0fR0+97N3qsfJuBj79nPXdi7ZnEnMAWO2KOt7d6PDtRD7R37qY0Nduunh1g1 +1ZJjFjPobabnVU215JidFtqhnz2w9VCbz6xYY59+Zqbp6fj7+Hb70fx87EP7 +WaP1D+8nhs4WY+2QfhgDaxzY7AP6sb0T74IPt4ixtn8/70oNud4ZjU/H+2+N +D+iHa+SI3a+fWXHOvv3MzBZjjQ9TqCH3/v0wCO64X7Pv2w/j8LGP6GdNjlgx +9ibGmj3+etq0Q3s6s6aPZ+EM3PDgfv6bKI7AFg911/qxscyR/fjYWOth/fgw +Bq54uLvdDyNghUc1+5H9rOGIR/QTI1eOWDVoSFryZfbeTy6ueHQ/NWh8uvyx +7mI/Nq3+uH58bFzx+H58eplBbz0xABZ4orvdj40jntSPj40bntyPT2899TKD +2mrI1UMs1nhKPzkYAks8zV3rRyfT7c/xLffDHTjiGe5ePzaOeGY/PjadflQ/ +Pjad/ax+fGphgef2U5ONE57Xj4+NHZ7fj4+Gd6bO8iX9cAkOeZG7208sTnlB +Pzn2Yg9mtydrcsSKsTd7MLs9Yg491H5xP7X52Hr4TfPbdk57zu7n3eKIl/fz +jnEF7nilu9WPjUVe1Y+P7d8+Xt2PD1PgiNe6m/0wATZ4g7vbzxpueF0/MXLl +iFVDbz31MoNcHPHGfmrQ9HT3m92tfmxa/C39+Ng44q39+PQyg9560vy0/9ub +/bZ+bNzwjn58bJzwzn58euuplxmsYYl39RPDxgnv7sfHxg7v6cdHJ9P1H/Kt +9sMZdPb73MV+1uj8D/cTY40WP7qfGJqdzv6Au9qPraZafGLFWJPjW/RN+5Z9 +k2rTbR/ppwdNT6cPfEv9cAmu6Lpr/WgoWupj7lI/uXxsNazJESvGXu3JXuwZ +k+ihdq+f2nxsPbwb78DZe0dmwQ7DfmbCGFhj7C72wwB0/zHuUj9cg3Wm7mI/ +Ns6Y9eNj0/3zfnxsLLDox6cWlvh4PzXZOOLYfnxsuv4T/fjoeLr/s+5GPzod +RxznbvUTS7d/sp8cvcxsVj2tyRErBiNghc+7W/0wgh5qf7qf2nxsPWhcWveH +vq1+ZlFDrploZjHWvt+PRqaVv+bu9MMZ2OOL7lo/Nq74Uj8+Nq74cj8+Nt3+ +lX58auGAr/dTk40NvtGPj40bvtmPDxOYySzf64dJsMl33L1+YrHGt/rJcRY4 +6Av9nIk1OWLFWLMHs4vBNHqo/d1+avOx9XBWuOFH/ZwZG0v8uB8fTUfbnepb +6IcRcMHP3LV+YnHJT/rJYYuxxieWbvp5Pzl0HS44wV3r59v1jfo2fcPWcMKv ++omh4enu37hL/Wh4uvyPzf5DPxyCI37vLvUTS6v/tp8cvWm3X/QzgzU5YsXo +pYfaemIQPdQ+sZ/afGw91LIHs6tpFqxwUj8zsXHFn/rx0ei0+j/clTrLNco+ +tdbo+tMqBufgipPdzX44A1ec4q6UvVq9i1MqdpVak+O3wW+C34Jh1cYO/6we +mAAbnOlu9DMrFvpzzYxTcMm/2nNGP5rf3zx/686qWjjm9Kq5XtX8d+WsWHv4 +S9Vcp2qeUTm0GA1Ge9Fk/pbiiHP7+ZuKK3DH+e05r2wsckH52NjlP+XDPDji +ovZc2A8DYIFL23NJreGEiytmi8q5sGrQjbTlSoM2+yC5uOGyqkGD091XtOfy +smnxK8vHpv2vKt/WNcMl1ZPGp/Wvac/VZeOCa8vHpvuvK9921fPymmGnqnF1 +9RCLFa6vHEyBLW5szw396G5a/fb23NYPx+CE/7bnprLp8JvLx6bDbykfmza/ +tXxq4YA7qiYbG9xZPjYOuKt8h9eZOssVBuEMLHJPe+6uWOzwv8rZvfZwQ+3J +2qEV+7/a2141uz3ep3qofW/V5ru3eviWfEO+nXPr3eKElQd5x7gBa6za7FUG +sbHLaoP42Dhh9UF8vlHf6prNXmMQJqDr12n22oOs4Ya1BomRK0esGnrrqZcZ +5GKDdQepgRno+PWbvd4gNm2/wSA+Nk7YcBCfXmbQW08anpbfuNkbDWLjhk0G +8bFxw6aD+PTWUy8zWKP7Nxskho0FNh/Ex8YRWwzio5Pp+u2bvd0gTIETtmr2 +loOs0fk7DBJjje7eepAYOpzO3rbZ2wxiq6kWn1gx1uTsV9/0bfVNqo0bdhyk +B41Px+/R7N0HYQjcsGuzdxlE09F2Ozd7p0Fy+dhqWJMjVoy92pO92DPm0EPt +3QapzcfWQ28ssecgM7Bxwl6D+NjYYe9BfBiA7j+o2QcOwhlYZt9m7zOIjSP2 +G8THpuv3H8THpvUPGMSnFg44eJCabGxwyCA+Nm44dBAfXU7336/Z9x1E5+OE +w5t9n0Fi6f7DBsnRy8xm1dOaHLFiMANd+gB3axDNr4faRwxSm4+th95yxJpB +Lm37wEFq0M108hN8i4NoZFr5/9ydQZgDZzzYXRtkje5/+CAx1nDGQwaJwRi4 +4kh3ZxBbTbX4xIqxJkdvWv2Jg8ygNk54xCA9aHozmu1xg3AFDnmMuzgIV+CM +R7m7g+TysdWwJkesGHu3J3txBphDD7UfO0htPrYetCbNSqt+pWbFCU8aZGaa +j/Z7tW9jEEagA57m7g3CFVjjKe7mILYYa3xiaYenD5JDl+GEo5r9zEG+Zd+s +b9U3bQ03PGuQGMxAxz/H3RpE49PxL3I3BmEI3PB8d2uQWNr/uYPk6E0HPmOQ +GazJEStGLz3U1hNz6KH2CwapzcfWQy17MLuaZsEWLx5kJjZueMkgPhqfrn+t +uzPIWfKxnak1Ov91g8TgEFzxcnd1EM6gy1/p7gxieyfeBZ9YMdbkeHfeiXfh +HX653uvrB+mBEej+t7g7g8yKdV46yMwYA1e8yd0bJBYHvHWQHLV8K28YpKZY +NdWSo5Y9mF1NsWqqJUctbPG2QWqyccPbB/GxscQ7BvFhALr/aHdnEO7ANu9y +1waxsde7B/Gxccd7BvGxccd7B/GphSXeP0hNNo74wCA+Nl3/wUF8dDzd33GX +B9HpOOIj7tYgsXT7hwbJ0cvMZtXTmhyxYjACVuh5V4Mwgh5qf3SQ2nxsPWhc +WvfT3tUgs6gh10w0sxhrnxpEI9PKM3dpEM7AHkN3dxAbV4wG8bFxxXgQH5tu +nwziUwsHzAepycYGi0F8bNywHMSHCcxklk8OwiTY5Fh3aZBYrHHMIDnOAgf1 +BzkTa3LEirFmD2YXg2n0UPsTg9TmY+vhrHDDZwY5MzaW+OwgPrqQ7vuZdz8I +h+CE45v9hUFiscznBsnBKGKsfX6QWDrwi4PkYAjc8BV3bRCNSWv+wdkPsoYL +vjpIDE7ADV931wbR5XT/d337g+h8nPAtd2+QWLr/G4Pk6E2LfmmQGazJEStG +Lz3U1pPm10Ptbw9Sm4+th1r2YHY1zYI7vjfITGyc8P1BfHQznf8L3/4gZ8nH +dqbW6P5fDhKDSXDIj9zFQRiDLv+Juz2I7Z14F3xixViT41v0TfuWfZNq44gT +BumBGXDC79ytQWbFNj8YZGY6D2f8xl0eJBYn/H6QHLXowF8NUlOsmmrJUcse +zK6mWDXVkqOWd+5dq+nd44Y/DvIN+Dvt7/KGw6a3h9HtuOAUd2cQzsAef3L3 +BrGxzp/Lx8YZfykfm+4/uXxq4Yq/VU02Tji1fGzs8Pfy0ei0+r/clUE0P+3/ +z/acVrG44x+Vo9cqNevJtbZWxYrBFDjizPb8exAGWbdqn1611yn7n9V7/Yr9 +V+VikbOqBg1NS1/l2xuEEejm/7TngkGYBIec056za422vrBirOGScysGg+CO +89tzXtmbV63zK3bTWju3etPtV9cMauOMi6rHDjWj2a4YhDGwxmXtuXQQxsAa +l7Tn4srduuyLam3bir2k9r5R7cUZbF891L68am9fth6+JVpvo2G+KbNijWtq +ZpqX7lutra06DGfgiBvac/0gjIE1rmvPtWXvXmvXVSzOuLFyaDLa7Ob2/HeQ +b9s361v9Y63hilsqBlPgiNvac+sgjED3392e/w2i+3HFne25o2JxwO2Vozeu +ualmOKBy7qiYfavHrdXz4Oqh9l1V++Cy76xae9fsN9UsOOOemomNPe4tH91M +56/Rzm71Yc6Sj+1MrdH1aw4Tg0OwyUrNXnEYBsEiqzR75WFs78S74BMrxpqc +XeqdXFvvUG3ssNYwPTACnb9+s9cbZlbcs8IwM+MOnLJu+5/XGSbWb47fGjlq +4ZK1h6kpVk215KhlD2ZX88iqqZYc/7ud/ndE/TdR/23Ut4cbNh7mG/Qb63fx +3c1+1zAcg3M2b/Zmw3AG9ti02ZsMY4uxxicWZ2wxTA7dihu2bvZWw2hMuvFw +ex9mDVtsM0wMpqDrt2v2tsPoeLp/F3djGJ2OI3Zs9g7DxNLt2w+TozedvOUw +M1iTI1aMXnqorSdG0EPtnYapzcfWg3alUWnTd1Rte7IXPTAH9tit2bsOo5Pp +9v2bvd8wmpmPve8w3IFF9mz2HsPYOGOvYXxsnLH3MD61sMABw9Rk44QDh/Fh +AGfqLA8bhjn42IcOMxvO2X2YGTEKVjmk2QcPc7b2YHZnrDYWOWiYHmLVVEuO +WvZgdjXFqqmWHO8WGxwxzDvGEFjifu7KMJqP9nuqdz8MM+CEBzb7AcPE4pL7 +D5PDFmONTyyOeNAwOd4LTnhosx8yTG899TKDNdxw5DAxmIGO/79mP2wYjU/H +P6bZjx6GIXDDI929YWJp/4cPk/P2+g4ePMwM1uSIFaOXHmrriTn0UPtRw9Tm +Y+uhlj2YXU2zYIvHDjMTGzc8bhgfjU/XP91dGeYs+djO1Bqd/4xhYnAIrnii +uzkMZ9DlT272k4axvRPvgk+sGGtyfIs4cp9hvkm16bZnDtMDI9D9z/UtDTMr +1nn8MDNjDFzxbHdtmFgc8LxhctSiBY8apqZYNdWSo5Y9mF1NsWqqJcds7pi7 +ZUa1scbzh+nBxhEvGMZH89P+r/KtD8ME2OAV7towHIJ1XuwuDmNjsZcM42Pj +kJcO41MLN7x6mJpsOv41w/jodjr/Tb7NYTQ8H/sNw2hO2vNj9jqMbscVr3e3 +h+mFc142TE+16frXDtNDrJpqycEM2OEt7tIwsWqqJUcte7ZXNc0mR6wZ5eKI +tw5Tg06m29/r7gzzt4GP7W+ENdr+fcPE4BBs8nZ3dRjmwBnv9K0PY/sb428L +n1gx1uQ4Czq9M8yZqI0jjh6mBwbBCR92V4bR+M7Q2X1kGGbBLh9094aJFWNN +jlrY5P3D1BSrplpy7N0ezO4MxKqplhx/K/0N9LfP30yzYovuMDPTvHTf55v9 +uWE41jflW3rhMEyBLQbN7g+Ti1t6w9Rgi7HGJxZ3DIfJwRC4YeruDMMMdPzc +XRtG49Pxn2j2scMwAh/748PcBTOZxZ3AGLjiGHdzGB2oh9rjYWpjhcUwPcSq +qZYcs5hBbzOJVVMtOWanK0fD7IGth9p8ZsUenxxmZpqddv+Sb30Yzc/HPn6Y +NTr/y8PE0NlirH1hGAbBIp/1rQ9jeyfeBR+OEWPt08O8KzXkemd0PR3/TXdp +GM6RI/a4YWbFPZ8aZma2GGt8mEANuV8fhmFwxtfc/WE4hY/9lWHW5IgVY29i +rNmjWbDFt4aZiY0bvj2Mj40lvjOMj+6k+3/sbg7DHdjme+7iMDYO+v4wPjbO ++MEwPjbd+sNhfGphiZ8MU5ONI346jI9N1/9sGB8dT/f/utm/Gkan44hfurvD +xNLtPx8mRy8zm1VPa3LEisEIWOG37towjKCH2icMU5uPrQeNS+ue7t0MM4sa +cs20VsVYO20YjUwr/9ndGoYzsMeJ7uowNq74wzA+Nq744zA+Nt1+0jA+tXDA +X6omGxucXD42bvhr+dasmczyj2GYBJuc6q5VLNY4pXKcBQ763TBnsmrl/K1i +rNmD2cWsUT3U/nvVXqPsU+uscMMZdWZsLPGv8tGMdN71voVhOASnntWeMysW +l/y7ctavmDPLJxZXnF05fjdxwnntOXcYzUg73mX2WsMS51cMhqDj/9OeC4bR +7XT+Ze25dBgdjhsubs9FFUuXX1g5evudPqdm2LJyLqqYzarHBdVzm+qh9iVV +e5uyL65am9Ts59QsuOLymomNNa4oH51M19/YnhvqLPco+/pao/NvqhjcgUWu +bs9Vw2hy2vza9lxT9m71Lq6t2F1qTY5v0TftWz6patNt/60eGAI33N6e22pW +nHNlzUxHYZVb23NLxeKAOypHLTrr5qq5X9W8rXJ2rD1cVTX3qZq3VI6/of6W +PmrU9O8otQ+qd6/H0RVj7RGj6HgcsHKzVxqFQ7DNPe25u2zsc2/52LhjhVF8 +bByw4ig+tXDEKqPUZGOLVUfxsXHFaqP4aHhafp1mrz2KRqfV12z2GqPE4oDV +R8nRy8xm1dOaHLFiMAROWK/Z647CJHqovdYotfnYetDEdO6Ozd5hlFnUkGsm +GlyMte1H0dx08mbN3nQUxsAaGzZ7g1FsLLLRKD42zth4FB8bZ2wyik8t2nvz +UWqyccEWo/jYWGHLUXyYw0xm2W4UrsAl2zR761FiccZWo+Q4C+y0/ihnYk2O +WDHW7MHsYnCOHmpvO0ptPrYezgob7DTKmbFxxM6j+Ghauu4B3v0oDIITdmv2 +rqPEYo9dRslhi7HGJxZH7D5KDh1F2+3V7D1HYeRD69v8X63hhr1HicEMdPy+ +zd5nFEag4w9p9sGj6HIsckCz9x8llk7fb5Qcvem2PUaZwZocsWL00kNtPTGJ +HmofNArz8LEPHKWWPZhdTbPghENHmYmNHQ4bxUcX0+kP8u2PcpZ8bGdqjZZ/ +8CgxuATrHNHsw0dhDKxxP3d7FNs78S74xIqxJsffhkPqLO+q2rjhIaP0wBy4 +4OHu1iiz4qD7jDIzRsEqD3OXR4n1G+O3RY5aWOSho9QUq6ZactSyB7OrKVZN +teT4LcMNjx7lN42NJR4zis9vqt/B7zX7u6NwCFZ5vLs6SiwueewoOWwx1vjE +4qAnjJKDEXDBk92lUTQirfh6ex9lDTs8ZZQYzEB3P81dGUWn0/HPdjdG0eG0 +/jOb/YxRYunyp4+SozeueeIoM1iTI1aMXnqorScG0EPto0apzcd+Zv0GuKeX +tOfiUWrbk73ogRmww3PdvVF0N63+MndhFJ3Nx37JqJjGnXA3RrFxxAtH8bHp +9BeN4lMLB7x8lJpsbPCKUXyYwpk6y9eOwh187NeMMhvued4oM+IG7PFqd22U +s7UHsztjtXHFK0fpIVZNteSoZQ9mV1OsmmrJ8W6xwhtGeccYASu8yV0ZRePR +en3vfhRGwAVvbfZbRonFIm8eJYctxhqfWNzwtlFyMAIueGez3zFKbz31MoM1 +nPCuUWIwAt3+HndtFE1Pt3/Q3RiFGXDC0e7eKLG0/ntHydEbu7x9lBmsyREr +Ri891NYTY+ih9vtHqc3H1kMtezC7mmbBEh8aZSY2TvjwKD6ano4fuiujnCUf +25lao+tHo8TgDhzxMXdzFK6gw7u+5VFs78S74BMrxpoc3yJOfPEo36TadNp4 +lB6YgM5f+JZGmRXbfGSUmTEFjpi5a6PE0v3LUXLUov0mo9QUq6ZactSyB7Or +KVZNteSYzR1zt8yoNrY4ZpQebNzw8VF8ND6t/zl3aRQG4GN/ZhTuwDafdBdH +sbHXp0bxsXHHcaP41MIJnx+lJptu/8IoPjqdrv+quzGKZudjf3kUjUlrnuDs +RtHpOOJL7vIovXDNp0fpqTYdf/woPcSqqZYcjIAVvu7ujRKrplpy1LJne1XT +bHLEmlEubvjGKDXoYjr9B77tUf428LH9jbBGy/9wlBjcgUW+5W6Pwhi44jvu +zii2vzH+tvCJFWNNjrOgy381ypmojRt+NEoPzIELfu7ujqLpnaGz+8UojIJV +fuqujRIrxpoctbDIj0epKVZNteTYuz2Y3RmIVVMtObQmzUqr0pxmxRK/HmVm +mpDu+7dvaxRu9U35lo4dhRmww+/cvVFyccpvRqnBFmONTywd8ftRcjADTjjJ +XRyFEej2P7sbo2h6uv1U3/4oTLBa2aeMchfMZBZ3AlPgiL+25+RRdKAeav+h +amODv1SPVarmKZVjlhWq90kVu3LVkmN2WujEUfbA1kPtE2tWrPH3mpmmp+PP +8a2Povk3KvusWnPu51bMBhVj7cxRGANrnNGe08ter94F39oVY+2f9a42qFzv +jMan4y9qz4WjcM3aFXtazYpz/lEzr1kxp5Vvi6oh9z+jMAjuuKA954/y3WxW +9nm1tkXFXlB727TWzq1ZaJCLayZaBDtcOoomedK46ab2bDVpfSdhALr/mvZc +PQp3YJvL23NZ2djrivKxcceV5WPjjqvKpxaWuLZqsnHEdeVj0/XXl4+Op/tv +ac/No+h0HHFTe26sWLr9hsrRa+ea9apa27NixWAErHBbe24dhRH2qdr/rdp7 +l60HjUvrrt3OZK1xZtmvcs30kIqxtuY4GplWXqHZ947CGdjjrvbcWTau+F/5 +2Lji7vKx6fZ7yqcWDlhxnJpsbLDSOD42blh5HN+DayazrDEOk2CT1Zq96jix +WGOVcXKcBda6vc7EmhyxYg6sPZj9jlGYRg+1Vx+nNh9bD2eFG9YZ58zYWGLd +cXw0I523W7N3HYdD/FvHBs1ef5xYXLLeODlsMdb4xOKMDcfJwQw4YZNmbzyO +ZqQdDzL7OGtYYtNxYjAEHb95szcbR7fT+ds2e5txdDhu2KrZW44TS5dvMU6O +3rhmo3FmsCZHrBi99FBbT0ygh9pbj1Obj62HWvZgdjXNgiu2G2cmNtbYfhwf +nUzX79Hs3cc5Sz62M7VG5+85TgzuwCI7NXvHcTQ5bb5Ls3cex/ZOvAs+sWKs +yfEt+qbvrW9Sbbptr3F6YAjcsH+z9xtnVpyzwzgz01FYZd9m7zNOLA44YJwc +teisvcepKVZNteSoZQ9mV1OsmmrJUcs7967V9O5xxcHjfAP+Jvs7+75mv3cc +HY8D7usujcMh2ObQZh8yjo19DhvHx8Yd9xnHx8YBh4/jUwtH3G+cmmxscf9x +fGxc8YBxfDQ8LX9ksx86jkan1R/c7AeNE4sDHjhOjl5mNque1uSIFYMhcML/ +uWvjMIkeaj9knNp8bD30liPWDHKxxsPHqUGD083P8O2No7np5Me5S+MwBtZ4 +pLs7zhot/fhxYqxhk0eNE4M5cMZjfOvj2GqqxSdWjDU5etPhzxxnBrVxwxPG +6YFBzGi2p43DGTjlKeP83cIZuMPfsSeOk8vHVsOaHLFi7N2e7MUZ4B491H7q +OLX52Hr4lmi3o8f5psyKHY4aZ2Yal857s29jHCbBDc9118ZhECzybHdtHFuM +NT6xuOJ54+TQVbTeC93tcb5t36xv1TduDUe8aJwYDEHXv8RdGYcZ6PpXNfuV +4+h0bPJyd3ecWLr9pePk6E3HPX+cGazJEStGLz3U1hOj6KH2K8apzcfWQy17 +MLuaZsENrx5nJjaWeM04PjqZbn+ruzPOWfKxnak12v5t48TgFOzzend1HObA +Hm90d8axvRPvgk+sGGtyvDvvxLvwDtXGEW8fpwcGwQnvcXfGmRUXvXacmTEL +dnmXuzdOrN8cvzVy1MIm7xinplg11ZKjlj2YXU2xaqr1jvqmfXdbT6LJfHu4 +4v3jfIN+Y/0uXtGey8fhEuzyYXdtHA7BKR9018axxVjjE4uLPjJODmbACR13 +ZxzNSDt+yd7HWcMS3XFiMAQd3ncXx9HtdP3U3RhHl9P+I3d3nFg6fTBOjt44 +56PjzGBNjlgxeumhtp6YQA+1x+PU5mPrQcvSqLTppVXbnuxFDwyBJebu4jg6 +nHY/zt0ZR3fzsT85DpdgnWPczXFsXPHxcXxsuv3YcXxq4YJPj1OTjRU+M44P +YzhTZ3n8OBzCx/7COLPhoMU4M+IILPJ5d22cs7UHsztjtXHGZ8fpIVZNteSo +ZQ9mV1OsmmrJ8W6xw5fHeceYATt81V0ZR/PRfr/zLsZhBuzwjWZ/fZxYbPK1 +cXLYYqzxicUV3xwnx3vBCd9x18bpradeZrCGG747TgxmoOO/766No/Hp+J82 ++yfjMARu+JG7N04s7f+DcXIuqe/gW+PMYE2OWDF66aG2nphDD7V/PE5tPrYe +l9a3ZXY1zYItfjbOTGzc8PNxfDQ+XX+ib3+cs+RjO1NrdP4fxonBIbjiBHdz +HM6gy3/d7F+NY9PqvxnHJ1aMNTm+Rdz4iXG+SbXptj+O0wMj0P0nuwvjzIp1 +fjHOzBgDV/zZXa5YHPDXylGLFjxpnJorVs2/VI5a9mB2NcWuULXkmM0dc7c+ +UbWxxinVg40j/lY+mp/2/7dvfRwmWK/sM8bhEKzzD3svG4udVj42Dvln+dTC +DWdWTTYdf1b56HY6/wLf5jgafrOyzxtHc9KeN9vrOLodV5zbnnOqF845vXqq +TdefXT02qZrnVQ5mwA4Xtuc/Fbtx1Tq7aq1bez29ZtuiYi+oXBxxUdWgk+n2 +q9pz5Th/G3Ys+4pao+2vrhgcgk0uac/F4zAHzrisPZeW7W/M5eXbpmIurRxn +QaffUmeiNo64pnpgEJxwY3tuGEfj711nd9M4zIJdrm/PdRW7V63dWLWwybVV +c/eqeUPlbFV7uLjOYNeqeV3l+Fvpb6C/fe+vWbHFrTUzzUv3rdf+tq47Ccf6 +pnxLp47DFNjijvbcXrm45baqsX/F3F4+sbjjzsrBELjhnvbcPQ4z0PErtF73 +jqPx6fjV2v+86iSMwMdeZZK7sHrN4k5gDFyxcltbaRIdeGjV/l/VxgorTtJD +rJpqyTmsZri3Zjqiaqolx+x05V21B/YhVfuumhV7rD7JzDQ77b5RszecRPPz +sTeYZI3O33iSGDpbjLX1J2EQLLJOs9eexPZOvAs+HCPG2lqTvCs15HpndD0d +79+qtpiEc+SIXXOSWXHPGpPMzBZjjQ8TqCF380kYBmds1uxNJ+EUPvYmk6zJ +ESvG3sRYs0f/fxH4/3PA/xsY/29haDfssM0kGu7G9tzUnp9P23c5DePghh2a +b/tJuAPbbNfsbSexxVjjE0un7jhJDmbADrv4t7pJNCPt+BB7nWQNR+w6SQxN +T4fv3uzdJtH8dP2+zd5nEoag6/dq9p6TxNLue0ySozetvNMkM1iTI1aMXnqo +rSdO0EPtvSepzcfWwx11V3/c7B9NUtue7EUP3IEj9m/2fpNodtr98GbfZxLd +zMc+bBIOwRUHNfvASWxccfAkPjbdfsgkPrVwwRGT1GRjhftO4qPZnamzfNAk +TMDHfuAks2GdAyaZEafgkge4y5OcrT2Y3RmrjWPuN0kPsWqqJUctezC7mmLV +VEuOd4sbHjrJO8YROONh7tIkGo/We653Pwmj4oRHuDuTxGKT/5skhy3GGp9Y +v5OPnCQHI2CFx7jLk/TWUy8zWMMNj50khoansx/vLk2i8en4pzb7KZMwAx3/ +JHd3klja/AmT5Ojtt/pRk8xgTY5YMXrpobaeuEAPtZ88SW0+th5q2YPZ1TQL +tnjaJDOxccPTJ/HR9HT8892VSc6Sj+1MrdFVL5gkBofQ4Ue5m5Pocrr92b7l +SWzvxLvgEyvGmhzfIu47dJJvUm266IWT9MAMdP3LfEuTzIp1njHJzDgFZ7zE +XZsklq5/+SQ5atFaL5qkplg11ZKjlj2YXU2xaqolx/9urf8dWv9N2X9bNqs7 +566ZGUfgjFf6difR/LT/m9ylSZiAj/2GSTgH+7zGXZzExh2vncTHxgGvm8Sn +Fm548yQ12XT8Wybx0e10/rvcjUk0PB/7HZNoTtpzYq+T6HZc8XZ3eZJe2OP1 +k/RUm65/6yQ9xKqplhzMgB3e4+5NEqumWnL0ooOnk/RU2xnYux5yccR7J6lB +J9PtH/FtT6KZ+dgfmoQ7sMjR7vIkNs54/yQ+Ns74wCQ+tbDARyepycYJH5vE +hwHo9pFvfxLm4GMPJllzZs5KDEbBKn13bZKzdgb27szVxiKdSXqIVVMtOfZq +D2a3ZwypplrdSc4KO8wmOTMajpb7hnc/iablY39tEq71Dfl2XjUJM+CEZbMX +k9TCKfNJarLFWOMTS0cdM0kORsAFn3RXJtHsdPZx7tYkmp0O/0KzPz8JI/Cx +PzfJt28ms7gDuARXfNZdnERH6qH2sZPUpuU/PUkPsWqqJccsZtDbTGLVVEuO +2WnBj0+yB7YeavOZFTscP8nMdDrd/m3f6iSanY/9zUnWaPvvTBLj7MVY8w4w +Btb4qm95Ets78S74cJEYa1+e5LfHb4LfAr9BNLu/8f62/3AS7pEj9kuTzIqD +vjjJzGwx1vgwhBpyfzAJx+CW77v7kzANH/u7k6zJESvG3sRYs0daAzf8ZBLN +gSNwxs/cpUl+U/0Obt+02XbTcAqu+aW7OUksNvn5JDlsMdb4xOKKEybJwQTY +4DfuyiQ6kZY8z14nWcMJv50khuams3/v7k2i4enyP7fnT5PofLr9j+7yJLG0 ++YmT5OiNS341yQzW5IgVo5ceauuJA1ao2idNUpuPrYezckbOxpmpbU/2ogdu +wBUnu3uT6HS6/XR3aRIdvnbZp03CNbjhb+5i2XT5qeVj0+V/L59aWOCMqsmm ++/9Vvo3rTJ3lOZMwxsZln12zYZ+/1oy4A5uc1Z4z62xXqtn/XLWxxb+rx4ZV +8+zKWaX2cErVXL9qnlk53i0uOL/eMU7AFv9pzwWTaDra7g7vfpJ/08UFF7fn +oorFMhdWzpYVc1H5xGKJSyoHE2CDy9tzWfXevHqdX2s44YqKodnp6qvac+Uk +mp5uv8G7noQR6PZr23NNxdLiV1eO3rjk0pph58q5pmJ2qB5XVs/dqofa11Xt +3cq+tmptV7NfWrNgiRtrJjZOuKl8NDzdfld77qyzPKjsO2qNjvpfxeAOuvuW +9tw8iQ6n029rz61lH1Dv4raK3a/W5PgWseI/Jvkm1aZ77q4eGIGOX6n9Vqw4 +zazY5r81My7BFSu0tXsrlo5feZoctWipe6rm4VVTLTl71x5urpqHVc17K8ds +7thpNaPaWGGVaXqwccWq0/hofFp/vWavOw0D8LHXmYZrsM4azV59GhtnrDmN +j033rzWNTy2csP40Ndl0+wbT+Oh0un6zZm86jWbnY28yjcakNfd2dtPodByx +cbM3mqYX1lh7mp5q0/EbTtNDrJpqycEIWGGLZm8+TayaaslRy57tVU2zyRFr +Rrm4YctpatDFdPqOzd5hmr8NfGx/I6zR8jtNE4M7sMjWzd5qGsbAFds2e5tp +bH9j/G3hEyvGmhxnQZfvM82ZqI0bdp6mB+bABXs0e/dpNL0zdHZ7TsMoWGW3 +Zu86TawYa3LUwiK7TFNTrJpqybF3ezC7MxCrplpyaE3/TvzBSTSnWbHEvtPM +TNPSef/n25qGa31TvqXVptEEtMEBzd5/mlycst80NdhirPGJpasOnCYHI+CC +Q5t9yDSana6+T7MPm0az0+EP8O1Pwwh87PtNcxfMZBZ3Apfgivs2+4hpdKUe +ah88TW3a/fBpeohVUy05ZjGD3mYSq6ZacsxOGx40zR7YeqjNZ1bs8MBpZn5/ +neujfOvTaHw+9iOmWXP2j54mhq4WY+3h02hy2vxId2ca2zvxLvhwkRhrD5nm +Xakh1zuj4Wn5J7pL03CPHLEPnmZWHPSgaWZmi7HGhzHUkPv4aTgGhzzO3Z+G +afjYj5lmTY5YMfYmxpo9+tYw0Ydq35gBOzzZtzqNjTOeMo2Pxqf1n+Nbn4YB ++NjPmoZzcM3T3cVpbKzzjGl8bNz2zGl8auGE505Tk013P28aH51Ox7/E3Z1G +s/OxXzSN7qRN3+ksp9HpWOCF7uY0vXDPUdP0VJuOf/40PcSqqZYcDIAFXubu +TBOrplpy9KJ73zVNT7Wdgb3rIRdnvHyaGnQ47f56d2ka3c3Hfu20GMcddBen +sXHFq6bxsen2V0/jUwsXvGGammys8MZpfBiDDn+7b2kaDuFjv3WaNWfmrMRg +ECzyFnd5mrN2BvbuzNXGhm+apodYNdWSY6/2YHZ7FqumWnKcFVZ49zRnRiPS +dQvf0jS6kI89m0bj0XqfU3sarsER73OXpqmFVd4zTU22GGt8Yunoo6fJwQzY +4UO+/WmYgW7/iLszjU6n6/u+9Wk0Ox+7O81vst/ma9tzzTS6HEd03OVpGEUP +tT8wTW26/aPT9BCrplpyzGIGvc0kVk215JgdC7x/mj2w9VCbz6w4YzDNzDQ9 +Hf9x3/Y0upmPvZxmja46dpoYZy/GmneAS+jyqbsyje2deBd8OEWMtfE03yJu +fM003yRGoOM/4+5MwzRyxI6mmRUbDaeZmS3GGh/mUEPucdPoLCzzKXd9Gs3F +x/7ENGtyxIqxNzHW7NFs7pi7ZUaz+aZ8S2b0beGMz0/zjWEAuv9r7tI0up6P +/ZVpuATrHO8uTmNjoS9O42PjkC9N41MLS3x9mppsHPGNaXw0O+3+vWZ/dxpm +4GN/ZxqNSnf+0VlNo9lp92+7y9P0wh1fnqan2jjhm9P0EKumWnIwBW74gbs4 +TayaaslRy57tVU2zyRFrRrnY44fT1KCRaeVf+pan0eB8bP/NxRpdf8I0MRgE +i/zYXZ6GU3DHT33r09i4w3+r4RMrxpocZ0GLnzTNmaiNHX41TQ9cgkN+7y5P +wwzO0NmdOA134JbfTvN/l0esGGty1MIl/u/2qClWTbXk2Ls9mN0ZiFVTLTl+ +G+i666b5jTArrvjTNDPTvXTuf3xb03x7vinfkm8Qo+CIk9vzl2lyscmfq8aK +FfOX8onFGX+tHLoPN/y9PadOwwx0/GnuzjSMQMef2Z5/T8MQ65f9r2k0qt84 +v21XT6PbscoZ7Tl9Gh23etX+W9Wm6/9ZPdatmv+qnDVqhn/UTGtXzdMrx+x0 +4Sm1h1Wrx9/KZ1YccVbNTLPT7pe05+JpdPPWZV9Ua3T+pRWzZcVYu3AaDsId +57fnvLI3r3fBt0nFWDu33tWWleud7VRn5GyumoaBNqnYc2pW7HN2zbxRxZxT +vh2rhtwrp2EabHNFey6fhlO2L/uyWtuxYq+ovW1Xa/bov3X6b5i43r8V+Paw +xfXTfIN+Y/2uPm3WYmfReLTexs3eaBZOwTI3tefGysUtN1QN9p61dkPF4qT/ +Vg5mwA63tefWaZiB7r6jPbdPo9Pp+Hvbc880mv2wsu+e5t+taUTa8KnT6HQs +8L/23DUNo+xXtW+p2nT8ndXjkKp5d+XsXzPcXjMdVDXvqhx7p4U3meUM7AVH +3Vx7MiuOWGGWmel2On7t9j+vNYsu52OvOYsO52OvMQunYJ9Vmr3yLDbOWHUW +n1rYYJ1ZamIK78S72HAW7uBjbzAL86ip1kqzzIZ1VpxlRrYYa3z71JndUnvC +GVhl/ba23izMwcded5Y1PfUSYzYx1syIEbDCZs3edBZNR9sd0Oz9Z9G0fOz9 +ZjlbOWKdMWbACVs1e8tZamGVzWepycY+W8ziE4sttp4lByPggu2bvd0smp2u +3rHZO8yi2enw3Zu92yyMwMfedRZW8Y09teriElyxS1vbeZbvTg+1t52lNu2+ +0yw9xKqplhyzmEFvMz2raqol5yn1HW8zyx7YeqjNZ1bssMcsM9PwdNPBzT5o +Fo3Pxz5wljW65ZBZYpy9GGveAU1Om+/r3c9ieyfeBR8uEmNt71m+RRy52izf +JA1Pl9/XtzQL98gRu9css+KgPWeZmS3GGh/GUEPu4bNwDA65T7MPm0V38bEP +nWVNjlgx9ibGmj2aDdeuPsuMbHfM3eIzK5a43ywz0/l0/cN927Nofj72w2Zh +Aj72kbNwD855kF6z2NjnwbP41KKzHzFLTbqcbn+cb3sWjc7HfswsGp1Wf4Jv +exZdSoe+2FnOokP52C+cpRdOfMgsPel4rPBovwWzaHo+9iNnWdNTLzFmE2PN +jGrhqIfOUpNtz/bKZ3Yzms0e6HY6/ih3aRZdzsd+xixrtP6zZomhy8VYe/os +HIQznuKuzmL7G+NvCx+uEWPtSbOcBW3+klnOBHPQ5S9wF2ZhIDlinzjLWfKx +nalYZ+js5OAYNeQ+bxZmwS7PdVdn4UY+9rNnWZMjVoy9ibFmj/422qO9+RtJ +09F27/UtzaJ76dx3O9tZND5d/xp3cxbu9U35lh4wC1Ngi5c3+2Wz7B3LvHSW +M2CLscZHs9PZr3NXZtHsdPhbmv3mWRiBj/2mWXrLEWsGdwF333+WO8E2k1n4 +zIJrXjHLTDgGh7zR3Z1F5/OxXz/Lmp56iTGbGGtmpFOdgb2/apbadOsrZ+nB +FmONj4an5T/g255Fx/Oxj55FY9PN/m9jfnQWjS/G2vtm4SDc8U53ZxbbO/Eu ++LwrOWK9M+/KO/TuvDNMoIfaH5mFQfjYH57lrLHPW2c5czZOetssPtxkBr3f +PssaH1uMveGcD86yRzb2+dAsPraeevGt3Wl/V9tzenv+2Qk34IruLP83QnEG +Dum7a7NwC84Z+VZnWcMqg1li2GKs8YnFGeNZcjACVpi527PoRtryO/Yyyxpu +mM8SQ4PT3Ut3axZNT6d/qtmfnEX30/HHusuzxNLqx8ySozdOmcwygzU5YsXo +pYfaeuICPdT+xCy1+djH1h12l3eZt7+x89S2J3vRA0fgjE+7a7Podjr+y+7W +LLqcj/3FWTgHR3zO3ZjFptM/P4uPTad/YRafWtjgK7PUZOOAr87io8GdqbP8 +1izMwcf+5iyzYaHPzDIjDsEq33C3ZzlbezC7M1Yba3xtlh5i1VRLjlr2YHY1 +xaqplhzvFid8d5Z3jBuwxvfdnVl0Ii15snc/C+fh1B81+4ezxGKbH8ySwxZj +jU8srvjxLDmYABv8zF2fpbeeepnBGk74+SwxNDZd+kt3YxYNT5f/rtm/nUXn +0+2/bvavZomlZU+YJUdvXPKTWWawJkesGL30UFtPHKCH2r+ZpTYfWw+17MHs +apoFK/x+lpnYuOLEWXw0PN10irtSZ7lK2SfXGt3yt4rBOTjiJHdzFs1Op/+5 +PX8qe6V6F3xiV6g1Ob5F7Hj8LN+k2nTQqdUDQ9Dpp/uWZpkVC/1hlplxCVY5 +zV2rWNr+jMpRi7b6e9Vcq2r+s3LUsgez/6Fi16xacvZdNK3Znlvbc8sis7pz +7pqZcQau+LdvdxaNT+v/pz0XzMIAm5d9/izcg4XObs9ZZeO0c8rHxhXnlg9T +4ISL2nNh2XT3xeWj0+n4K32bs2j2Hcq+fBbdSZveY6+z6HQscFl7Lq1eWOa8 +6qk2HX9J9diual5eORgAC1zdnqsqdtuqdUn1onvvrZ6b1hmcXz3k4oxrqgYd +Trvf3J7/zqK79y77plm4BOtc155ry8YV15ePTbffUD61cMEtVZONFW4tH8ag +w//Xnrtm4ZCDy76z1g6tsxKDQbDIHe25vc56p9r7lVUbG95WPQ6smndWzi61 +h2trz/tXzdsrx1lhhRXmOTO6kFbcsv3PW8yjEfnYm8/Dsb4h386ZszACXbRy +W1tpnlpYZcV5ah5RMdb4xNJNq8yTgwno9DWavfo8GpuuXqvZa86jwWnxDZq9 +/jzMwMdeb55vf4OaxR3AIdhk3ba2zjy6UQ+1V5unNu2+9jw9xKqplhyzmEFv +Mx1ZNdWSY3bab9V59sDWQ20+s2KLDeeZmWan3bdp9tbz6HY+9lbzrNHF284T +4+zFWPMOMAW22KzZm85jeyfeBR9OEmNtk3l+e/wm+C3wG0SD+xvvb/tO83CO +HLEbzzMr7tlonpnZYqzxHVU15O44D6dgmR2avf08up6Pvd08a3LEirE3Mdbs +kdbACbvOozlwA9bYvdm7zfOb6ndw2OzBPFyC7fZq9p7zxOKUPebJYYuxxicW +B+09Tw4GwAL7NXvfeTQjnfgYe51njW7ff54YGpuuPrDZB8yjyWnz+zT7sHl0 +OS44pNkHzxNLix80T47eOGSfeWawJkesGL30UFtPnKGH2ofOU5uPrYezckbO +xpmpbU/2ogeuwBFHuHvzaHba/aHu0jy6mo/94Hk4hw6/v7s4j02HP2AeH5vu +fuA8PrXo/CPnqcmm/R82j48md6bO8lHzcAcf+5HzzIZ17jvPjDgCezzCXZ7n +bO3B7M5YbZzxf/P0EKumWnLUsgezqylWTbXkeLdY4LHzvGMcgk0e7y7No+lo +u5d79/MwATZ4UrOfOE8sVnrCPDlsMdb4xOKGJ8+TgwmwwdPc5Xl666mXGazh +hKfPE0Oz09XPdJfm0fR0+/O863kYgW5/drOfNU8sLX7UPDl645KnzDODNTli +xeilh9p64gA91H7OPLX52HqoZQ9mV9MsWOL588zExgkvmMdHw9Ptr3RX5jlL +PrYztUZHvWqeGNxBd7/Y3ZxHh9PpL/Utz2N7J94Fn1gx1uT4FrHgg+b5JtWm +e149Tw+MQMe/wbc0z6zY5oXzzIxLcMXr3LV5Yun4N86ToxYt9Zp5aopVUy05 +atmD2dUUq6ZacszmjrlbZlQbK7xpnh5sXPHmeXw0Pq3/HndpHgbgY79rHq7B +Om9zF+exccbb5/Gx6f53zONTCye8d56abLr9ffP46HS6/sPuxjyanY/9wXk0 +Jq35CWc3j07HER9wl+fphTXeOU9Pten4o+fpIVZNteRgBKzwUXdvnlg11ZKj +lj3bq5pmkyPWjHJxw8fmqUEX0+lj3/Y8fxv42P5GWKPlJ/PE4A4s0nW352EM +XNF3d+ax/Y3xt4VPrBhrcpwFXf7Jec5EbdwwnacH5sAFx7i782h6Z+jsPj4P +o2CVhbs2T6wYa3LUwiKzeWqKVVMtOfZuD2Z3BmLVVEsOrenfhW+cRXOaFUt8 +ap6ZaVo674e+tXm41jflW3rLPJqANviMuzdPLk45bp4abDHW+MTSVZ+dJwcj +4ILj3cV5NDtd/SV3Yx7NTod/s9nfmIcR+Nhfn+cumMks7gQuwSpfdZfn0ZV6 +qP35eWrT7l+epwcGUVOtr80zixn0NpNYNdWSY3ba8HPz7IGth9p8ZsUO35pn +5uvrXH/q251H4/OxfzzPmrP/2TwxdLUYaz+aR5PT5t/3rc9jeyfeBR8uEmPt +u/O8KzXkemc0PF3+a3dhHu6RI/Y788yKg749z8xsMdb4MIYack+Yh2NwyC/9 +FszDNHzsn8+zJkesGHsTY80efWt7le/Gmg07/GaeGdk447fz+Gh8Wv8vvvV5 +GGDFsv80D+fgmhPdxXlsrPOHeXxs3PbHeXxq4YSTqyab7v5r+eh0Ov40d3ce +zb5m2X+fR3fSppc7q3l0OhY41d2cpxfuOWmenmrT8adUj9Wr5t8rBwNggdPd +nYpdrWqdUr3o3iuqp9or1N5PqlyccUbVoMNp9/Pac+48unuTss+ZF+P4jtvz +r7JxxZnlY9PtZ5VPLVxwftVkY4ULyocx6PBL23PJPByyTdkX19p2dVZiMAgW +uag9F9ZZr117P61qY8P/VI+tqubFlbNu7eFftectquaFleOssMKVdWY0Il23 +0qJ9N4voQj72CotoPFpvvWavuwjX4Ihr2nN11cIqV1VN9s61dlXF0tHXVg5m +wA43tueGeZiBbv9ve26aR6fT9Xe05/Z5NPsBZd82z2+y3+Znt1metYguxxG3 +tueWeRhlj6p9fdWm22+uHvtVzdsqZ8+a4aaaSey+Vevmmh0LXFd72K16XF8+ +s+KMO2tmmp6OX7XNtsoiupmPvfIia3TVaovEOHsx1rwDXEKX39uee8r2TrwL +PpxyWK3dPc+3iBvPnuebxAh0/Dotfu1FmObQiv1fzYqN7qqZ2YfUGt9Dq4bc +tRbRWVhmzWavsYjm4mOvvsiaHLFi7E2MNXs0mzt2Ts1oNt+Ub8mMvi2csf4i +3xgGoPs3b/Zmi+h6Pvami3AJ1tmw2RssYmOhjRbxsXHIxov41MISWyxSk40j +tlzER7PT7ts3e7tFmIGPve0iGpXuPKTZBy+i2Wn3bZq99SK9cMcmi/RUGyds +tUgPsWqqJQdT4IYdm73DIrFqqiVHLXu2VzXNJkesGeVij50WqUEj08p7NXvP +RTQ4H3uPRdbo+r0XicEgWGQXd3kRTsEduzV710Vs3LH7Ij6xYqzJcRa0+KGL +nIna2GGfRXrgEhxyYLMPWIQZnKGzO2gR7sAt+9e/s4oVY02OWrjEv8OqKVZN +teTYuz2Y3RmIVVMtOX4b6LrnLPIbYVZccdgiM9O9dO7jfVuLfHu+Kd+SbxCj +4Igj/BYukotN7rNIDbYYa3xiccZ9F8mh+3DDA931RZiBjn+wu7MII9DxD/fb +ughD8LEftohG9Rvnt+2oRXQ7Vjmy2Q9dRMfpofb9F6lN1z9kkR5i1VRLjlnM +oLeZxKqplhyz04X3W2QPbD3U5jMrjnjEIjPT7LT7k33ri+hmPvYTF1mj85+y +SAxNLcbaExbhINzxWHdnEds78S74cI0Ya49e5F2pIdc7wwTOyNk8cxEGkiP2 +UYvMin0eucjMbDHW+DCLGnKfsQjTYJunu/uLcAof+6mLrMkRK8bexFizR/+t +03/j9O8E3eIQbPHcRb5Bv7F+V3/f7N8tovFovQ+afRFOwTIvaPbzF8nFLc9b +pAZbjDU+sTjphYvkYAbs8FJ3ZxFmoLtf7q4totPp+Ne6u4todj72qxf5d2sa +kTb83Tw6HQu8yt1chFH0UPvFi9Sm41+xSA+xaqolxyxm0NtMn6uaasmxd1r4 +Q4ucgb3gqBctsiez4ojXLTIz3U7Hv8u3u4gu52O/YxEdzsd++6KYxzft217E +xhlvWcSnFjZ49yI1MYV34l28fxHu4GMfvQjzqKnWGxeZDeu8fpEZ2VjqDYv4 +7MWZOSt7whlY5X3u/iLMwcd+zyJreuolxmxirJkRI2CFj7gri2g62u4zznoR +TcvHPm6Rs5Uj1hljBpzQcRcXqYVVPrpITbYYa3xisUV3kRyMgAuG7sYimp2u +Hrsbi2h2OnzZ7MUijMDHni/CKr6x31VdXIIrZm1tush3p4fa/UVq0+6TRXqI +VVMtOWYxg95m+lPVVEvOb+s77i2yB7YeavOZFTscs8jMNDzd9Hnf6iIan4/9 +2UXW6JYvLBLj7MVY8w5octr8U979IrZ34l3w4SIx1j6xyLeII9+6yDdJw9Pl +X/UtLcI9csQeu8isOOjji8zMFmOND2OoIffLi3AMDvmSu7+I7uJjH7/Imhyx +YuxNjDV7NBuufdsiM7LdMXeLz6xY4muLzEzn0/U/9O0uovn52N9fhAn42N9b +hHtwzrecxSI29vn2Ij616OwfLVKTLqfbf9Hsny+i0fnYP1tEo9PqJ7gri+hS +OvQfznIRHbpG2acu0gsnfmeRnnQ8Vvhps3+yiKbnY/94kTU99RJjNjHWzKgW +jvruIjXZ9myvfGY3o9nsgW6n409ylxbR5XzsPyyyRuv/aZEYulyMtRMX4SCc +8Vt3dRHb3xh/W/hwjRhrv66zoM1PqzPBHHT539yFRRhIjthfLXKWfOwTKnb1 +Ojs5q1QNuX9dhFmwy8nt+csi3LhS2X+utVUqVswKFfPn2qO/jfZob/5G0nS0 +3dW+pUV0L517pbNdROPT9We356xFuNc35Vv6xiJMgS3OaM/ptXcs8886g7Ur +5vTy0ex09rntOWcRzU6HX9SeCxdhhC3L/k/13rhizeAu4O6vL3In2GYyy9dr +Flzzr5oJx+CQC9pz/iI6f7Oyz6u1LarXBTXbprVmxg3qDOz9zKpNt/67eqxf +MWeWj4an5a9vz3WL6Pjdyr52EY1NN/vfdbh5EY2/a61dswgH4Y7L23NZ2TvU +u7i83tUuFXt1vaud6t15Z3tXD7X/uwiD7F32TXXW2OfiOnM2TrqkfNvWDHpf +Wmvbln1J7Q3n3FB7ZGOfG8u3Z/W8qXzYBiPtUbm4AVfctsj/zscpy/adt+eY +Y9rfh2PCLTjnf+25axFGwSp3tueOsg+utTsrFmfcXTkYASus0Greu4hupC23 +bf/zNsus4YYVl4mhwenulZu90jKank5fs9lrLKP76fjVmr3qMrG0+irL5OiN +U+6pGazJEStGLz3U1hMX6KH26svU5mPr4Z66y7NmT5epbU/3Vg8cgTPWbmtr +LaPb6fhNmr3xMrqcj73RMpyDI9Zr9rrL2HT6+sv42HT6Bsv41MIGmy5Tk40D +NlvG95Q6U2e59TLMwcfeapnZsNA6y8yIQ7DKls3eYpmztQezO2O1scbmy/QQ +q6ZactSyB7OrKVZNteR4tzhhu2XeMW7AGjs0e/tlNCQteV/vYhnOw6k7N3un +ZWKxzY7L5LDFWOMTiyt2WSYHE2CD3Zu92zK99dTLDNZwwh7LxNDYdOlezd5z +GQ1Plx/Q7P2X0fl0+77N3meZWFp272Vy9MYluy4zgzU5YsXopYfaeuIAPdTe +b5nafGw91LIHs6tpFqxw4DIzsXHFQcv4aHi66f6+/WXOko/tTK3RLQ9YJgbn +4IhDm33IMpqdTr+Pb3kZm3Y/fBmfWDHW5PgWseOGy3yTatNBD1ymB4ag049s +9kOXmRULHbzMzLgEqzzEXV4mlrZ/2DI5atFWD1qmplg11ZKjlj2YXU2xaqol +x2+Xfxu5fZHfMLO6c+6amXEGrni4u7eMxqf1n+BbX4YB+NiPW4Z7sNCj7H0Z +G6c9ehkfG1c8ZhmfWjjhicvUZNPdT1rGR6fT8c/wbS6j2fnYT1tGd9Kmr7HX +ZXQ6Fniqu71MLyzz2GV6qk3HP3mZHmLVVEsOBsACR7lLy8SqqZYcveje1y7T +U21nYO96yMUZz1qmBh1Ou7/I3VlGd/OxX7AMl2Cd57iby9i44rnL+Nh0+/OW +8amFC168TE02VnjJMj6MQYe/yre/DIfwsV+xzJozc1ZiMAgWebm7tsxZOwN7 +d+ZqY8OXLtNDrJpqybFXezC7PYtVUy05zgorvG6ZM6MLacWP+ZaW0Yh87I8s +w7G+Id/OI5ZhBLrojc1+wzK1sMrrl6nJFmONTyzd9KZlcjABnf42d28ZjU1X +v8NdWEaD0+Lv860vwwx87Pcs8+2bySzuAA7BJu92d5fRjXqo/ZZlatPu71ym +h1g11ZJjFjPobSaxaqolx+y035uX2QNbD7X5zIotjl5mZpqddu/5tpfR7Xzs +zjJrdHF/mRhnL8aad4ApsMWH3ZVlbO/Eu+DDSWKsfXCZ3x6/CX4L/AbR4P7G ++9s+WYZz5Ij9wDKz4p73LzMzW4w1PoyihtzxMpyCZUbu+jK6no89WGZNjlgx +9ibGmj3SGjhhvozmwA1YY+luLfOb6nfwrvbcuQyXYLtjm/3xZWJxyjHL5LDF +WOMTi4M+sUwOBsACx7mLy2hGOvFn9rrMGt3+6WViaGy6+rPu3jKanDb/UrO/ +uIwuxwVfcJeXiaXFP7dMjt445JPLzGBNjlgxeumhtp44Qw+1j1+mNh9bD2fl +jJyNM1PbnuxFD1yBI77i7i2j2Wn377pLy+hqPva3l+EcOvzr7uIyNh3+jWV8 +bLr7m8v41KLzv7dMTTbt//1lfDS5M3WWP1mGO/jYP15mNqzz1WVmxBHY40f/ +38JdR213FVcAj7t7vri7CxEkxSnu1qClWKFQvLg7xd6rD+5aIFAokEAIBAIh +xF1JQtzde35rzx93rd05M3vmnHvP8+79hVV3eSlnaw9md8a4+YyjltJDLk5c +anDZg9lxysWJS413ywv8cinvmA/hTX7tLi1F09F2Z3j3S/EE/q7+puFjlpLL +Kx29lBpYjjUxuf4W/3YpNTwBb3Ccu7yU3nrqZQZrfMLvl5JDs9PVx7tLS9H0 +dPuJ3vVSPALdfoK7u5RcWvyPS6nRmy85dikzWFuucuXopQduPVeoHrj/XNwr +FNYDlz2Y/diahZf4a80E8wknVYyGp9vPclfqLNcpfEat0VFnVw7fQXef0p6T +l6LD6fTTfMuF16p3cVrlrlFranyLvOAPlvJN4qZ7zqkePAIdf6FvqWblbf5W +M/MlfMX57Tmvcun4i6oGFy11bnFuVJwXVM2qtYeTi3OD4jyvaszmjrlbPyhu +XuHi6gHzFZdUjMan9a9uz1VL8QDbFb5yKb6G17msPZcW5jMurxhM9/+jYrj4 +hGuKE6bbr60YnU7X39yem5ai2XcrfONSNCatuVrzwqt20el8xA3tub568RpX +VE/cdPx11WOX4ryxangEXuHW9txSuTsX13XFtW3t9YqabY/Kvblq+YbbioMu +ptPva8+9S/nbcGDhe2qNlr+/cvgOXuSO9ty+FI/BV9zVnjsL+xtzd8X2qZw7 +q8ZZ0OWrdzkT3HzDA9WD5+ALVm5rK3XR9M7Q2a3SxaPwKis2vEKXXDnW1ODi +RZbrwnl4ceJSs1ft4fY6Ax4SJ67lu2hN/y78wqVoTrPyEmt0mZmmpfO2b3i7 +Lr52i/qW/r4UTUAbrN3W1upSy6es2YXjEZVjTUwuXbVOlxoegS/YoOH1u2h2 +unqjhjfsotnp8GUNb97FI4jBm3W5C8tqFneCL+ErNm1rm3TRlXrgXq8LN+2+ +cZcecnHiUmMWM+htpicWJy41ZqcN1+2yB1gP3GJm5R226DLzkXWuOze8UxeN +Lwbv2GXN2e/SJYeulmNthy6anDbftuFtumDvxLsQ44vkWNu6y7vCodY7o+Hp +8r0a3rOL71Ejd6sus/JBW3aZGZZjTYzHwKF2jy4+hg/ZveHdungaMXjXLmtq +5MqxNznW7NG3xhO9qPZtNt5h7y4zwnzGPl1iND6tf4hvvYsHEIMP7uJz+Jr9 +G96vC+Z1DugSg/m2A7vEcPEJh3bhhOnuw7rE6HQ6/oiGH9ZFs4vBD+2iO2nT +ZzqrLjqdF3hIww/u0ovvOahLT9x0/OFdesjFiUsND8ALPLzhf+qSixOXGr3o +3md16YnbGdi7Hmr5jEd04aDDaffH+5a76G4x+HFdfAmv86iGH9kF8xWP7hKD +6fbHdInh4gue0IUT5hWe2CXGY9DhT/ftd/EhYvBTu6w5M2clhwfhRZ7i7nU5 +a2dg784cN2/4pC495OLEpcZe7cHs9iwXJy41zopXeHaXM6MR6brX+Za66EIx ++LVdNB6t9w7cXXwNH/G8hp/bhYtXeU4XTliONTG5dPTzu9TwDLzDC93tLp6B +bn+xu9JFp9P1L/etd9HsYvDLuvwm+23+c3tO6KLL+Yh/dRe7eBQ9cB/ZhZtu +f0mXHnJx4lJjFjPobSa5OHGpMTsv8C9d9gDrgVvMrHzGK7rMTNPT8W/wbXfR +zWLw67us0VVv7JLj7OVY8w74Err8Ne5KF+ydeBdifIoca6/u8i3yjY/t8k3y +CHT8f7k7XTyNGrmv6jIrb/TKLjPDcqyJ8Rw41L61i87iZd7irnfRXGLwm7qs +qZErx97kWLNHs7lj7pYZzeab8i2Z0bfFZ7yzyzfGA9D9H3SXuuh6Mfj9XXwJ +r/Nud7EL5oXe0yUG8yHv7RLDxUt8qAsnzEd8uEuMZqfdP9nwJ7p4BjH44100 +Kt35VWfVRbPT7h9zl7v04jve16Unbj7hI116yMWJSw1PwTf8t7vYJRcnLjW4 +7NlecZpNjVwzquU9Pt2Fg0amlQffchcNLgb7by7W6PqxSw4Pwot81l3u4lP4 +js/71rtgvsN/qxGTK8eaGmdBi3+ty5ng5h2mLj34Ej7kS+5yF8/gDJ3dl7v4 +Dr7lC+5il1w51tTg4kvmLpxyceJSY+/2YHZnIBcnLjV+G+i6v3T5jTArX/H1 +LjPTvXTur31bXb4935RvyTfIo/AR32r4m11qeZNvdOGA5VgTk8tnfLtLDd3H +N3zfXe/iGej4H7o7XTwCHf/Tho/q4iHE4J900ai06p+86y66nVf5sbvZRcfp +gfu7Xbjp+v/p0kMuTlxqzGIGvc0kFycuNWanC7/TZQ+wHrjFzMpH/KzLzDQ7 +7f5b33oX3SwGH9Nljc4/tksOTS3H2tFdfBDf8Ut3pwv2TrwLMb5GjrVfdHlX +ONR6ZzyBM3I2x3fxQGrk/rzLrLzP/3aZGZZjTYxnwaH2D108DW/z+4aP6+JT +xODfdVlTI1eOvcmxZo/+W8F+9W8E/q3At8dbnFjfoN9Yv6v79S23j8aj9W40 +exefwsv8zd2tWr7lr8WxcuWcVDG5fNLJVcMz8A6nuwtdPAPdfaa700Wn0/Hn +uwtdNPuGhc/t8u/WNCJtuG8Xnc4LnNOes7t4lDWL+9TipuPPqh7rF+e5VbNW +zXBGzbRucZ5dNfZOC99UZ2AvfNQptSez8hEX1Mx0Ox1/pW+3iy7fpvA/uujw +rQtf3pXnac8l7bm4MJ/x94rh4g2uKk6eYpd6F9d38R07F76ui+fZrLguqtl4 +nQtrxk0q56KKrV5ndmrtic/gVa5tzzVdPMcOha+utZ2q17U12/a1ZkYegVe4 +pT03d9F0tN1a7Vtas4+mFYPX6HO2u1euM+YZ+ITb23NbcfEqtxbnnpVzW8Xk +8hZ3VA2PwBfc0567u2h2uvq+9tzbRbPT4Su23iv08Qhi8PJ9vIpvbN/i5Uv4 +iuXa2gNdvrv9i/uu4qbd768ecnHiUnNAzXBvzXRwcT5QNXrodWftYd/qcVfF +zMo7rNRnZhqeblq34XX6aHwxeO0+a3TLen1ynL0ca94BTU6br97wan2wd+Jd +iPFFcqyt2udb5CMv7fJN0vB0+SZtbeM+vkeN3FX6zMoHrdxnZliONbEnFIfa +jfr4GD5kw4Y36KO7xOD1+6ypkSvH3uRYs0ez8bWX1YywO3Z5xczKS2zaZ2Y6 +n67fvuHt+mh+MXjbPp5ADN6mj+/hc7ZwFn0w77NlnxguOnuHPpx0Od2+W8O7 +9tHoYvAufTQ6rb5Hw7v30aV06MOcZR8dKgY/pE8vPnGrPj3peF5h54Z36qPp +xeAd+6zpqZccs8mxZkZcfNTWfThhe7ZXMbOb0Wz2QLfT8Qc1fGAfXS4GH9Bn +jdY/uE8OXS7H2v59fBCfsU/De/fB/sb42yLG18ixtlefs6DNj+hzJjwHXf7g +hg/v44HUyN2zz1mKwc5UrjN0dmr4GBxqD+vjWXiXQxs+pI9vFIMf1GdNjVw5 +9ibHmj3622iP9uZvJE1H2z3Xt9RH99K5z3a2fTQ+Xf9Yd7OP7/VN+ZY27+Mp +eItH+G3ss3de5p/6nAEsx5oYzU5n/7O70kez0+FPafjJfTyCGPykPr3VyDWD +u8B3b9bnTsBmMouYWfiaR/aZiY/hQ57o7vbR+WLw4/us6amXHLPJsWZGOtUZ +2Puj+3DTrY/q0wOWY02Mhqflj/Rt99HxYvDz+2hsuvml7lIfjS/H2vP6+CC+ +45nuTh/snXgXYt6VGrnemXflHXp33hlPoAfuF/fxIGLwi/qcNe/z1D5nDvNJ +T+sT45vMoPfT+6yJwXLsjc95QZ89wrzPC/vEYD31Envz3O5Eex62aL8Ji+yd +d/jXPmfAY/Aa/+Yu9dH8dP5r3N0+HoVXeYW71wfzNq/sE4P5olf1icF8x6v7 +xHDxDq/twwnzDf/RJwbT4a/rE6Pb6fo3N/ymPrqc9n+Du9gnl05/fZ8avcxs +Vj2tqZErh+an/d/a8Fv6eAI9cL+xD7cYrAedSwt/3Nn3mQWHWjPRwHKsfbSP +zqbF3+Ou9vEhvM3b3c0+mI94R58YTKe/s08MptPf1SeGixd4bx9OmE94X58Y +zDu8v0+M5zCTWT7SxzfwHh9yt/rk8hEf6FPjLPikt/U5E2tq5MqxZg9ml8Pn +6IH7w324xWA9nBWf8Ik+ZwbzDp/sE6MRacVvefd9fAyv92l3p08ub/KpPjWw +HGti/s2Lr/2su9fHI/AFS+56H91IWx7l7Pus8Q5dnxyegc4e3I0+Op2O/6Jv +v49upfXnhqc+uXTs2KdGb77mc31msKZGrhy99MCtJw+gB+5FH24xWA9c9mB2 +nGbhE77UZyaYd/hynxgdTsd/x7ff5yzFYGdqja76bp8cvoTX+Zq72MdT0O3f +cLf7YO/EuxCTK8eaGt+ib9q37JvETRd9r08PPoSP+JG71WdWPugrfWamm3iT +H7rLfXLp+h/3qcFFV32/D6dcnLjU4LIHs+OUixOXGn9H/a1dbmgauA+3b8C7 +1+PgyrF2fx9fQPf/yt3p4zt4nf919/pgXujnfWIwn/aLPjGYr/i/PjFcfMOv ++3DCvMTRfWIwH3FMnxjNTrv/3l3po8lp82Pd3T65dPtv+tToZWaz6mlNjVw5 +PALdf7y708dH6IH7d324xWA9aFxa9xzvqs8sONSaad3KsXZWH41Na5/kLvXx +ILzICe35U2Fe588Vg/mKv1QMpttPrBgu2v5vxQnzBidXDOYbTqnYOjWTWc7s +4/P4jtPbc1rl8hqnVo2z4IX+2OdM1qia0yrH2nI1u5y1qwfuM4p77cKn11nx +DufWmcF8w3kVoxFpxVt9C318CF9wYXsuqFxe4/yq2ahyLqiYXLrpoqqhm2i5 +v7fnkj6e2Dfq2/xprfEJl1YODU93X96ey/p4Brr8mvZc3UeH8x5XtueKyqXL +/1E1etNpF9cMW1fNFZWzRfW4rHpuVz1wX1Xc2xW+srg2r9kvrll4hWtrJpi3 +uK5idDetfnt7bquz3KvwrbVG199ROXwI73Nje27o4yl4i5vbc1PhPepd3Fy5 +u9WaGn8bnKmzPKq4eYc7qwcfwnfc1557a1a+5/qamU7nRe5pz92V6zfm/qrB +RcffVZwHFOe9VbNz7eGG4tyvOO+uGr9lfMLyQ37TYN5hhSExv6l+B1/Z8CuG +eBLeZOWGVxqSy5usOKQGlmNNTC4vuMqQGp6AD1i94dWGaEi6ceeGdxqyxius +MSSHhqez12p4zSE+gC7foOH1h+hu2nzdhtcZkkuHrz2kRm8+bNUhM1hTI1eO +Xnrg1pPH0AP3ekO4xWA93Gv3dNHwPITbnuxFD76Bt9io4Q2H6Gi6fauGtxyi +o8XgLYb4Dl5n04Y3GYLp8s2GxGC6fPMhMVy8wNZDOGG6f5shMT7DmTrLHYf4 +CjF4hyGz8TkbD5mRh+Altm94uyFnaw9md8a4eYtth/SQixOXGlz2YHaccnHi +UuPd8gG7DHnHfAEvslvDuw7ReLTew737IZ6AN9iz4T2G5PIyuw+pgeVYE5PL +N+w1pIZH4Av2bXifIb311MsM1viE/Ybk8Ah0+wEN7z9E09PthzZ8yBDPwCcc +3PBBQ3Jp/QOH1OjNu+w9ZAZrauTK0UsP3HryGHrgftAQbjFYD1z2YHacZuEl +DhsyE8wnHD4kRtPT8Y90V4acpRjsTK3R9Y8aksN38BEPdTeH+Ao6/Ajf8hDs +nXgXYnLlWFPjW+QDlw35JnHTaY8e0oMnoPMf71saMitv8+AhM/MUfMTj3LUh +uXT/E4bU4KL9HjOEUy5OXGpw2YPZccrFiUuN2dwxd8uMuHmLJw7pAfMNTxoS +o/Fp/We7S0M8gBj8zCG+g7d5qrs4BPNeTxsSg/mOpw+J4eItnjOEk2eg25/n +rg3R6XT9i3ybQzS7GPyCIRqT1nyTvQ7R6XzEke72kF58zTOG9MRNxz9/SA+5 +OHGp4RF4hZe4S0NyceJSg8ue7RWn2dTINaNavuGlQzjoYjr91e7OkL8NYrC/ +EdZo+X8fksN38CIvc1eHeAy+4uW+9SHY3xh/W8TkyrGmxlnQ5W8ecia4+YbX +DOnBc/AF/+muDNH0ztDZvWGIR+FVXufuDcmVY00NLl7ktUM45eLEpcbe7cHs +zkAuTlxqaE2alVY9sWblJd4yZGaakO77b9/aEN/qm/ItPXmIZ+Ad/qvhtw2p +5VPeOoQDlmNNTC4d8fYhNTwDn/Bud2eIR6Db3+uuDdH0dPuHG/7QEE8gBn9w +yF0wk1ncCZ6Cj/iAuzlEB+qB+51DuHmD9w3pIRcnLjVmMYPeZpKLE5cas9NC +7xiyB1gP3GJm5TU+MmRmmp6O/5xvfYjmF4M/M2TNuX9+SA6dLcfap4d4DF7j +k771Idg78S7E+BY51j4+5F3hUOud0fh0/OQuDfE1auR+bMisfM5Hh8wMy7Em +xlPgUDsM8SB8R+/uD/luxOClIWtq5Mo5sb4ta/ZoFhqE9jATLcI7fGGIJllt +bLqpPae05+QxHoDu/7q7OcR38DZfcheHYN7ry0NiMN/xlSExmO/46pAYLl7i +G0M4YT7im0NiMF3/rSExOp7u/0HD3x+i0/mI77q7Q3Lp9m8PqdHLzGbV05oa +uXJ4BF7hfxr+4RCPoAfu7w3hFoP1oHFp3d95N0NmwaHWTDSzHGu/HaKRaeWf +u1tDfAbv8RN3dQjmK44aEoP5ip8OicF0+8+GxHDxAb8YwgnzBv83JAbzDb8c +EuMJzGSW3wzxJLzJ0e7akFxe41dDapwFH/SjIWdiTY1cOdbswexyeBo9cB8z +hFsM1sNZ8Q3HDTkzmJf4/ZAYzUjnXeBbGOJD/FvHHxs+fkguX/KHITWwHGti +cvmMPw2p4Rn4hL+460M0I+14ldlrjZc4sXJ4CDr+JHdniG6n809vz2lDdDjf +cIq7V7l0+d+qRm++5oSaYdWqOblyVqoef62ea1QP3KcW9xqFTymuFWr2E2oW +vuKMmgnmNc6sGJ1M11/UngvrLDcpfEGt0fkXVw7fwYuc056zh2hy2vy89pxb +eKN6F+dV7ga1psa36Jv2Lf+suOm2S6oHD8E3/KM9l9esfM5ZNTMdxatc1p5L +K5cPuKJqcNFZfy/OLYvz8qpZt/ZwdnEuK85Lq2abeudXFqd3z1dcXd+Av8n+ +zh7cfssOGqPj+YCb2nPjEB/C21zbnmsK8z7XVQzmO66vGMwH3FAxXHzEzcUJ +8xa3VAzmK26tGA1Py9/dnruGaHRa/Y723F65fMBtVaPXrjXrDbW2d+XK4SH4 +hHvbc88QT7Jfcd9Z3PsWvqN6H1C5d1ctr3FfcdDgdPM67azWHqO56eSVGl5x +jMfgNR5oz/21RkuvPCbHGm+y3JgcnoPPWKH938uPwThxiR1SOdbU6E2Hrztm +Btx8wypjejyyZjTbWmN8Bp+yRv3d4jP4Dn/HVh1TKwbjsKZGrhx7P7j24gz4 +Hj1wrzmGWwzWw7dEuz1ozDdlVt5hvTEz07h03h4N7z7Gk/ANGzW84RgPwots +0PD6Y7Aca2Jy+YqNx9TQVbTeZg1vOubb3qG+1atrjY/YfEwOD0HXb9HwsjGe +ga7fvuHtxuh03mTrhrcak0u3bzmmRm86bpMxM1hTI1eOXnrg1pNH4VW2bXib +MdxisB647MHsOM3CN+wwZiaYl9hxTIxOptv3anjPMWcpBjtTa7T93mNy+BTe +Z5eGdx7jOXiP3dz1Mdg78S7E5Mqxpsa78068C+8QNx+xz5gePAifcGDDB4yZ +lS/aaczMPAvvsn/D+43J9Zvjt0YNLt5k3zGccnHiUoPLHsyOUy5OXPvWN+27 +O7U0mW+PrzhkzDfoN9bv4pca/uIYX8K7PNjdHeND+JTDGj50DJZjTUwuX/SQ +MTU8A59whLs0RjPSjkfa+5g1XuKfxuTwEHT4I9yVMbqdrn+csx2jy2n/Rzf8 +qDG5dPojx9Tozec8dMwM1tTIlaOXHrj15An0wP2YMdxisB60LI1Km36huO3J +XvTgIXiJx7t7Y3Q47f4Md2GM7haDnzaWx3En3I0xmK948pgYTLc/ZUwMF1/w +zDGcMK/wrDExHsOZOsvnj/EhYvDzxszGBz1hzIx8BC/y3IafM+Zs7cHszhg3 +n/HsMT3k4sSlBpc9mB2nXJy41Hi3vMMLxrxjnoF3eJG7Mkbz0X5v8+7HeAbe +4aUNv2RMLm/y4jE1sBxrYnL5in8dU+O98Akvd9fG9NZTLzNY4xteMSaHZ6Dj +X+WujdH4dPzr3IUxHoJveI27NyaX9n/1mJpFfQcvGzODNTVy5eilB249eQ49 +cL92DLcYrAcuezA7TrPwFq8fMxPMN/znmBiNT9e/3V0Zc5ZisDO1Rue/Y0wO +H8JXvMndHOMz6PK3NPzmMdg78S7E5Mqxpsa3yDc+dcw3iZtue+eYHjwC3f8+ +39KYWXmdN4yZmcfgK97jro3J5QPeP6YGFy34rjGccnHiUoPLHsyOUy5OXGrM +5o65W2bEzWt8YEwPmI/44JgYzU/7f8pdGuMJxOBPjPEhvM5H3MUxmBf76JgY +zId8bEwMF9/w32M4YTr+02NidDud37kbYzS8GPz5MZqT9vyesxuj2/mKz7nL +Y3rxOR8f0xM3Xf+ZMT3k4sSlhmfgHQZ3b0wuTlxqcNmzveI0mxq5ZlTLR4xj +OOhkuv0rvu0xfxvEYH8jrNH2Xx2Tw4fwJrO7PcZz8BlfcHfGYH9j/G0RkyvH +mhpnQad/f8yZ4OYjvjamBw/CJ3zb3R2j8Z2hs/vOGM/Cu3zTXRuTK8eaGly8 +ydfHcMrFiUuNvduD2Z2BXJy41Phb6W+gv33+ZpqVt/jBmJlpXrrvD76tMT7W +N+Vb+tAYT8Fb/MjdG1PLt/xwDAcsx5qYXL7jx2NqeAi+4Wfu4hjPQMf/3N0Y +o/Hp+KN9+2M8ghj8qzF3wUxmcSd4DL7il+7yGB2oB+6jxnDzCr8Y00MuTlxq +zGIGvc0kFycuNWanK38yZg+wHrjFzMp7HDNmZpqddj/Btz5G8y9X+I+1Ruf/ +uXLobDnWjh/jQXiR49ydMdg78S7E+Bg51o4d865wqPXO6Ho63r9V/W2Mz1Ej +97djZuV7fjNmZliONbFVikPtSWM8DJ/x1/acOManrFT4L7W2SuX+tfa2Yq3Z +o/9tmv9Nmv+u478t0W68w2ljNNy3p/Ztt+f1c/s253gcvuGs9pw5xnfwNme0 +5/TC69TaGZVLp55dNTwD73Bee84doxlpx7ucRa3xEedXDk1Ph1/YngvGaH66 +/rL2XDrGQ9D1l7Tn4sql3S+qGr1p5XNqhk2r5uLK2ah6XFA9l1UP3H8v7mWF +9XBH3dXXtDP59yncG9Re9OA7+Ih/tOfyMZqddr+xPTeM0c27FL5+jMfhK65u +z1WF+YprKgbT7ddWDBdfcFNxwrzCzRXbp87UWd4xxhPsU/j2mo3XuaJm5FP4 +ktvac2ud7VY1+2XFzcfcUj32Ks7bqwYXL3Vlce5RnLdWjXfLN9xd75iP4DPu +bc89YzQerbdxO8uNpnhUPuGB9txfubzJfVUDH1xr91Wu38nlptTwCLzCiu3/ +XmFK7wOq1921xjesNCWHhqezV2l45Skan45fq+E1p3gGOn71hlebkkubrzql +Rm+/1ctPmcGaGrly9NIDt558gR6415jCLQbrcWjtwew4zcJbrD1lJphvWGdK +jKan4zdteJMpZykGO1NrdNVmU3L4EDp8/YbXm6LL6fYNG95gCvZOvAsxuXKs +qfEt8n3X1TeJmy7afEoPnoGu37rhrabMyuusO2VmPoXP2LLhLabk0vXbTKnB +RWstm8IpFycuNbjswew45eLEpcb/ttb/htZ/U/bfls3qzl1fM/MRfMZ2LXfb +KZqf9t+94d2meAIxeNcpPof32bHhHaZgvmOnKTGYD9h5SgwX37DHFE6Yjt9z +Soxup/P3b3i/KRpeDN53iuakPR/j7Kbodr5in4b3ntKL99hlSk/cdP1eU3rI +xYlLDc/AOxzY8AFTcnHiUqMXHfzYKT1xOwN710MtH3HQFA46mW5/iG97imYW +gw+f4jt4kQe5y1Mwn3HIlBjMZxw6JYaLF3joFE6YT3jYlBgPQLc/yl2Y4jnE +4EdMWXNmzkoOj8KrPNzdmnLWzsDenTluXuSIKT3k4sSlxl7twez2LBcnLjXO +ind43JQzo+FouZf4lqZoWjH4RVN8rW/It7P9FM/AJzzBXZvCxaf88xROWI41 +Mbl01BOn1PAIfMFTG37KFM1OZz/dXZii2enw5zb8nCkeQQx+9pRv30xmcQf4 +Er7iWe7uFB2pB+4nT+Gm5Z8xpYdcnLjUmMUMeptJLk5casxOCz5pyh5gPXCL +mZV3eN6Umel0uv1lvu0pml0MfumUNdr+36bkOHs51rwDHoPXeKG7MgV7J96F +GF8kx9qRU357/Cb4LfAbRLP7G+9v+6un+B41cv9lyqx80POnzAzLsSbGQ+BQ ++6opPoZveaW7PsXTiMEvn7KmRq4ce5NjzR5pDb7htVM0Bx/BZ7zO3Zrym+p3 +8Kz2nDnFp/A1b2j4P6fk8iavn1IDy7EmJpeveOOUGp6AN3iLuzhFJ9KSn7fX +KWt8wlun5NDcdPZ/uXtTNDxd/p6G3z1F59Pt73SXp+TS5m+fUqM3X/KmKTNY +UyNXjl564NaTD9AD97umcIvBejgrZ+RsnBlue7IXPfgGvuJ97t4UnU63f9xd +mqLDxeCPTvE1fMMH3cUpmC7/0JQYTJd/eEoMFy/wiSmcMN3/ySkxmtuZOsvP +TvEYYvBnpszG+7x/yox8B2/yaXd5ytnag9mdMW7e4lNTesjFiUsNLnswO065 +OHGp8W75gqUp75hP4C16d2mKpqPtfuTdT/k3Xb5ganicksvLDFNqYDnWxOTy +EvOUGp6AN/iiuzylt556mcEan/ClKTk0O139FXdpiqan27/lXU/xCHT7193d +Kbm0+Fen1OjNlyymzGBNjVw5eumBW08+QA/c35jCLQbrgcsezI7TLLwEn2Qm +mE/gmcRoeLr9KN/+FI0vBv94yhod9dMpOXwH3f19d3OKDqfTf+hbnoK9E+9C +TK4ca2p8i7ziR6Z8k7jpnp9N6cEj0PG/dBemzMrbfHfKzHwJX/ELd3lKLh3/ +qyk1uGip/53CKRcnLjW47MHsOOXixKXGbO6Yu2VG3LzCr6f0gPmKo6fEaHxa +/3jf+hQPIAb/foqv4XV+a+9TMJ9x7JQYTPf/bkoMF5/wxymcMN3+pykxOp2u +P8m3OUWzr1z4xCkak9a81F6n6HQ+4i/t+fOUXrzGcVN64qbjT6geKxbniVXD +I/AKJ7tLlbtCcanBZc/2elzNtmrlnlS1fMMpxUEX0+nnuDtT/jasV/isWqPl +z60cvoMXOa09p07xGHzFGb71wv7GnFmxNSvn9KpxFnT5ZXUmuPmG86oHz8EX +XNyei6Zo+mV1dpdM8Si8yoXtuaByN6+1i4uLFzm/ODcpzouqZvXaw6l1BhsV +5wVVQ2v6d+LDpmhOs/ISl9fMNC2dd1977p3ia31TvqVjpmgC2uDK9lxRtXzK +P4pj68q5omJy6aqrqoZH4Auua8+1UzQ7XX1De66fotnp8Nvac+sUj7Bn4Vum +3AUzmcWd4Ev4ipvbc9MUXbljcV9T3LT7jdVj9+K8pWp2qhmur5l2Lc6bqsbs +tOHVtYftq8c1FTMr73B7zXxInevyc/vW52h8MfiBWnP2K8zJoavlWLt/iian +ze9pz92FD6x3IbZf5Vi7q97VwVXrndHwdPlqjXvVOb5nv8q9s2blg+6omeF9 +a03socWhdpU5PoYPWbnhleZ4GjF4xTlrauTKsTc51uzRt8YTHV77NhvvsPqc +GWE+Y405MRqf1t+w4Q3meAAxeP05PoevWbvhteZgXmedOTGYb1t3TgwXn7DR +HE6Y7t54ToxOp+O3aHjZHM0uBm8+R3fSpvs2vM8cnc4LbNbwpnN68T3rzemJ +m47fZE4PuThxqeEBeIGtGt5yTi5OXGr0onv3m9MTtzOwdz3U8hlbz+Ggw2n3 +nRveaY7uFoN3nONLeJ1tG95mDuYrtpsTg+n27efEcPEFu8zhhHmFXefEeAw6 +fO+G95rjQ8TgPeesOTNnJYcH4UX2aHj3OWftDOzdmePmDXeb00MuTlxq7NUe +zG7PcnHiUuOseIX955wZjUjXPd63NEcXisGPm6PxaL1n457ja/iIgxo+cA4X +r3LAHE5YjjUxuXT0wXNqeAbe4bCGD53jGej2B7src3Q6Xf9w3/oczS4GHzHn +N9lv8zca/vocXc5HPKzhh87xKHrgPmQON93+kDk95OLEpcYsZtDbTHJx4lJj +dl7gQXP2AOuBW8ysfMYj5sxM09PxT/Jtz9HNYvAT5qzRVU+ek+Ps5VjzDvgS +uvyx7soc7J14F2J8ihxrj57zLfKNO8z5JnkEOv6Z7s4cT6NG7qPmzMobPXLO +zLAca2I8Bw61T5+js3iZp7nrczSXGPyUOWtq5MqxNznW7NFs7pi7ZUaz+aZ8 +S2b0bfEZz5nzjfEAdP+L3KU5ul4MfsEcX8LrPM9dnIN5oefPicF8yL/MieHi +JV48hxPmI14yJ0az0+6vaPjlczyDGPxvczQq3fkuZzlHs9PuL3OX5/TiO46c +0xM3n/DSOT3k4sSlhqfgG17lLs7JxYlLDS57tlecZlMj14xqeY9Xz+GgkWnl +N/iW52hwMdh/c7FG179xTg4Pwou8xl2e41P4jv/wrc/BfIf/ViMmV441Nc6C +Fn/3nDPBzTu8aU4PvoQPebu7McczOENn9445voMXfOuc/z8HcuVYU4OLL/H/ +BwEnj4MT19vm7N0ezO4M5OLEpcZvA133zTm/EWblK94zZ2a6l84dfGtzvj3f +lG/JN8ij8BHvb/h9c2p5k/fO4YDlWBOTy2d8YE4N3cc3fMRdmOMZ6PiPuUtz +PAId/2nf/hwPIQZ/ao5G9Rvnt+1rc3Q7r/JJd3uOjtMD94fmcNP1H5/TQy5O +XGrMYga9zSQXJy41ZqcLPzhnD7AeuMXMykd8Zs7MNDvtvvDtztHNYvA0Z43O +/8KcHJpajrVxjg/iOzrf+hzsnXgXYnyNHGufn/OucKj1zngCZ+RsvjrHA6mR ++7k5s/I+n50zMyzHmhjPgkPtV+Z4Gt7myw1/aY5PEYO/OGdNjVw59ibHmj36 +b51r1b8R+LcC3x5v8a0536DfWL+ray1a7iIaj9b7i9nm+BRe5rvu7pxavuXb +czhgOdbE5PJJ35tTwzPwDv/jLszxDHT3jxv+0RydTsf/3N2do9nF4J/N+Xdr +GpE2XHOOTucFfupuzvEoeuD+wRxuOv4nc3rIxYlLjVnMoLeZ5OLE9ZPaOy18 +Yp2BvfBR35+zJ7PyEb+YMzPdTscf51ufo8vF4GPn6HAx+LdzfArv82t3cw7m +M46eE8PFG/x+DidPsUK9ixPm+I7lC/9pjufBieuXc2bjdf5vzoywHGti9uLM +nJU98Rm8yh8bPn6O5xCD/zBnbbnqJcdscqyZkUfgFU5yd+ZoOtruCu9+jqbd +uvDldbYrV64z5hn4hFPcpeLiVf5WnKtWzskVk8tbnFo1PAJfcKZvf45mp6vP +bs9ZczQ7HX5hey6Y4xE2Lnz+HK/iG1uzePkSvuK89pw757tbu7hPL27a/Zzq +sWFxnl8169QMZ9VM6xfnuVWjh16n1R7WrB6nV8ysvMNFNTMNTzdd3Z6r5mj8 +7QpfWWt0yzWVs03lXFnvgCanzS9rz6WFt6p3Ibascqz9fc63yEceM+ebpOHp +8pvac+Mc37Osci+pWfmgi2vmzSrnkortUhxqb5jjY/iQ69tz3RzdtVPha2tt +l8q9vva2Y63Zo9n42t/MmRF2x9yt39SsvMTNNTOdT9ff155752j+AwvfM8cT +HFD47jm+h8+53VkU5n3uqBguOvv+4qTL6faV2m/niotodDF4hUU0Oq2+SsMr +L6JL6dBlDW++iA4VgzdbpBefeGf1pON5heXb2nKLaHox+IFa01MvOWaT80DN +iIuPuqs496s9310xs5vRbPZAt9Px6zW87iK6XAxeZ5E1Wn/9RXLocjnW1l7E +B/EZazS8+iLY3xh/W8T4GjnWVlvkLGjzLRY5E56DLt+04U0W8UBq5K66yFmK +wc5UrjN0dmr4GBxqN17Es/AuGzW84SK+UQzeYJE1NXLl2Jsca/bob6M92pu/ +kTQdbXdgwwcsonvp3P0b3m8RjU/X79Dw9ov43r3qW7p1jqfgLbZua1stsnde +ZstFzuAZlWNNjGans3dqeMdFNDsdvkfDuy/iEcTg3RbprUauGdwFvvuWuhPw +njXLLTULX7PNIjPxMXzIrg3vsojOF4N3XmRNT73kmE2ONTPSqc7A3rdbhJtu +3XaRHrAca2I0PC1/iG97ER0vBh+8iMamm/3/GnvIIhpfjrWDFvFBfMe+7s4i +2DvxLsS8KzVyvTPvyjv07rwznkAP3A9exIOIwYcvcta8z56LnDnMJ+21SIxv +MoPeey+yJgbLsTc+59BF9gjzPoctEoP11EuMT+A9jljk/8fa/wO0LrM8 + "]], + Annotation[#, "Charting`Private`Tag$92024#1"]& ]]}, {}, {}, {}, {}}, + LineBox[CompressedData[" +1:eJwl12dY3fUdxuGnTuIkTmKMnjiJkziJcRy1VWwdOFpxVdSq2KFY24pGI3ES +JyeOgBOc4OQ4wQlOrLaiXdiJnWirRbuwS2/Mi/sKF2+eN3y+v39mn3jGYad/ +Lsms5ZPl/Dv186uU/HCUX8z2+3cpr5Ccs2Ky90rJtJWTN+msSE6YlsxZJfmQ +gVWTRaslB6yeTF8jeZvuNZPTKpO505OPGVorWbx2cug6yYx1k3foXS85c/1k +XpX9GfYpbWB/pv0N7VOeZX8j+xvbL9inc7b9Texvap+Bzexvbn8L+1vap7va +/hz7W9lnaGv729jf1v529und3n6N/bn2d7BPaUf7O9nf2T7lXezvar/W/jz7 +dO5mf7793e0zsIf9Pe3vZb9on+697e9jf1/7DH3e/hfs72d/f/v01tk/wP4X +7X/JPqUD7R9k/2D7lA+xX2//UPuH2afzcPtH2P+yfQa+Yv9I+w32j7JP99H2 +j7F/rH2GjrP/VfvH22+0T+8J9k+0f5L9r9mndLL9U+yfap9yk/3T7H/d/jfs +0/lN+9+yf7p9Bs6w32z/TPvftk/3Wfa/Y/+79hn6nv2z7bfYP8c+vefaX2D/ +PPvn26e00P4F9lvtU15k/0L7F9m/2D6dl9i/1P5l9hlos7/Y/uX2r7BP95X2 +r7J/tX2GrrHfbr9kf4l9eq+1f5396+3fYJ/SUvsd9jvtU77R/k3JuSzgPM5n +IRfQyiIu5CIu5hIu5TLaWMzlXMGVXMXVXEM7JZZwLddxPTewlA46uZGbuJlb +uJXb6KKb27mDO7mLu7mHHnq5l/u4nwd4kIfoo8zDPMKjPMbjPEE/AzzJUzzN +MzzLcwwyxPO8wIu8xMu8wjCv8n1e43V+wA95gxHe5C1+xI/5CT/lZ4zyNj/n +F/ySX/FrfsMY7/Bbfsfv+QN/5E+M8y7v8Wf+wvt8wF+Z4EM+4m/8nX/wT/7F +JB/zb/7Df/kf/+cTcrO/HZZjeVZgRVZiZSqYxiqsymqszhqsSSXTWYu1WYd1 +WY/1qWIGGzCTDZnFRmxMgdlswqZsxuZswZZUM4et2Jpt2Jbt2J4a5rIDO7IT +O7MLu1LLPHZjPruzB3uyF0Vapv6OdDKulwIN+mnX0bCeQq2+mnXWo7cx3VVR +r8M2PQ7qclKfNTTptUu3o/qtpE7Prbru1/eEzqtp1H2H/kfcgQqK7kKL+9Dn +Toy7FwUa3I92d2TYPQm17kvzAvvuzZi7U0W9O9TmHg26S5PuUw1N7lWXuzXq +flVS5561umv97tuEO1dNo7vX4f6NuIMVFN3FFvexz50cdy8LNLif7e7osHsa +at3XZne2x70dc3erqHeH29zjQXd50n2uoekY++72qPtdSZ173uqu97vvE+58 +NY3ufof7P+IdqKDoXWjxPvR5J8a9FwUavB/t3pFh70mo9b40e2d6vDdj3p0q +6r1Dbd6jQe/SpPephibvVZd3a9T7VUmd96zVu9bvfZvwzlXTON++92/EO1hB +0bvY4n3s806Oey8LNHg/272jw97TUOt9bfbO9nhvx7y7VdR7h9u8x4Pe5Unv +cw1N3usu7/ao97uSOu95q3e93/s+4Z2vptG73+H9H/EdUEHRd0GL74M+3wnj +vhcKNMy07zti2PdEqPV90ew7o8f3xpjvjirqfYe0+R4Z9F0y6fukhibfK12+ +W0Z9v1RS53um1XdNv++bCd851TT67unw/TPiO6iCou+iFt9Hfb6Txn0vFWjw +/dTuO2rY/Cu8zEu8yAs8zxCDPMezPMPTPMWTDNDPEzzOYzzKIzxMmT4e4kEe +4H7u41566eEe7uYu7uQObqebLm7jVm5ZdsoyddKkHBlHxlm6LOFIOBKOhLNk +6jsR+Ua+kW6kG+lGtpFtZBvZRrKRbCQbuUaukWvkGqlGqlm4LNPINDKNTCPR +SDQSjUQjz8gz8ow0I81IM9KMLCPLyDKSjCQjyUgycowcc/KyFCPFSDFSjAwj +w8gwMowEI8FIMPKL/CK/yC/Si/QivcgusovsIrtILpKL5KZyi9wit8gtUovU +IrVILTKLzCKzFJFYJBaJRV6RV+QVaUVakVakFVlFVpHVVFKRVCQVSUVOkVPk +FDlFSpFSpBQZRUaRUWQUCUVCkVAKyCfyiXwinUgn0pnKJrKJbCKbSCaSiWQi +mcglcolcIpVIJVKJVCKTyCQyiUQikUgkEok8Pvv/xqdpsG50 + "]]}, + VertexTextureCoordinates->CompressedData[" +1:eJxk3X/Qb/9a1/U7/FXI6BnFkWSGkTNkaZOZOUhjCTnKkE6U5VAJjiTKnAgT +CZGIEMeTYUN00JOmMrd2vA1X0Rpc3qSIbAW2HXBvcnu2sgF3gBsCRAQtcZIh +p+99f6/H65rryn/OPHXv+3N/1rrWeu+H56z3+sjf9Nv+nd/yQTc3Nx/35Tc3 +D/+5/8/Hvbi+51/57z7nY/WnPva703/8Y77kb3zrd31p+rMe/9/fm/6id/+x +T/niP/+H15+/7Z/3uf/qV/z+D3rf+vt36c/7BT//5/6tf/Yr0l/8+POO9H// +nT/rD/+L/+ZXpv/U488/05/yb/wT/9THfs1Xrc+70u/6E7/zV33fr/kz6/Pv ++/P/37/7u/+b7/zq9Bc8/j5/Nv3uf+83P/klv/3Ppb/k8ff7mvR7//R3/Njf +/Alfm/7yx9/3L/Tx+Km/9pf+nj/4dev3f5L+todf50P/0vo+3d/5XR/xbT/w +G74+/Zsev983pL/vQ379W/833Z/x+H2/Mf3Db/309/y9b1zf/2n6H3z6w//F +X17Ho/vH/8DDF/jf+nw/Hp/3pz/o6z/+R/7r592/9/F4fVP6g3/4rR/3s745 +/aWPx6/7HR/+df/8L/6Nf2Udz2fpD/uE/+eb/8s/9Wwd3+fpn/s7/uV3ffvf +737f4/H+lvTPe99/8pP/hV/2v6f/p8fj3/0L/+px90Xv/qvrfLxI/5wv/OyP +/MFPf7HOT/ftW0f3D/zUv5b+7sfz1f3O//l/+Yv/2ld1f9rj+ftA+k/+68++ +9Pt/3QfW+ez+5976aV/2jz6wzu/L9Fc+fL3bl+t8d/+in/RRP/69v+Kvpz/7 +8fx3/5k/+vALdP/o4zz8jfQv/cW/8Y+8dcjWfHT/+W/6gv/ozS/61jUv3b/8 +8a93vz0/r9Jf/6N/9qd89Od3vz1P3b/y4XR9xLet+ep+/zv/7z/5+76x+598 +nLdvT//qr3nHw4Ss67f7W/6tX/gr/48P+Y70T3ucx+5/+/t+zc/8r/509+9/ +nM+/mX758PU/qftDH+e1+5Me/vaPdb99P3ud/o6H8ftj3R/+OM/dv+HjvuHh +N+x5e5zv7u9+ODo/0P3Ox3n/zp633/p4wnreHue/+/t/wsMX/q6et8frofsz +Hk9f97c+Xh/fnf6dH/Yw4N0/+/F66f5zD3/8Pd3/weP10/1j58MAdf/Rx+vp +b6V/2ec/nPDu14/XV/d/8aseTlD3Rzxeb91/8fH0d7+9PrxJ37x+OADd/8Pj +9dj9K9760z/jC7u/5/H67P49j7eH7o96vF67//JbF//XvvN70p/+eP12/+S3 +jsY7fkf3Vzxez92f8Ne/5Y//lvd3/+3H67v79z2M8z/9velf8Hi9dz/7jL/z +2376Z3Z/5uP13/0hH/1wgLvPx/tB9yc+nK53/J/pH3m8P3S/5/kf+nk/7dO6 +/6XH+0X3Bx7+n7+6+z99HMfuD/20r37rDvB96fvH+0n3Jz1cnr+++x8+3l+6 +/9A/+sDT//Aruz/m8X7T/e1v/b/+r/+4+/Mf7z/f39fbw7j92u6/8Hg/6v6U +T/5d//mn/onuf/yXHu5P3bcPX+9Huz/28X71A319/v0v/9Uf/Andv/vx/tX9 +zofbzR/p/sbH+1n3b37r7N7/UPdPfLy//e30//jvPlxQ3R//eL/r/v6Hy+XL +ut/+91X3z//BhxPa/Vce74c/mP6PH07PR3d/yOP9sfsrH/8B2P2Jj/fL7h9+ +vH12/7eP98+/0+vTz364YXX/tcf7afdnv1Wf/Lu6f+bj/bX7/nF57f51j/fb +7n/4eZ/4z/ykj/qh9B98vP92f8zDeH1u97c93o+7/7Of/pn/4Pym7p/zeH/u +/tqHr/Phf7fn5fF+3f3jb53Nf/+3dr99/+7+5b/94Qba/fb9vPuLHm5XP+OH +0x/5eH/v/oaf8nABd799v+/+iS8fLoDuu8fbeffH3z4M0I+kv+9xPej+4ofT +8cndb68P3d/8Sx6+QPfb60X32//n761ud+z/5A/NH5o/NH/MP3/bP6/8Mf/+ +XZo/NH9o/tD8ofljft6V5o/5+ff9+eUPzR+aPzR/aP7Q/JHjUf6Yv/+TNH/M +79PNH5o/NH9o/tD8Mb//0zR/zOPRzR853+UPzR+aPzR/aP7Q/DGP57M0f8zj ++zzNH5o/NH9o/tD8Mc/HizR/zPPTzR+aPzR/aP7Q/DHPZzd/zPP7Ms0f83x3 +84fmD80fmj80f8z56OaPOS/d/DHn51WaP+Y8dfPHnK9u/tD8ofljXr/d/KH5 +Q/OH5g/NH5o/NH/M+9nrNH9o/tD8kXkrf2Teyh+Zt/JH5q38kXkrf2j+yLyV +PzJv5Q/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+mOvDmzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP3K9lD80 +f+T6KX9o/tD8oflD84fmj1xv5Q/NH7nflz80f+R6LH9o/sj1Wf7Q/JHrtfyh ++UPzh+YPzR+aP3J9lz80f2j+0Pyh+SPzUv7I+lP+yLyUP7IelT80f2R9Kn9o +/sh6Vf7Q/JF5KX9o/si8lD80f2Reyh9Z/8sfmj80f2j+yLyUP7Ielj/m/bub +P+b9vJs/NH9o/pj3+27+0PyReSl/aP7IvJQ/5vrQzR9zvejmj9n933Pkvly9 +/5M/NH9o/ph//rZ/Tvlj/v27NH9o/tD8oflD88f8vCvNH/Pz7/vzyx+aPzR/ +aP7Q/KH5I8ej/DF//ydp/pjfp5s/NH9o/tD8ofljfv+naf6Yx6ObP3K+yx+a +PzR/aP7Q/KH5Yx7PZ2n+mMf3eZo/NH9o/tD8ofljno8Xaf6Y56ebPzR/aP7Q +/KH5Y57Pbv6Y5/dlmj/m+e7mD80fmj80f2j+mPPRzR9zXrr5Y87PqzR/zHnq +5o85X938oflD88e8frv5Q/OH5g/NH5o/NH9o/pj3s9dp/tD8ofkj81b+yLyV +PzJv5Y/MW/kj81b+0PyReSt/ZN7KH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pwx14c3af7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/5Hopf2j+yPVT/tD8oflD84fmD80fud7KH5o/cr8vf2j+ +yPVY/tD8keuz/KH5I9dr+UPzh+YPzR+aPzR/5Pouf2j+0Pyh+UPzR+al/JH1 +p/yReSl/ZD0qf2j+yPpU/tD8kfWq/KH5I/NS/tD8kXkpf2j+yLyUP7L+lz80 +f2j+0PyReSl/ZD0sf8z7dzd/zPt5N39o/tD8Me/33fyh+SPzUv7Q/JF5KX/M +9aGbP+Z60c0fs/t/V/Vxj//3n/P/c4be/8kfmj/mn7vtn1f+mH//Ls0fmj80 +f2j+0PwxP+9K88f8/Pv+/PKH5g/NH5o/NH9o/sjxKH/M3/9Jmj/m9+nmD80f +mj80f2j+mN//aZo/5vHo5o+c7/KH5g/NH5o/NH9o/pjH81maP+bxfZ7mD80f +mj80f2j+mOfjRZo/5vnp5g/NH5o/NH9o/pjns5s/5vl9meaPeb67+UPzh+YP +zR+aP+Z8dPPHnJdu/pjz8yrNH3Oeuvljzlc3f2j+0Pwxr99u/tD8oflD84fm +D80fmj/m/ex1mj80f2j+yLyVPzJv5Y/MW/kj81b+yLyVPzR/ZN7KH5m38ofm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/zPXhTZo/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH7leyh+aP3L9lD80 +f2j+0Pyh+UPzR6638ofmj9zvyx+aP3I9lj80f+T6LH9o/sj1Wv7Q/KH5Q/OH +5g/NH7m+yx+aPzR/aP7Q/JF5KX9k/Sl/ZF7KH1mPyh+aP7I+lT80f2S9Kn9o +/si8lD80f2Reyh+aPzIv5Y+s/+UPzR+aPzR/ZF7KH1kPyx/z/t3NH/N+3s0f +mj80f8z7fTd/aP7IvJQ/NH9kXsofc33o5o+5XnTzx+x+joM/9n+vsZ2h93/y +x/zzt/3zyh/z792l+UPzh+YPzR+aP+bnXWn+mJ9/359f/tD8oflD84fmD80f +OR7lj/n7P0nzx/w+3fyh+UPzh+YPzR/z+z9N88c8Ht38kfNd/tD8oflD84fm +D80f83g+S/PHPL7P0/yh+UPzh+YPzR/zfLxI88c8P938oflD84fmD80f83x2 +88c8vy/T/DHPdzd/aP7Q/KH5Q/PHnI9u/pjz0s0fc35epfljzlM3f8z56uYP +zR+aP+b1280fmj80f2j+0Pyh+UPzx7yfvU7zh+YPzR+Zt/JH5q38kXkrf2Te +yh+Zt/KH5o/MW/kj81b+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o+5PrxJ +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8ofkj10v5Q/NHrp/yh+YPzR+aPzR/aP7I9Vb+0PyR+335Q/NHrsfyh+aP +XJ/lD80fuV7LH5o/NH9o/tD8ofkj13f5Q/OH5g/NH5o/Mi/lj6w/5Y/MS/kj +61H5Q/NH1qfyh+aPrFflD80fmZfyh+aPzEv5Q/NH5qX8kfW//KH5Q/OH5o/M +S/kj62H5Y96/u/lj3s+7+UPzh+aPeb/v5g/NH5mX8ofmj8xL+WOuD938MdeL +bv6YfXPznvXcxnvW/47qPeu/13jPcobmj/nnb/vnlT/m379L84fmD80fmj80 +f8zPu9L8MT//vj+//KH5Q/OH5g/NH5o/cjzKH/P3f5Lmj/l9uvlD84fmD80f +mj/m93+a5o95PLr5I+e7/KH5Q/OH5g/NH5o/5vF8luaPeXyfp/lD84fmD80f +mj/m+XiR5o95frr5Q/OH5g/NH5o/5vns5o95fl+m+WOe727+0Pyh+UPzh+aP +OR/d/DHnpZs/5vy8SvPHnKdu/pjz1c0fmj80f8zrt5s/NH9o/tD8oflD84fm +j3k/e53mD80fmj8yb+WPzFv5I/NW/si8lT8yb+UPzR+Zt/JH5q38oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR9zfXiT5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NHrpfyh+aPXD/lD80fmj80 +f2j+0PyR6638ofkj9/vyh+aPXI/lD80fuT7LH5o/cr2WPzR/aP7Q/KH5Q/NH +ru/yh+YPzR+aPzR/ZF7KH1l/yh+Zl/JH1qPyh+aPrE/lD80fWa/KH5o/Mi/l +D80fmZfyh+aPzEv5I+t/+UPzh+YPzR+Zl/JH1sPyx7x/d/PHvJ9384fmD80f +837fzR+aPzIv5Q/NH5mX8sdcH7r5Y64X3fwx++bmq8oJ/KH5Q/PHV63/XkPz +x/zzt/3zyh/z79+l+UPzh+YPzR+aP+bnXWn+mJ9/359f/tD8oflD84fmD80f +OR7lj/n7P0nzx/w+3fyh+UPzh+YPzR/z+z9N88c8Ht38kfNd/tD8oflD84fm +D80f83g+S/PHPL7P0/yh+UPzh+YPzR/zfLxI88c8P938oflD84fmD80f83x2 +88c8vy/T/DHPdzd/aP7Q/KH5Q/PHnI9u/pjz0s0fc35epfljzlM3f8z56uYP +zR+aP+b1280fmj80f2j+0Pyh+UPzx7yfvU7zh+YPzR+Zt/JH5q38kXkrf2Te +yh+Zt/KH5o/MW/kj81b+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o+5PrxJ +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8ofkj10v5Q/NHrp/yh+YPzR+aPzR/aP7I9Vb+0PyR+335Q/NHrsfyh+aP +XJ/lD80fuV7LH5o/NH9o/tD8ofkj13f5Q/OH5g/NH5o/Mi/lj6w/5Y/MS/kj +61H5Q/NH1qfyh+aPrFflD80fmZfyh+aPzEv5Q/NH5qX8kfW//KH5Q/OH5o/M +S/kj62H5Y96/u/lj3s+7+UPzh+aPeb/v5g/NH5mX8ofmj8xL+WOuD938MdeL +bv6YfXPzrrUvleYPzR/vWv87Ks0f88/f9s+r/5x//y7NH5o/NH9o/tD8MT/v +SvPH/Pz7/vzyh+YPzR+aPzR/aP7I8Sh/zN//SZo/5vfp5g/NH5o/NH9o/pjf +/2maP+bx6OaPnO/yh+YPzR+aPzR/aP6Yx/NZmj/m8X2e5g/NH5o/NH9o/pjn +40WaP+b56eYPzR+aPzR/aP6Y57ObP+b5fZnmj3m+u/lD84fmD80fmj/mfHTz +x5yXbv6Y8/MqzR9znrr5Y85XN39o/tD8Ma/fbv7Q/KH5Q/OH5g/NH5o/5v3s +dZo/NH9o/si8lT8yb+WPzFv5I/NW/si8lT80f2Teyh+Zt/KH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f8z14U2aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+5Xsofmj9y/ZQ/NH9o/tD8oflD +80eut/KH5o/c78sfmj9yPZY/NH/k+ix/aP7I9Vr+0Pyh+UPzh+YPzR+5vssf +mj80f2j+0PyReSl/ZP0pf2Reyh9Zj8ofmj+yPpU/NH9kvSp/aP7IvJQ/NH9k +Xsofmj8yL+WPrP/lD80fmj80f2Reyh9ZD8sf8/7dzR/zft7NH5o/NH/M+303 +f2j+yLyUPzR/ZF7KH3N96OaPuV5088fsm5vPKwfwh+YPzR+aPzR/zD9/2z+v +Pnf+/bs0f2j+0Pyh+UPzx/y8K80f8/Pv+/PLH5o/NH9o/tD8ofkjx6P8MX// +J2n+mN+nmz80f2j+0Pyh+WN+/6dp/pjHo5s/cr7LH5o/NH9o/tD8ofljHs9n +af6Yx/d5mj80f2j+0Pyh+WOejxdp/pjnp5s/NH9o/tD8ofljns9u/pjn92Wa +P+b57uYPzR+aPzR/aP6Y89HNH3Neuvljzs+rNH/Meermjzlf3fyh+UPzx7x+ +u/lD84fmD80fmj80f2j+mPez12n+0Pyh+SPzVv7IvJU/Mm/lj8xb+SPzVv7Q +/JF5K39k3sofmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/DHXhzdp/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH/k +eil/aP7I9VP+0Pyh+UPzh+YPzR+53sofmj9yvy9/aP7I9Vj+0PyR67P8ofkj +12v5Q/OH5g/NH5o/NH/k+i5/aP7Q/KH5Q/NH5qX8kfWn/JF5KX9kPSp/aP7I ++lT+0PyR9ar8ofkj81L+0PyReSl/aP7IvJQ/sv6XPzR/aP7Q/JF5KX9kPSx/ +zPt3N3/M+3k3f2j+0Pwx7/fd/KH5I/NS/tD8kXkpf8z1oZs/5nrRzR+zvQes +37uhs+/X2pfqi9Zz4po/5p+/7Z/HcePv36X5Q/OH5g/NH5o/5uddaf6Yn3/f +n1/+0Pyh+UPzh+YPzR85HuWP+fs/SfPH/D7d/KH5Q/OH5g/NH/P7P03zxzwe +3fzxReM8vT/NH5o/NH9o/tD8MY/nszR/zOP7PM0fmj80f2j+0Pwxz8eLNH/M +89PNH5o/NH9o/tD8Mc9nN3/M8/syzR/zfHfzh+YPzR+aPzR/zPno5o85L938 +MefnVZo/5jx188ecr27+0Pyh+WNev938oflD84fmD80fmj80f8z72es0f2j+ +0PyReSt/ZN7KH5m38kfmrfyReSt/aP7IvJU/Mm/lD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP6Y68ObNH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/cr2UPzR/5Popf2j+0Pyh+UPzh+aPXG/l +D80fud+XPzR/5Hosf2j+yPVZ/tD8keu1/KH5Q/OH5g/NH5o/cn2XPzR/aP7Q +/KH5I/NS/sj6U/7IvJQ/sh6VPzR/ZH0qf2j+yHpV/tD8kXkpf2j+yLyUPzR/ +ZF7KH5o/NH9o/tD8kXkpf2Q9LH/M+3c3f8z7eTd/aP7Q/DHv9938ofkj81L+ +0PyReSl/zPWhmz/metHNH7M9f36s58+P9fz5sZ4/P9bz58d6/vxYz58f6/nz +Yz1/fqznz4/1/Pmxnj8/1vPnx3r+/FjPnx/r+fNjPX9+rOfPj/X8+bGePz/W +8+fHev78WM+fH+v582M9f36s58+P9fz5sZ4/P9bz58d6/vxYz58f6/nzYz1/ +fqznz4/1/Pmxnj/nwven5/Pnx3r+/FjPnx/r+fNjPX9+rOfPj/X8+bGePz/W +8+fHev78WM+fH+v582M9f36s58+P9fz5sZ4/P9bz58d6/vxYz58f6/nzYz1/ +fqznz4/1/Pmxnj8/1vPnx3r+/FjPnx/r+fNjPX9+rOfPj/X8+bGePz/W8+fH +ev78WM+fm59X6fn8+bGePz/W8+fHev78WM+fH+v582M9f36s58+P9fz5sZ4/ +P9bz58d6/vxYz58f6/nzYz1/fqznz4/1/Pmxnj8/1vPnx3r+/FjPnx/r+fNj +PX9+rOfPj/X8+bGePz/W8+fHev78WM+fH+v582M9f36s58+P9fz5sZ4/P9bz +58d6/vxYz58f6/nzYz1/fqznz4/1/Pmxnj8/1vPnx3r+/FjPnx/r+fNjPX9+ +rOfPj/X8+bGePz/W8+fHev78WM+fH+v582M9f36s58+P9fz5sZ4/P9bz58d6 +/vxYz58f6/nzYz1/fqznz4/1/Pmxnj8/1vPnx3r+/FjPnx/r+fNjPX9+rOfP +j/X8+bGePz/W8+fHev78WM+fH+v582M9f36s58+P9fz5sZ4/P9bz58d6/vxY +z58f6/nzYz1/fqznz4/1/Pmxnj8/1vPnx3r+/FjPnx/r+fNjPX9+rOfPj/X8 ++bGePz/W8+fHev78WM+fH+v582M9f36s58+P9fz5sZ4/P9bz58d6/vxYz58f +6/nzYz1/fqznz4/1/Pmxnj8/1vPnx3r+/FjPnx/r+fNjPX9+rOfPj/X8+bGe +Pz/W8+fHev78WM+fH+v582M9f370+z/We8V13v+x3ruh+UPn/R/jz9/2zyt/ +zL9/l877P6rz/o/qvP+j/jPv/6jO+z/G513pvP9jfP59f773f1Tn/R/Vef9H +dd7/UZ33f1Tn/R9+b+//GL//k3Te/zG+T3fe/1Gd939U5/0f1Xn/R3Xe/zG+ +/9N03v8xjkd33v8x/nuo96fz/o/qvP+jOu//qM77P6rz/o9xPJ+l8/6PcXyf +p/P+j+q8/6M67/+ozvs/qvP+j3E+XqTz/o9xfrrz/o/qvP+jOu//qM77P6rz +/o9xPrvz/o9xfl+m8/6Pcb678/6P6rz/ozrv/6jO+z+q8/6PMR/def/HmJfu +vP9jzM+rdN7/MeapO+//GPPVnfd/VOf9H9V5/8e4frvz/o/qvP+jOu//qM77 +P6rz/o/qvP+jOu//GPez1+m8/6M67/+ozvs/zJv3f5g37/8wb97/Yd68/8O8 +lT903v9h3rz/w7x5/0d13v9Rnfd/VOf9H9V5/0d13v9Rnfd/VOf9H9V5/0d1 +3v9Rnfd/VOf9H9V5/8dYH96k8/6P6rz/ozrv/6jO+z+q8/6P6rz/ozrv/6jO ++z+q8/6P6rz/ozrv/6jO+z+q8/6P6rz/ozrv/6jO+z+q8/6P6rz/ozrv/6jO ++z+q8/6P6rz/ozrv/6jO+z9cL97/UZ33f7h+vP+jOu//qM77P6rz/o/qvP+j +Ou//cL15/0d13v/hfu/9H9V5/4fr0fs/qvP+D9en939U5/0frlfv/6jO+z+q +8/6P6rz/ozrv/6jO+z9c397/UZ33f1Tn/R/Vef9Hdd7/YV68/8P64/0f5sX7 +P6xH3v9Rnfd/WJ+8/6M67/+wXnn/R3Xe/2FevP+jOu//MC/e/1Gd93+YF+// +qM77P6rz/o/qvP+jOu//MC/e/2E99P6Pcf/uzvs/xv28O+//qM77P6rz/o9x +v+/O+z+q8/4P8+L9H9V5/4d58f6PsT505/0fY73ozvs/Rtv/6lz7X51r/6tz +7X91rv2vzrX/1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3Wu/a/Otf/Vufa/Otf+ +V+fa/+pc+1+da/+rc+1/da79r861/9W59r861/5X59r/6lz7X51r/6tz7X91 +rv2vzrX/1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3XGH3ruf3Wu/a/Otf/Vufa/ +Otf+V+fa/+pc+1+da/+rc+1/da79r861/9W59r861/5X59r/6lz7X51r/6tz +7X91rv2vzrX/1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3Wu/a/Otf/Vufa/Otf+ +V+fa/+pc+1+da/+rc+1/da79r861/9UZf+i5/9W59r861/5X59r/6lz7X51r +/6tz7X91rv2vzrX/1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3Wu/a/Otf/Vufa/ +Otf+V+fa/+pc+1+da/+rc+1/da79r861/9W59r861/5X59r/6lz7X51r/6tz +7X91rv2vzrX/1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3Wu/a/Otf/Vufa/Otf+ +V+fa/+pc+1+da/+rc+1/da79r861/9W59r861/5X59r/6lz7X51r/6tz7X91 +rv2vzrX/1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3Wu/a/Otf/Vufa/Otf+V+fa +/+pc+1+da/+rc+1/da79r861/9W59r861/5X59r/6lz7X51r/6tz7X91rv2v +zrX/1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3Wu/a/Otf/Vufa/Otf+V+fa/+pc ++1+da/+rc+1/da79r861/9W59r861/5X59r/6lz7X51r/6tz7X91rv2vzrX/ +1bn2vzrX/lfn2v/qXPtfnWv/q3Ptf3Wu/a/Otf/Vufa/Otf+V+fa/+pc+1+d +a/+rc+1/da79r861/9W59r861/5X59r/6lz7X51r/6tz7X91xh+fWv9u5w/N +Hzrvjd3v+fPcRflj/vnb/nnlj/n379L8oflD84fmD80f8/OuNH/Mz7/vzy9/ +aP7Q/KH5Q/OH5o8cj/LH/P2fpPljfp9u/tD8oflD84fmj/n9n6b5Yx6Pbv7I ++S5/aP7Q/KH5Q/OH5o95PJ+l+WMe3+dp/tD8oflD84fmj3k+XqT5Y56fbv7Q +/KH5Q/OH5o95Prv5Y57fl2n+mOe7mz80f2j+0Pyh+WPORzd/zHnp5o85P6/S +/DHnqZs/5nx184fmD80f8/rt5g/NH5o/NH9o/tD8oflj3s9ep/lD84fmj8xb ++SPzVv7IvJU/Mm/lj8xb+UPzR+at/JF5K39o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzx1wf3qT5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/JHrpfyh+SPXT/lD84fmD80fmj80f+R6K39o/sj9 +vvyh+SPXY/lD80euz/KH5o9cr+UPzR+aPzR/aP7Q/JHru/yh+UPzh+YPzR+Z +l/JH1p/yR+al/JH1qPyh+SPrU/lD80fWq/KH5o/MS/lD80fmpfyh+SPzUv7I ++l/+0Pyh+UPzR+al/JH1sPwx79/d/DHv5938oflD88e833fzh+aPzEv5Q/NH +5qX8MdeHbv6Y60U3f8y2/+619t+91v6719p/91r7715r/91r7b97rf13r7X/ +7rX2373W/rvX2n/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da++9e +a//da+2/e639d6+1/+619t+91v6719p/91r7715r/91r7b97rf13r7X/7rX2 +373W/rvX2n/3ij/03H/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da +++9ea//da+2/e639d6+1/+619t+91v6719p/91r7715r/91r7b97rf13r7X/ +7rX2373W/rvX2n/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da++9e +a//dK/7Qc//da+2/e639d6+1/+619t+91v6719p/91r7715r/91r7b97rf13 +r7X/7rX2373W/rvX2n/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da +++9ea//da+2/e639d6+1/+619t+91v6719p/91r7715r/91r7b97rf13r7X/ +7rX2373W/rvX2n/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da++9e +a//da+2/e639d6+1/+619t+91v6719p/91r7715r/91r7b97rf13r7X/7rX2 +373W/rvX2n/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da++9ea//d +a+2/e639d6+1/+619t+91v6719p/91r7715r/91r7b97rf13r7X/7rX2373W +/rvX2n/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da++9ea//da+2/ +e639d6+1/+619t+91v6719p/91r7715r/91r7b97rf13r7X/7rX2373W/rvX +2n/3WvvvXmv/3Wvtv3ut/Xevtf/utfbfvdb+u9faf/da++9ea//da+2/e639 +d6+1/+619t+91v6719p/94o/Pqv+Xc4fmj80f2j+0Pwx//xt/7zHz33f+vt3 +af7Q/KH5Q/OH5o/5eVeaP+bn3/fnlz80f2j+0Pyh+UPzR45H+WP+/k/S/DG/ +Tzd/aP7Q/KH5Q/PH/P5P0/wxj0c3f+R8lz80f2j+0Pyh+UPzxzyez9L8MY/v +8zR/aP7Q/KH5Q/PHPB8v0vwxz083f2j+0Pyh+UPzxzyf3fwxz+/LNH/M893N +H5o/NH9o/tD8Meejmz/mvHTzx5yfV2n+mPPUzR9zvrr5Q/OH5o95/Xbzh+YP +zR+aPzR/aP7Q/DHvZ6/T/KH5Q/NH5q38kXkrf2Teyh+Zt/JH5q38ofkj81b+ +yLyVPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofljrg9v0vyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+yPVS/tD8 +keun/KH5Q/OH5g/NH5o/cr2VPzR/5H5f/tD8keux/KH5I9dn+UPzR67X8ofm +D80fmj80f2j+yPVd/tD8oflD84fmj8xL+SPrT/kj81L+yHpU/tD8kfWp/KH5 +I+tV+UPzR+al/KH5I/NS/tD8kXkpf2T9L39o/tD8ofkj81L+yHpY/pj3727+ +mPfzbv7Q/KH5Y97vu/lD80fmpfyh+SPzUv6Y60M3f8z1ops/Zt/cfE79O5w/ +NH9o/tD8oflj/vnb/nnlj/n379L8oflD84fmD80f8/OuNH/Mz7/vzy9/aP7Q +/KH5Q/OH5o8cj/LH/P2fpPljfp9u/tD8oflD84fmj/n9n6b5Yx6Pbv7I+S5/ +aP7Q/KH5Q/OH5o95PJ+l+WMe3+dp/tD8oflD84fmj3k+XqT5Y56fbv7Q/KH5 +Q/OH5o95Prv5Y57fl2n+mOe7mz80f2j+0Pyh+WPORzd/zHnp5o85P6/S/DHn +qZs/5nx184fmD80f8/rt5g/NH5o/NH9o/tD8oflj3s9ep/lD84fmj8xb+SPz +Vv7IvJU/Mm/lj8xb+UPzR+at/JF5K39o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzx1wf3qT5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/JHrpfyh+SPXT/lD84fmD80fmj80f+R6K39o/sj9vvyh ++SPXY/lD80euz/KH5o9cr+UPzR+aPzR/aP7Q/JHru/yh+UPzh+YPzR+Zl/JH +1p/yR+al/JH1qPyh+SPrU/lD80fWq/KH5o/MS/lD80fmpfyh+SPzUv7I+l/+ +0Pyh+UPzR+al/JH1sPwx79/d/DHv5938oflD88e833fzh+aPzEv5Q/NH5qX8 +MdeHbv6Y60U3f8y+ufmC+nc3f2j+0Pyh+UPzx/zzt/3zyh/z79+l+UPzh+YP +zR+aP+bnXWn+mJ9/359f/6n5Q/OH5g/NH5o/cjzKH/P3f5Lmj/l9uvlD84fm +D80fmj/m93+a5o95PLr5I+e7/KH5Q/OH5g/NH5o/5vF8luaPeXyfp/lD84fm +D80fmj/m+XiR5o95frr5Q/OH5g/NH5o/5vns5o95fl+m+WOe727+0Pyh+UPz +h+aPOR/d/DHnpZs/5vy8SvPHnKdu/pjz1c0fmj80f8zrt5s/NH9o/tD8oflD +84fmj3k/e53mD80fmj8yb+WPzFv5I/NW/si8lT8yb+UPzR+Zt/JH5q38oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR9zfXiT5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NHrpfyh+aPXD/lD80f +mj80f2j+0PyR6638ofkj9/vyh+aPXI/lD80fuT7LH5o/cr2WPzR/aP7Q/KH5 +Q/NHru/yh+YPzR+aPzR/ZF7KH1l/yh+Zl/JH1qPyh+aPrE/lD80fWa/KH5o/ +Mi/lD80fmZfyh+aPzEv5I+t/+UPzh+YPzR+Zl/JH1sPyx7x/d/PHvJ9384fm +D80f837fzR+aPzIv5Q/NH5mX8sdcH7r5Y64X3fwx++bm3fXvbP7Q/KH5Q/OH +5o/552/755U/5t+/S/OH5g/NH5o/NH/Mz7vS/DE//74/v46L5g/NH5o/NH9o +/sjxKH/M3/9Jmj/m9+nmD80fmj80f2j+mN//aZo/5vHo5o+c7/KH5g/NH5o/ +NH9o/pjH81maP+bxfZ7mD80fmj80f2j+mOfjRZo/5vnp5g/NH5o/NH9o/pjn +s5s/5vl9meaPeb67+UPzh+YPzR+aP+Z8dPPHnJdu/pjz8yrNH3Oeuvljzlc3 +f2j+0Pwxr99u/tD8oflD84fmD80fmj/m/ex1mj80f2j+yLyVPzJv5Y/MW/kj +81b+yLyVPzR/ZN7KH5m38ofmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/zPXh +TZo/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH7leyh+aP3L9lD80f2j+0Pyh+UPzR6638ofmj9zvyx+aP3I9lj80 +f+T6LH9o/sj1Wv7Q/KH5Q/OH5g/NH7m+yx+aPzR/aP7Q/JF5KX9k/Sl/ZF7K +H1mPyh+aP7I+lT80f2S9Kn9o/si8lD80f2Reyh+aPzIv5Y+s/+UPzR+aPzR/ +ZF7KH1kPyx/z/t3NH/N+3s0fmj80f8z7fTd/aP7IvJQ/NH9kXsofc33o5o+5 +XnTzx+ybmy+pf1fzh+YPzR+aPzR/zD9/2z+v/DH//l2aPzR/aP7Q/KH5Y37e +leaP+fn3/fnlD80fmj80f2j+0PyR41H+mL//kzR/zO/TzR+aPzR/aP7Q/DG/ +/9M0f8zj0c0fOd+Px+f9af7Q/KH5Q/OH5o95PJ+l+WMe3+dp/tD8oflD84fm +j3k+XqT5Y56fbv7Q/KH5Q/OH5o95Prv5Y57fl2n+mOe7mz80f2j+0Pyh+WPO +Rzd/zHnp5o85P6/S/DHnqZs/5nx184fmD80f8/rt5g/NH5o/NH9o/tD8oflj +3s9ep/lD84fmj8xb+SPzVv7IvJU/Mm/lj8xb+UPzR+at/JF5K39o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzx1wf3qT5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/JHrpfyh+SPXT/lD84fmD80f +mj80f+R6K39o/sj9vvyh+SPXY/lD80euz/KH5o9cr+UPzR+aPzR/aP7Q/JHr +u/yh+UPzh+YPzR+Zl/JH1p/yR+al/JH1qPyh+SPrU/lD80fWq/KH5o/MS/lD +80fmpfyh+SPzUv7I+l/+0Pyh+UPzR+al/JH1sPwx79/d/DHv5938oflD88e8 +33fzh+aPzEv5Q/NH5qX8MdeHbv6Y60U3f8y+uXlv/TuaPzR/aP7Q/KH5Y/75 +2/555Y/59+/S/KH5Q/OH5g/NH/PzrjR/zM+/788vf2j+0Pyh+UPzh+aPHI/y +x/z9n6T5Y36fbv7Q/KH5Q/OH5o/5/Z+m+WMej27+yPkuf2j+0Pyh+UPzh+aP +eTyfpfljHt/naf7Q/KH5Q/OH5o95Pl6k+WOen27+0Pyh+UPzh+aPeT67+WOe +35dp/pjnu5s/NH9o/tD8ofljzkc3f8x56eaPOT+v0vwx56mbP+Z8dfOH5g/N +H/P67eYPzR+aPzR/aP7Q/KH5Y97PXqf5Q/OH5o/MW/kj81b+yLyVPzJv5Y/M +W/lD80fmrfyReSt/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD88dcH96k+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0PyR66X8ofkj10/5Q/OH5g/NH5o/NH/keit/aP7I/b78ofkj12P5Q/NHrs/y +h+aPXK/lD80fmj80f2j+0PyR67v8oflD84fmD80fmZfyR9af8kfmpfyR9aj8 +ofkj61P5Q/NH1qvyh+aPzEv5Q/NH5qX8ofkj81L+yPpf/tD8oflD80fmpfyR +9bD8Me/f3fwx7+fd/KH5Q/PHvN9384fmj8xL+UPzR+al/DHXh27+mOtFN3/M +vrn58vp3M39o/tD8oflD88f887f988of8+/fpflD84fmD80fmj/m511p/pif +f9+fX/7Q/KH5Q/OH5g/NHzke5Y/5+z9J88f8Pt38oflD84fmD80f8/s/TfPH +PB7d/JHzXf7Q/KH5Q/OH5g/NH/N4Pkvzxzy+z9P8oflD84fmD80f83y8SPPH +PD/d/KH5Q/OH5g/NH/N8dvPHPL8v0/wxz3c3f2j+0Pyh+UPzx5yPbv6Y89LN +H3N+XqX5Y85TN3/M+ermD80fmj/m9dvNH5o/NH9o/tD8oflD88e8n71O84fm +D80fmbfyR+at/JF5K39k3sofmbfyh+aPzFv5I/NW/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+aPuT68SfOH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5I9dL+UPzR66f8ofmD80fmj80f2j+yPVW +/tD8kft9+UPzR67H8ofmj1yf5Q/NH7leyx+aPzR/aP7Q/KH5I9d3+UPzh+YP +zR+aPzIv5Y+sP+WPzEv5I+tR+UPzR9an8ofmj6xX5Q/NH5mX8ofmj8xL+UPz +R+al/JH1v/yh+UPzh+aPzEv5I+th+WPev7v5Y97Pu/lD84fmj3m/7+YPzR+Z +l/KH5o/MS/ljrg/d/DHXi27+mH1zc1f/TuYPzR+aPzR/aP6Yf/62f175Y/79 +uzR/aP7Q/KH5Q/PH/LwrzR/z8+/78z2X47lx/zs5z3H4763876o40n/P4bxy +R/1njkf5Y/7+T9L8Mb9PN39o/tD8oflD88f8/k/T/DGPRzd/5HyXPzR/aP7Q +/KH5Q/PHPJ7P0vwxj+/zNH9o/tD8oflD88c8Hy/S/DHPTzd/aP7Q/KH5Q/PH +PJ/d/DHP78s0f8zz3c0fmj80f2j+0Pwx56ObP+a8dPPHnJ9Xaf6Y89TNH3O+ +uvlD84fmj3n9dvOH5g/NH5o/NH9o/tD8Me9nr9P8oflD80fmrfyReSt/ZN7K +H5m38kfmrfyh+SPzVv7IvJU/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+WOu +D2/S/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7I9VL+0PyR66f8oflD84fmD80fmj9yvZU/NH/kfl/+0PyR67H8 +ofkj12f5Q/NHrtfyh+YPzR+aPzR/aP7I9V3+0Pyh+UPzh+aPzEv5I+tP+SPz +Uv7IelT+0PyR9an8ofkj61X5Q/NH5qX8ofkj81L+0PyReSl/ZP0vf2j+0Pyh ++SPzUv7Ielj+mPfvbv6Y9/Nu/tD8oflj3u+7+UPzR+al/KH5I/NS/pjrQzd/ +zPWimz9m39x8Zf27mD80f2j+0Pyh+WP++dv+eeWP+ffv0vyh+UPzh+YPzR/z +8640f8zPv+/Pt4+Dfao8V+W5cf87R89x+O8d/e+q/P8D+O85XJeOR/3n/P2f +pPljfp9u/tD8oflD84fmj/n9n6b5Yx6Pbv7I+S5/aP7Q/KH5Q/OH5o95PJ+l ++WMe3+dp/tD8oflD84fmj3k+XqT5Y56fbv7Q/KH5Q/OH5o95Prv5Y57fl2n+ +mOe7mz80f2j+0Pyh+WPORzd/zHnp5o85P6/S/DHnqZs/5nx184fmD80f8/rt +5g/NH5o/NH9o/tD8oflj3s9ep/lD84fmj8xb+SPzVv7IvJU/Mm/lj8xb+UPz +R+at/JF5K39o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzx1wf3qT5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/JHr +pfyh+SPXT/lD84fmD80fmj80f+R6K39o/sj9vvyh+SPXY/lD80euz/KH5o9c +r+UPzR+aPzR/aP7Q/JHru/yh+UPzh+YPzR+Zl/JH1p/yR+al/JH1qPyh+SPr +U/lD80fWq/KH5o/MS/lD80fmpfyh+SPzUv7I+l/+0Pyh+UPzR+al/JH1sPwx +79/d/DHv5938oflD88e833fzh+aPzEv5Q/NH5qX8MdeHbv6Y60U3f8y+ufmq ++ncwf2j+0Pyh+UPzx/zzt/3zyh/z79+l+UPzh+YPzR+aP+bnXWn+mJ9/359f +/tD8oflD84fmD80fOR513Ofv/yTNH/P7dPOH5g/NH5o/NH/M7/80zR/zeHTz +R853+UPzh+YPzR+aPzR/zOP5LM0f8/g+T/OH5g/NH5o/NH/M8/EizR/z/HTz +h+YPzR+aPzR/zPPZzR/z/L5M88c83938oflD84fmD80fcz66+WPOSzd/zPl5 +leaPOU/d/DHnq5s/NH9o/pjXbzd/aP7Q/KH5Q/OH5g/NH/N+9jrNH5o/NH9k +3sofmbfyR+at/JF5K39k3sofmj8yb+WPzFv5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj/m+vAmzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+aPXC/lD80fuX7KH5o/NH9o/tD8ofkj11v5Q/NH +7vflD80fuR7LH5o/cn2WPzR/5Hotf2j+0Pyh+UPzh+aPXN/lD80fmj80f2j+ +yLyUP7L+lD8yL+WPrEflD80fWZ/KH5o/sl6VPzR/ZF7KH5o/Mi/lD80fmZfy +R9b/8ofmD80fmj8yL+WPrIflj3n/7uaPeT/v5g/NH5o/5v2+mz80f2Reyh+a +PzIv5Y+5PnTzx1wvuvlj9s3N6/p3L39o/tD8oflD88f887f988of8+/fpflD +84fmD80fmj/m511p/piff9+fX/7Q/KH5Q/OH5g/NHzke/v/txu//JM0f8/t0 +84fmD80fmj80f8zv/zTNH/N4dPNHznf5Q/OH5g/NH5o/NH/M4/kszR/z+D5P +84fmD80fmj80f8zz8SLNH/P8dPOH5g/NH5o/NH/M89nNH/P8vkzzxzzf3fyh ++UPzh+YPzR9zPrr5Y85LN3/M+XmV5o85T938Meermz80f2j+mNdvN39o/tD8 +oflD84fmD80f8372Os0fmj80f2Teyh+Zt/JH5q38kXkrf2Teyh+aPzJv5Y/M +W/lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP+b68CbNH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o9cL+UPzR+5 +fsofmj80f2j+0Pyh+SPXW/lD80fu9+UPzR+5Hssfmj9yfZY/NH/kei1/aP7Q +/KH5Q/OH5o9c3+UPzR+aPzR/aP7IvJQ/sv6UPzIv5Y+sR+UPzR9Zn8ofmj+y +XpU/NH9kXsofmj8yL+UPzR+Zl/JH1v/yh+YPzR+aPzIv5Y+sh+WPef/u5o95 +P+/mD80fmj/m/b6bPzR/ZF7KH5o/Mi/lj7k+dPPHXC+6+WP2zc2n1r9z+UPz +h+YPzR+aP+afv+2fV/6Yf/8uzR+aPzR/aP7Q/DE/70rzx/z8+/788ofmD80f +mj80f2j+yPHw3/uP3/9Jmj/m9+nmD80fmj80f2j+mN//aZo/5vHo5o+c7/KH +5g/NH5o/NH9o/pjH81maP+bxfZ7mD80fmj80f2j+mOfjRZo/5vnp5g/NH5o/ +NH9o/pjns5s/5vl9meaPeb67+UPzh+YPzR+aP+Z8dPPHnJdu/pjz8yrNH3Oe +uvljzlc3f2j+0Pwxr99u/tD8oflD84fmD80fmj/m/ex1mj80f2j+yLyVPzJv +5Y/MW/kj81b+yLyVPzR/ZN7KH5m38ofmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/zPXhTZo/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH7leyh+aP3L9lD80f2j+0Pyh+UPzR6638ofmj9zvyx+a +P3I9lj80f+T6LH9o/sj1Wv7Q/KH5Q/OH5g/NH7m+yx+aPzR/aP7Q/JF5KX9k +/Sl/ZF7KH1mPyh+aP7I+lT80f2S9Kn9o/si8lD80f2Reyh+aPzIv5Y+s/+UP +zR+aPzR/ZF7KH1kPyx/z/t3NH/N+3s0fmj80f8z7fTd/aP7IvJQ/NH9kXsof +c33o5o+5XnTzx+ybm++tf9fyh+YPzR+aPzR/zD9/2z+v/DH//l2aPzR/aP7Q +/KH5Y37eleaP+fn3/fnlD80fmj80f2j+0PyR41H+mL//kzR/zO/TzR+aPzR/ +aP7Q/DG//9M0f8zj0c0fOd/lD80fmj80f2j+0Pwxj+ezNH/M4/s8zR+aPzR/ +aP7Q/DHPx4s0f8zz080fmj80f2j+0Pwxz2c3f8zz+zLNH/N8d/OH5g/NH5o/ +NH/M+ejmjzkv3fwx5+dVmj/mPHXzx5yvbv7Q/KH5Y16/3fyh+UPzh+YPzR+a +PzR/zPvZ6zR/aP7Q/JF5K39k3sofmbfyR+at/JF5K39o/si8lT8yb+UPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/pjrw5s0f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj9yvZQ/NH/k+il/aP7Q +/KH5Q/OH5o9cb+UPzR+535c/NH/keix/aP7I9Vn+0PyR67X8oflD84fmD80f +mj9yfT/8x5d184fmD80fmj8yL+WPrD/lj8xL+SPrUflD80fWp/KH5o+sV+UP +zR+Zl/KH5o/MS/lD80fmpfyR9b/8oflD84fmj8xL+SPrYflj3r+7+WPez7v5 +Q/OH5o95v+/mD80fmZfyh+aPzEv5Y64P3fwx14tu/ph9c/Ou+ncsf2j+0Pyh ++UPzx/zzt/3zyh/z79+l+UPzh+YPzR+aP+bnXWn+mJ9/359f/tD8oflD84fm +D80fOR7lj/n7P0nzx/w+3fyh+UPzh+YPzR/z+z9N88c8Ht38kfNd/tD8oflD +84fmD80f83g+S/PHPL7P0/yh+UPzh+YPzR/zfLxI88c8P938oflD84fmD80f +83x288c8vy/T/DHPdzd/aP7Q/KH5Q/PHnI9u/pjz0s0fc35epfljzlM3f8z5 +6uYPzR+aP+b1280fmj80f2j+0Pyh+UPzx7yfvU7zh+YPzR+Zt/JH5q38kXkr +f2Teyh+Zt/KH5o/MW/kj81b+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o+5 +PrxJ84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8ofkj10v5Q/NHrp/yh+YPzR+aPzR/aP7I9Vb+0PyR+335Q/NHrsfy +h+aPXJ/lD80fuV7LH5o/NH9o/tD8ofkj13f5Q/OH5g/NH5o/Mi/lj6w/5Y/M +S/kj61H5Q/NH1qfyh+aPrFflD80fmZfyh+aPzEv5Q/NH5qX8kfW//KH5Q/OH +5o/MS/kj62H5Y96/u/lj3s+7+UPzh+aPeb/v5g/NH5mX8ofmj8xL+WOuD938 +MdeLbv6YfXPzQ/XvVv7Q/KH5Q/OH5o/552/75z1+7vvW379L84fmD80fmj80 +f8zPu9L8MT//vj+//KH5Q/OH5g/NH5o/cjzKH/P3f5Lmj/l9uvlD84fmD80f +mj/m93+a5o95PLr5I+e7/KH5Q/OH5g/NH5o/5vF8luaPeXyfp/lD84fmD80f +mj/m+XiR5o95frr5Q/OH5g/NH5o/5vns5o95fl+m+WOe727+0Pyh+UPzh+aP +OR/d/DHnpZs/5vy8SvPHnKdu/pjz1c0fmj80f8zrt5s/NH9o/tD8oflD84fm +j3k/e53mD80fmj8yb+WPzFv5I/NW/si8lT8yb+UPzR+Zt/JH5q38oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR9zfXiT5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NHrpfyh+aPXD/lD80fmj80 +f2j+0PyR6638ofkj9/vyh+aPXI/lD80fuT7LH5o/cr2WPzR/aP7Q/KH5Q/NH +ru/yh+YPzR+aPzR/ZF7KH1l/yh+Zl/JH1qPyh+aPrE/lD80fWa/KH5o/Mi/l +D80fmZfyh+aPzEv5I+t/+UPzh+YPzR+Zl/JH1sPyx7x/d/PHvJ9384fmD80f +837fzR+aPzIv5Q/NH5mX8sdcH7r5Y64X3fwx++bms+rfqfyh+UPzh+YPzR/z +z9/2z/vct/0x//5dmj80f2j+0Pyh+WN+3pXmj/n59/355Q/NH5o/NH9o/tD8 +keNR/pi//5M0f8zv080fmj80f2j+0Pwxv//TNH/M49HNHznf5Q/NH5o/NH9o +/tD8MY/nszR/zOP7PM0fmj80f2j+0Pwxz8eLNH/M89PNH5o/NH9o/tD8Mc9n +N3/M8/syzR/zfHfzh+YPzR+aPzR/zPno5o85L938MefnVZo/5jx188ecr27+ +0Pyh+WNev938oflD84fmD80fmj80f8z72es0f2j+0PyReSt/ZN7KH5m38kfm +rfyReSt/aP7IvJU/Mm/lD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP6Y68Ob +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/cr2UPzR/5Popf2j+0Pyh+UPzh+aPXG/lD80fud+XPzR/5Hosf2j+ +yPVZ/tD8keu1/KH5Q/OH5g/NH5o/cn2XPzR/aP7Q/KH5I/NS/sj6U/7IvJQ/ +sh6VPzR/ZH0qf2j+yHpV/tD8kXkpf2j+yLyUPzR/ZF7KH1n/yx+aPzR/aP7I +vJQ/sh6WP+b9u5s/5v28mz80f2j+mPf7bv7Q/JF5KX9o/si8lD/m+tDNH3O9 +6OaP2Tc3/1f9u5Q/NH9o/tD8oflj/vnb/nnlj/n379L8oflD84fmD80f8/Ou +NH/Mz7/vzy9/aP7Q/KH5Q/OH5o8cj/LH/P2fpPljfp9u/tD8oflD84fmj/n9 +n6b5Yx6Pbv7I+S5/aP7Q/KH5Q/OH5o95PJ+l+WMe3+dp/tD8oflD84fmj3k+ +XqT5Y56fbv7Q/KH5Q/OH5o95Prv5Y57fl2n+mOe7mz80f2j+0Pyh+WPORzd/ +zHnp5o85P6/S/DHnqZs/5nx184fmD80f8/rt5g/NH5o/NH9o/tD8oflj3s9e +p/lD84fmj8xb+SPzVv7IvJU/Mm/lj8xb+UPzR+at/JF5K39o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzx1wf3qT5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/JHrpfyh+SPXT/lD84fmD80fmj80 +f+R6K39o/sj9vvyh+SPXY/lD80euz/KH5o9cr+UPzR+aPzR/aP7Q/JHru/yh ++UPzh+YPzR+Zl/JH1p/yR+al/JH1qPyh+SPrU/lD80fWq/KH5o/MS/lD80fm +pfyh+SPzUv7I+l/+0Pyh+UPzR+al/JH1sPwx79/d/DHv5938oflD88e833fz +h+aPzEv5Q/NH5qX8MdeHbv6Y60U3f8y+ufnc+ncof2j+0Pyh+UPzx/zzt/3z +yh/z79+l+UPzh+YPzR+aP+bnXWn+mJ9/359f/tD8oflD84fmD80fOR7lj/n7 +P0nzx/w+3fyh+UPzh+YPzR/z+z9N88c8Ht38kfNd/tD8oflD84fmD80f83g+ +S/PHPL7P0/yh+UPzh+YPzR/zfLxI88c8P938oflD84fmD80f83x288c8vy/T +/DHPdzd/aP7Q/KH5Q/PHnI9u/pjz0s0fc35epfljzlM3f8z56uYPzR+aP+b1 +280fmj80f2j+0Pyh+UPzx7yfvU7zh+YPzR+Zt/JH5q38kXkrf2Teyh+Zt/KH +5o/MW/kj81b+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o+5PrxJ84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofkj +10v5Q/NHrp/yh+YPzR+aPzR/aP7I9Vb+0PyR+335Q/NHrsfyh+aPXJ/lD80f +uV7LH5o/NH9o/tD8ofkj13f5Q/OH5g/NH5o/Mi/lj6w/5Y/MS/kj61H5Q/NH +1qfyh+aPrFflD80fmZfyh+aPzEv5Q/NH5qX8kfW//KH5Q/OH5o/MS/kj62H5 +Y96/u/lj3s+7+UPzh+aPeb/v5g/NH5mX8ofmj8xL+WOuD938MdeLbv6YfXPz +Y/XvTv7Q/KH5Q/OH5o/552/755U/5t+/S/OH5g/NH5o/NH/Mz7vS/DE//74/ +v/yh+UPzh+YPzR+aP3I8yh/z93+S5o/5fbr5Q/OH5g/NH5o/5vd/muaPeTy6 ++SPnu/yh+UPzh+YPzR+aP+bxfJbmj3l8n6f5Q/OH5g/NH5o/5vl4keaPeX66 ++UPzh+YPzR+aP+b57OaPeX5fpvljnu9u/tD8oflD84fmjzkf3fwx56WbP+b8 +vErzx5ynbv6Y89XNH5o/NH/M67ebPzR/aP7Q/KH5Q/OH5o95P3ud5g/NH5o/ +Mm/lj8xb+SPzVv7IvJU/Mm/lD80fmbfyR+at/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fc314k+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzR66X8ofmj1w/5Q/NH5o/NH9o/tD8keut/KH5 +I/f78ofmj1yP5Q/NH7k+yx+aP3K9lj80f2j+0Pyh+UPzR67v8ofmD80fmj80 +f2Reyh9Zf8ofmZfyR9aj8ofmj6xP5Q/NH1mvyh+aPzIv5Q/NH5mX8ofmj8xL ++SPrf/lD84fmD80fmZfyR9bD8se8f3fzx7yfd/OH5g/NH/N+380fmj8yL+UP +zR+Zl/LHXB+6+WOuF938Mfvm5gvr35n8oflD84fmD80f88/f9s8rf8y/f5fm +D80fmj80f2j+mJ93pfljfv59f375Q/OH5g/NH5o/NH/keJQ/5u//JM0f8/t0 +84fmD80fmj80f8zv/zTNH/N4dPNHznf5Q/OH5g/NH5o/NH/M4/kszR/z+D5P +84fmD80fmj80f8zz8SLNH/P8dPOH5g/NH5o/NH/M89nNH/P8vkzzxzzf3fyh ++UPzh+YPzR9zPrr5Y85LN3/M+XmV5o85T938Meermz80f2j+mNdvN39o/tD8 +oflD84fmD80f8372Os0fmj80f2Teyh+Zt/JH5q38kXkrf2Teyh+aPzJv5Y/M +W/lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP+b68CbNH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o9cL+UPzR+5 +fsofmj80f2j+0Pyh+SPXW/lD80fu9+UPzR+5Hssfmj9yfZY/NH/kei1/aP7Q +/KH5Q/OH5o9c3+UPzR+aPzR/aP7IvJQ/sv6UPzIv5Y+sR+UPzR9Zn8ofmj+y +XpU/NH9kXsofmj8yL+UPzR+Zl/JH1v/yh+YPzR+aPzIv5Y+sh+WPef/u5o95 +P+/mD80fmj/m/b6bPzR/ZF7KH5o/Mi/lj7k+dPPHXC+6+WP2zc0Hvfftf1fy +h+YPzR+aPzR/zD9/2z+v/DH//l2aPzR/aP7Q/KH5Y37eleaP+fn3/fmPx+Wr +0/yh+UPzh+YPzR85HuWP+fs/SfPH/D7d/KH5Q/OH5g/NH/P7P03zxzwe3fyR +813+0Pyh+UPzh+YPzR/zeD5L88c8vs/T/KH5Q/OH5g/NH/N8vEjzxzw/3fyh ++UPzh+YPzR/zfHbzxzy/L9P8Mc93N39o/tD8oflD88ecj27+mPPSzR9zfl6l ++WPOUzd/zPnq5g/NH5o/5vXbzR+aPzR/aP7Q/KH5Q/PHvJ+9TvOH5g/NH5m3 +8kfmrfyReSt/ZN7KH5m38ofmj8xb+SPzVv7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmj7k+vEnzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+SPXS/lD80eun/KH5g/NH5o/NH9o/sj1Vv7Q/JH7 +fflD80eux/KH5o9cn+UPzR+5Xssfmj80f2j+0Pyh+SPXd/lD84fmD80fmj8y +L+WPrD/lj8xL+SPrUflD80fWp/KH5o+sV+UPzR+Zl/KH5o/MS/lD80fmpfyR +9b/8oflD84fmj8xL+SPrYflj3r+7+WPez7v5Q/OH5o95v+/mD80fmZfyh+aP +zEv5Y64P3fwx14tu/ph9c/N769+R/KH5Q/OH5g/NH/PP3/bPK3/Mv3+X5g/N +H5o/NH9o/pifd6X5Y37+fX9++UPzh+YPzR+aPzR/5Hg8ztvXrd//SZo/5vfp +5g/NH5o/NH9o/pjf/2maP+bx6OaPnO/6T80fmj80f2j+0Pwxj+ezNH/M4/s8 +zR+aPzR/aP7Q/DHPx4s0f8zz080fmj80f2j+0Pwxz2c3f8zz+zLNH/N8d/OH +5g/NH5o/NH/M+ejmjzkv3fwx5+dVmj/mPHXzx5yvbv7Q/KH5Y16/3fyh+UPz +h+YPzR+aPzR/zPvZ6zR/aP7Q/JF5K39k3sofmbfyR+at/JF5K39o/si8lT8y +b+UPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/pjrw5s0f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj9yvZQ/NH/k ++il/aP7Q/KH5Q/OH5o9cb+UPzR+535c/NH/keix/aP7I9Vn+0PyR67X8oflD +84fmD80fmj9yfZc/NH9o/tD8ofkj81L+yPpT/si8lD+yHpU/NH9kfSp/aP7I +elX+0PyReSl/aP7IvJQ/NH9kXsofWf/LH5o/NH9o/si8lD+yHpY/5v27mz/m +/bybPzR/aP6Y9/tu/tD8kXkpf2j+yLyUP+b60M0fc73o5o/ZNzcfXP9u5A/N +H5o/NH9o/ph//rZ/Xvlj/v27NH9o/tD8oflD88f8vCvNH/Pz7/vzyx+aPzR/ +aP7Q/KH5I8ej/DF//ydp/pjfp5s/NH9o/tD8ofljfv+naf6Yx6ObP3K+a440 +f2j+0Pyh+UPzxzyez9L8MY/v8zR/aP7Q/KH5Q/PHPB8v0vwxz083f2j+0Pyh ++UPzxzyf3fwxz+/LNH/M893NH5o/NH9o/tD8Meejmz/mvHTzx5yfV2n+mPPU +zR9zvrr5Q/OH5o95/Xbzh+YPzR+aPzR/aP7Q/DHvZ6/T/KH5Q/NH5q38kXkr +f2Teyh+Zt/JH5q38ofkj81b+yLyVPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +ofljrg9v0vyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+yPVS/tD8keun/KH5Q/OH5g/NH5o/cr2VPzR/5H5f/tD8 +keux/KH5I9dn+UPzR67X8ofmD80fmj80f2j+yPVd/tD8oflD84fmj8xL+SPr +T/kj81L+yHpU/tD8kfWp/KH5I+tV+UPzR+al/KH5I/NS/tD8kXkpf2T9L39o +/tD8ofkj81L+yHpY/pj3727+mPfzbv7Q/KH5Y97vu/lD80fmpfyh+SPzUv6Y +60M3f8z1ops/Zt/cfEn9O5E/NH9o/tD8oflj/vnb/nnlj/n379L8oflD84fm +D80f8/OuNH/Mz7/vzy9/aP7Q/KH5Q/OH5o8cj/LH/P2fpPljfp9u/tD8oflD +84fmj/n9n6b5Yx6Pbv7I+S5/aP7Q/KH5Q/OH5o95PJ+l+WMe3+dp/tD8oflD +84fmj3k+XqT5Y56fbv7Q/KH5Q/OH5o95Prv5Y57fl2n+mOe7mz80f2j+0Pyh ++WPORzd/zHnp5o85P6/S/DHnqZs/5nx184fmD80f8/rt5g/NH5o/NH9o/tD8 +oflj3s9ep/lD84fmj8xb+SPzVv7IvJU/Mm/lj8xb+UPzR+at/JF5K39o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzx1wf3qT5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/JHrpfyh+SPXT/lD84fm +D80fmj80f+R6K39o/sj9vvyh+SPXY/lD80euz/KH5o9cr+UPzR+aPzR/aP7Q +/JHru/yh+UPzh+YPzR+Zl/JH1p/yR+al/JH1qPyh+SPrU/lD80fWq/KH5o/M +S/lD80fmpfyh+SPzUv7I+l/+0Pyh+UPzR+al/JH1sPwx79/d/DHv5938oflD +88e833fzh+aPzEv5Q/NH5qX8MdeHbv6Y60U3f8y+uXlH/buQPzR/aP7Q/KH5 +Y/752/555Y/59+/S/KH5Q/OH5g/NH/PzrjR/zM+/788vf2j+0Pyh+UPzh+aP +HI/yx/z9n6T5Y36fbv7Q/KH5Q/OH5o/5/Z+m+WMej27+yPkuf2j+0Pyh+UPz +h+aPeTyfpfljHt/naf7Q/KH5Q/OH5o95Pl6k+WOen27+0Pyh+UPzh+aPeT67 ++WOe35dp/pjnu5s/NH9o/tD8ofljzkc3f8x56eaPOT+v0vwx56mbP+Z8dfOH +5g/NH/P67eYPzR+aPzR/aP7Q/KH5Y97PXqf5Q/OH5o/MW/kj81b+yLyVPzJv +5Y/MW/lD80fmrfyReSt/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD88dcH96k ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0PyR66X8ofkj10/5Q/OH5g/NH5o/NH/keit/aP7I/b78ofkj12P5Q/NH +rs/yh+aPXK/lD80fmj80f2j+0PyR67v8oflD84fmD80fmZfyR9af8kfmpfyR +9aj8ofkj61P5Q/NH1qvyh+aPzEv5Q/NH5qX8ofkj81L+yPpf/tD8oflD80fm +pfyR9bD8Me/f3fwx7+fd/KH5Q/PHvN9384fmj8xL+UPzR+al/DHXh27+mOtF +N3/Mvrl5b/07kD80f2j+0Pyh+WP++dv+eeWP+ffv0vyh+UPzh+YPzR/z8640 +f8zPv+/PL39o/tD8oflD84fmjxyP8sf8/Z+k+WN+n27+0Pyh+UPzh+aP+f2f +pvljHo9u/sj5Ln9o/tD8oflD84fmj3k8n6X5Yx7f52n+0Pyh+UPzh+aPeT5e +pPljnp9u/tD8oflD84fmj3k+u/ljnt+Xaf6Y57ubPzR/aP7Q/KH5Y85HN3/M +eenmjzk/r9L8Meepmz/mfHXzh+YPzR/z+u3mD80fmj80f2j+0Pyh+WPez16n ++UPzh+aPzFv5I/NW/si8lT8yb+WPzFv5Q/NH5q38kXkrf2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/PHXB/epPlD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8keul/KH5I9dP+UPzh+YPzR+aPzR/ +5Horf2j+yP2+/KH5I9dj+UPzR67P8ofmj1yv5Q/NH5o/NH9o/tD8keu7/KH5 +Q/OH5g/NH5mX8kfWn/JH5qX8kfWo/KH5I+tT+UPzR9ar8ofmj8xL+UPzR+al +/KH5I/NS/sj6X/7Q/KH5Q/NH5qX8kfWw/DHv3938Me/n3fyh+UPzx7zfd/OH +5o/MS/lD80fmpfwx14du/pjrRTd/zL65+bD6dx9/aP7Q/KH5Q/PH/PO3/fPK +H/Pv36X5Q/OH5g/NH5o/5uddaf6Yn3/fn1/++P+4ukPefNe2zcu3GsdXICiC +mJBgBoMiiHETLApHEFgkCoXDTkjFpCQEgWhK8popphNEK5r8RU0xDYoPgMEw +z/Pu235kX2NWfpO2V+/rPM7z7PbOvGtp/tD8oflD84fmj76P+GN//7c2f+zn +ueYPzR+aPzR/aP7Yz//e5o99H9f80fWOPzR/aP7Q/KH5Q/PHvs+PNn/s+/1s +84fmD80fmj80f+x6fLX5Y9fnmj80f2j+0Pyh+WPX85o/dn3/tPlj1/uaPzR/ +aP7Q/KH5Y+fjmj92Xq75Y+fnu80fO0/X/LHzdc0fmj80f+z+veYPzR+aPzR/ +aP7Q/KH5Y8+znzZ/aP7Q/NF5iz86b/FH5y3+6LzFH523+EPzR+ct/ui8xR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8sffDb5s/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH90v8Yfmj+6f+EPz +h+YPzR+aPzR/dL/FH5o/et7HH5o/uh/jD80f3Z/xh+aP7te//eNfXvOH5g/N +H5o/NH90f8cfmj80f2j+0PzRefn7P6/5o/MSf/Q+ij80f/R+ij80f/S+ij80 +f3Re4g/NH52X+EPzR+cl/uj9H39o/tD8ofmj8xJ/9D6MP/b8vuaPPc+v+UPz +h+aPPe+v+UPzR+cl/tD80XmJP/Z+uOaPvS+u+WP78fif8ncef2j+0Pyh+UPz +x3790/28+GO//7nNH5o/NH9o/tD8sc97afPHPv/1nh9/aP7Q/KH5Q/OH5o++ +j/hjf/+3Nn/s57nmD80fmj80f2j+2M//3uaPfR/X/NH1jj80f2j+0Pyh+UPz +x77PjzZ/7Pv9bPOH5g/NH5o/NH/seny1+WPX55o/NH9o/tD8oflj1/OaP3Z9 +/7T5Y9f7mj80f2j+0Pyh+WPn45o/dl6u+WPn57vNHztP1/yx83XNH5o/NH/s +/r3mD80fmj80f2j+0Pyh+WPPs582f2j+0PzReYs/Om/xR+ct/ui8xR+dt/hD +80fnLf7ovMUfmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/LH3w2+bPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/d +L/GH5o/un/hD84fmD80fmj80f3S/xR+aP3rexx+aP7of4w/NH92f8Yfmj+7X ++EPzh+YPzR+aPzR/dH/HH5o/NH9o/tD80XmJP3r/xB+dl/ij91H8ofmj91P8 +ofmj91X8ofmj8xJ/aP7ovMQfmj86L/FH7//4Q/OH5g/NH52X+KP3Yfyx5/c1 +f+x5fs0fmj80f+x5f80fmj86L/GH5o/OS/yx98M1f+x9cc0f24/Hv5e/6/hD +84fmD80fmj/265/u58Uf+/3Pbf7Q/KH5Q/OH5o993kubP/b5r/f8+EPzh+YP +zR+aPzR/9H3EH/v7v7X5Yz/PNX9o/tD8oflD88d+/vc2f+z7uOaPrnf8oflD +84fmD80fmj/2fX60+WPf72ebPzR/aP7Q/KH5Y9fjq80fuz7X/KH5Q/OH5g/N +H7ue1/yx6/unzR+73tf8oflD84fmD80fOx/X/LHzcs0fOz/fbf7Yebrmj52v +a/7Q/KH5Y/fvNX9o/tD8oflD84fmD80fe579tPlD84fmj85b/NF5iz86b/FH +5y3+6LzFH5o/Om/xR+ct/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aPvR9+ +2/yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+6H6JPzR/dP/EH5o/NH9o/tD8ofmj+y3+0PzR8z7+0PzR/Rh/aP7o +/ow/NH90v8Yfmj80f2j+0Pyh+aP7O/7Q/KH5Q/OH5o/OS/zR+yf+6LzEH72P +4g/NH72f4g/NH72v4g/NH52X+EPzR+cl/tD80XmJP3r/xx+aPzR/aP7ovMQf +vQ/jjz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJPzR/dF7ij70frvlj74tr/th+ +PJ7zdxx/aP7Q/KH5Q/PHfv3T/bz4Y7//uc0fmj80f2j+0Pyxz3tp88c+//We +H39o/tD8oflD84fmj76P+GN//7c2f+znueYPzR+aPzR/aP7Yz//e5o99H9f8 +0fX275H23wH073Xz3+Xw71nw78n1v/eU5g/NH/s+P9r8se/3s80fmj80f2j+ +0Pyx6/HV5o9dn2v+0Pyh+UPzh+aPXc9r/tj1/dPmj13va/7Q/KH5Q/OH5o+d +j2v+2Hm55o+dn+82f+w8XfPHztc1f2j+0Pyx+/eaPzR/aP7Q/KH5Q/OH5o89 +z37a/KH5Q/NH5y3+6LzFH523+KPzFn903uIPzR+dt/ij8xZ/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD88feD79t/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH90v8Qfmj+6f+IPzR+aPzR/aP7Q +/NH9Fn9o/uh5H39o/uh+jD80f3R/xh+aP7pf4w/NH5o/NH9o/tD80f0df2j+ +0Pyh+UPzR+cl/uj9E390XuKP3kfxh+aP3k/xh+aP3lfxh+aPzkv8ofmj8xJ/ +aP7ovMQfvf/jD80fmj80f3Re4o/eh/HHnt/X/LHn+TV/aP7Q/LHn/TV/aP7o +vMQfmj86L/HH3g/X/LH3xTV/bD8e/0H+buMPzR+aPzR/aP7Yr3+6nxd/7Pc/ +t/lD84fmD80fmj/2eS9t/tjnv97z4w/NH5o/NH9o/tD80fcRf+zv/9bmj/08 +1/yh+UPzh+YPzR/7+d/b/LHv45o/ut7+OzT+u+P+vdD+O4D+PW3+uxz+vQlp +/tD8se/zo80f+34/2/yh+UPzh+YPzR+7Hl9t/tj1ueYPzR+aPzR/aP7Y9bzm +j13fP23+2PW+5g/NH5o/NH9o/tj5uOaPnZdr/tj5+W7zx87TNX/sfF3zh+YP +zR+7f6/5Q/OH5g/NH5o/NH9o/tjz7KfNH5o/NH903uKPzlv80XmLPzpv8Ufn +Lf7Q/NF5iz86b/GH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f+z98NvmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +80f3S/yh+aP7J/7Q/KH5Q/OH5g/NH91v8Yfmj5738Yfmj+7H+EPzR/dn/KH5 +o/s1/tD8oflD84fmD80f3d/xh+YPzR+aPzR/dF7ij94/8UfnJf7ofRR/aP7o +/RR/aP7ofRV/aP7ovMQfmj86L/GH5o/OS/zR+z/+0Pyh+UPzR+cl/uh9GH/s ++X3NH3ueX/OH5g/NH3veX/OH5o/OS/yh+aPzEn/s/XDNH3tfXPPH9uPxv+bv +NP7Q/KH5Q/OH5o/9+qf7efHHfv9zmz80f2j+0Pyh+WOf99Lmj33+6z0//tD8 +oflD84fmD80ffR/xx/7+b23+2M9zzR+aPzR/aP7Q/LGf/73NH/s+rvmj6x1/ +aP7Q/KH5Q/OH5o99nx9t/tj3+9nmD80fmj80f2j+2PX4avPHrs81f2j+0Pyh ++UPzx67nNX/s+v5p88eu9zV/aP7Q/KH5Q/PHzsc1f+y8XPPHzs93mz92nq75 +Y+frmj80f2j+2P17zR+aPzR/aP7Q/KH5Q/PHnmc/bf7Q/KH5o/MWf3Te4o/O +W/zReYs/Om/xh+aPzlv80XmLPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflj +74ffNn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/ul/iD80f3T/xh+YPzR+aPzR/aP7ofos/NH/0vI8/NH90P8Yf +mj+6P+MPzR/dr/GH5g/NH5o/NH9o/uj+jj80f2j+0Pyh+aPzEn/0/ok/Oi/x +R++j+EPzR++n+EPzR++r+EPzR+cl/tD80XmJPzR/dF7ij97/8YfmD80fmj86 +L/FH78P4Y8/va/7Y8/yaPzR/aP7Y8/6aPzR/dF7iD80fnZf4Y++Ha/7Y++Ka +P7Yfj/8wf5fxh+YPzR+aPzR/7Nc/3c+LP/b7n9v8oflD84fmD80f+7yXNn/s +81/v+fGH5g/NH5o/NH9o/uj7+Pt7/9d/+f3f2vyxn+eaPzR/aP7Q/KH5Yz// +e5s/9n1c80fXO/7Q/KH5Q/OH5g/NH/s+P9r8se/3s80fmj80f2j+0Pyx6/HV +5o9dn2v+0Pyh+UPzh+aPXc9r/tj1/dPmj13va/7Q/KH5Q/OH5o+dj2v+2Hm5 +5o+dn+82f+w8XfPHztc1f2j+0Pyx+/eaPzR/aP7Q/KH5Q/OH5o89z37a/KH5 +Q/NH5y3+6LzFH523+KPzFn903uIPzR+dt/ij8xZ/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD88feD79t/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH90v8Qfmj+6f+IPzR+aPzR/aP7Q/NH9Fn9o +/uh5H39o/uh+jD80f3R/xh+aP7pf4w/NH5o/NH9o/tD80f0df2j+0Pyh+UPz +R+cl/uj9E390XuKP3kfxh+aP3k/xh+aP3lfxh+aPzkv8ofmj8xJ/aP7ovMQf +vf/jD80fmj80f3Re4o/eh/HHnt/X/LHn+TV/aP7Q/LHn/TV/aP7ovMQfmj86 +L/HH3g/X/LH3xTV/bD8eL/k7jD80f2j+0Pyh+WO//ul+Xvyx3//c5g/NH5o/ +NH9o/tjnvbT5Y5//es+PPzR/aP7Q/KH5Q/NH30f8sb//W5s/9vNc84fmD80f +mj80f+znf2/zx76Pa/7oescfmj80f2j+0Pyh+WPf50ebP/b9frb5Q/OH5g/N +H5o/dj2+2vyx63PNH5o/NH9o/tD8set5zR+7vn/a/LHrfc0fmj80f2j+0Pyx +83HNHzsv1/yx8/Pd5o+dp2v+2Pm65g/NH5o/dv9e84fmD80fmj80f2j+0Pyx +59lPmz80f2j+6LzFH523+KPzFn903uKPzlv8ofmj8xZ/dN7iD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Y++G3zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80f +mj+63+IPzR897+MPzR/dj/GH5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/N +H5o/NH9o/ui8xB+9f+KPzkv80fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7i +D80fnZf4o/d//KH5Q/OH5o/OS/zR+zD+2PP7mj/2PL/mD80fmj/2vL/mD80f +nZf4Q/NH5yX+2Pvhmj/2vrjmj+3H45/l7y7+0Pyh+UPzh+aP/fqn+3nxx37/ +c5s/NH9o/tD8ofljn/fS5o99/us9P/7Q/KH5Q/OH5g/NH30f8cf+/m9t/tjP +c80fmj80f2j+0Pyxn/+9zR/7Pq75o+sdf2j+0Pyh+UPzh+aPfZ8fbf7Y9/vZ +5g/NH5o/NH9o/tj1+Grzx67PNX9o/tD8oflD88eu5zV/7Pr+afPHrvc1f2j+ +0Pyh+UPzx87HNX/svFzzx87Pd5s/dp6u+WPn65o/NH9o/tj9e80fmj80f2j+ +0Pyh+UPzx55nP23+0Pyh+aPzFn903uKPzlv80XmLPzpv8Yfmj85b/NF5iz80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Y++H3zZ/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP7pf4g/NH90/8Yfm +D80fmj80f2j+6H6LPzR/9LyPPzR/dD/GH5o/uj/jD80f3a/xh+YPzR+aPzR/ +aP7o/o4/NH9o/tD8ofmj8xJ/9P6JPzov8Ufvo/hD80fvp/hD80fvq/hD80fn +Jf7Q/NF5iT80f3Re4o/e/38/j6/5Q/OH5o/OS/zR+zD+2PP7mj/2PL/mD80f +mj/2vL/mD80fnZf4Q/NH5yX+2Pvhmj/2vrjmj+3H4//K31n8oflD84fmD80f ++/VP9/Pij/3+5zZ/aP7Q/KH5Q/PHPu+lzR/7/Nd7fvyh+UPzh+YPzR+aP/o+ +4o/9/d/a/LGf55o/NH9o/tD8ofljP/97mz/2fVzzR9c7/tD8oflD84fmD80f ++z4/2vyx7/ezzR+aPzR/aP7Q/LHr8dXmj12fa/7Q/KH5Q/OH5o9dz2v+2PX9 +0+aPXe9r/tD8oflD84fmj52Pa/7Yebnmj52f7zZ/7Dxd88fO1zV/aP7Q/LH7 +95o/NH9o/tD8oflD84fmjz3Pftr8oflD80fnLf7ovMUfnbf4o/MWf3Te4g/N +H523+KPzFn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzx94Pv23+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f3S/ +xB+aP7p/4g/NH5o/NH9o/tD80f0Wf2j+6Hkff2j+6H6MPzR/dH/GH5o/ul/j +D80fmj80f2j+0PzR/R1/aP7Q/KH5Q/NH5yX+6P0Tf3Re4o/eR/GH5o/eT/GH +5o/eV/GH5o/OS/yh+aPzEn9o/ui8xB+9/+MPzR+aPzR/dF7ij96H8cee39f8 +sef5NX9o/tD8sef9NX9o/ui8xB+aPzov8cfeD9f8sffFNX9sPx7/bv6u4g/N +H5o/NH9o/tivf7qfF3/s9z+3+UPzh+YPzR+aP/Z5L23+2Oe/3vPjD80fmj80 +f2j+0PzR9xF/7O//1uaP/TzX/KH5Q/OH5g/NH/v539v8se/jmj+63vGH5g/N +H5o/NH9o/tj3+dHmj32/n23+0Pyh+UPzh+aPXY+vNn/s+lzzh+YPzR+aPzR/ +7Hpe88eu7582f+x6X/OH5g/NH5o/NH/sfFzzx87LNX/s/Hy3+WPn6Zo/dr6u ++UPzh+aP3b/X/KH5Q/OH5g/NH5o/NH/sefbT5g/NH5o/Om/xR+ct/ui8xR+d +t/ij8xZ/aP7ovMUfnbf4Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj/2fvht +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8ofmj+yX+0PzR/RN/aP7Q/KH5Q/OH5o/ut/hD80fP+/hD80f3Y/yh+aP7 +M/7Q/NH9Gn9o/tD8oflD84fmj+7v+EPzh+YPzR+aPzov8Ufvn/ij8xJ/9D6K +PzR/9H6KPzR/9L6KPzR/dF7iD80fnZf4Q/NH5yX+6P0ff2j+0Pyh+aPzEn/0 +Pow/9vy+5o89z6/5Q/OH5o8976/5Q/NH5yX+0PzReYk/9n645o+9L675Y/vx ++C/zdxR/aP7Q/KH5Q/PHfv3T/bz4Y7//uc0fmj80f2j+0Pyxz3tp88c+//We +H39o/tD8oflD84fmj76P+GN//7c2f+znueYPzR+aPzR/aP7Yz//e5o99H9f8 +0fWOPzR/aP7Q/KH5Q/PHvs+PNn/s+/1s84fmD80fmj80f+x6fLX5Y9fnmj80 +f2j+0Pyh+WPX85o/dn3/tPlj1/uaPzR/aP7Q/KH5Y+fjmj92Xq75Y+fnu80f +O0/X/LHzdc0fmj80f+z+veYPzR+aPzR/aP7Q/KH5Y8+znzZ/aP7Q/NF5iz86 +b/FH5y3+6LzFH523+EPzR+ct/ui8xR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8sffDb5s/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH90v8Yfmj+6f+EPzh+YPzR+aPzR/dL/FH5o/et7HH5o/ +uh/jD80f3Z/xh+aP7tf4Q/OH5g/NH5o/NH90f8cfmj80f2j+0PzReYk/ev/E +H52X+KP3Ufyh+aP3U/yh+aP3Vfyh+aPzEn9o/ui8xB+aPzov8Ufv//hD84fm +D80fnZf4o/dh/LHn9zV/7Hl+zR+aPzR/7Hl/zR+aPzov8Yfmj85L/LH3wzV/ +7H1xzR/bj8e/yt9N/KH5Q/OH5g/NH/v1T/fz4o/9/uc2f2j+0Pyh+UPzxz7v +pc0f+/zXe378oflD84fmD80fmj/6PuKP/f3f2vyxn+eaPzR/aP7Q/KH5Yz// +e5s/9n1c80fXO/7Q/KH5Q/OH5g/NH/s+P9r8se/3s80fmj80f2j+0Pyx6/HV +5o9dn2v+0Pyh+UPzh+aPXc9r/tj1/dPmj13va/7Q/KH5Q/OH5o+dj2v+2Hm5 +5o+dn+82f+w8XfPHztc1f2j+0Pyx+/eaPzR/aP7Q/KH5Q/OH5o89z37a/KH5 +Q/NH5y3+6LzFH523+KPzFn903uIPzR+dt/ij8xZ/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD88feD79t/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH90v8Qfmj+6f+IPzR+aPzR/aP7Q/NH99rd/ +/OfX/NHzPv7Q/NH9GH9o/uj+jD80f3S/xh+aPzR/aP7Q/KH5o/s7/tD8oflD +84fmj85L/NH7J/7ovMQfvY/iD80fvZ/iD80fva/iD80fnZf4Q/NH5yX+0PzR +eYk/ev/HH5o/NH9o/ui8xB+9D+OPPb+v+WPP82v+0Pyh+WPP+2v+0PzReYk/ +NH90XuKPvR+u+WPvi2v+2H48/u/8ncQfmj80f2j+0PyxX/90Py/+2O9/bvOH +5g/NH5o/NH/s817a/LHPf73nxx+aPzR/aP7Q/KH5o+8j/tjf/63NH/t5rvlD +84fmD80fmj/287+3+WPfxzV/dL3jD80fmj80f2j+0Pyx7/OjzR/7fj/b/KH5 +Q/OH5g/NH7seX23+2PW55g/NH5o/NH9o/tj1vOaPXd8/bf7Y9b7mD80fmj80 +f2j+2Pm45o+dl2v+2Pn5bvPHztM1f+x8XfOH5g/NH7t/r/lD84fmD80fmj80 +f2j+2PPsp80fmj80f3Te4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/7P3w2+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/dL/KH5o/sn/tD8oflD +84fmD80f3W/xh+aPnvfxh+aP7sf4Q/NH92f8ofmj+zX+0Pyh+UPzh+YPzR/d +33/7x/94zR+aPzR/aP7ovMQfvX/ij85L/NH7KP7Q/NH7Kf7Q/NH7Kv7Q/NF5 +iT80f3Re4g/NH52X+KP3f/yh+UPzh+aPzkv80fsw/tjz+5o/9jy/5g/NH5o/ +9ry/5g/NH52X+EPzR+cl/tj74Zo/9r645o/tx+Pfz99F/KH5Q/OH5g/NH/v1 +T/fz4o/9/uc2f2j+0Pyh+UPzxz7vpc0f+/zXe378oflD84fmD80fmj/6PuKP +/f3f2vyxn+eaPzR/aP7Q/KH5Yz//e5s/9n1c80fXO/7Q/KH5Q/OH5g/NH/s+ +P9r8se/3s80fmj80f2j+0Pyx6/HV5o9dn2v+0Pyh+UPzh+aPXc9r/tj1/dPm +j13va/7Q/KH5Q/OH5o+dj2v+2Hm55o+dn+82f+w8XfPHztc1f2j+0Pyx+/ea +PzR/aP7Q/KH5Q/OH5o89z37a/KH5Q/NH5y3+6LzFH523+KPzFn903uIPzR+d +t/ij8xZ/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD88feD79t/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH90v8Qf +mj+6f+IPzR+aPzR/aP7Q/NH9Fn9o/uh5H39o/uh+jD80f3R/xh+aP7pf4w/N +H5o/NH9o/tD80f0df2j+0Pyh+UPzR+cl/uj9E390XuKP3kfxh+aP3k/xh+aP +3lfxh+aPzsvf/3HNH52X+EPzR+cl/uj9H39o/tD8ofmj8xJ/9D6MP/b8vuaP +Pc+v+UPzh+aPPe+v+UPzR+cl/tD80XmJP/Z+uOaPvS+u+WP78fiv8ncQf2j+ +0Pyh+UPzx3790/28+GO//7nNH5o/NH9o/tD8sc97afPHPv/1nh9/aP7Q/KH5 +Q/OH5o++j/hjf/+3Nn/s57nmD80fmj80f2j+2M//3uaPfR/X/NH1jj80f2j+ +0Pyh+UPzx77PjzZ/7Pv9bPOH5g/NH5o/NH/seny1+WPX55o/NH9o/tD8oflj +1/OaP3Z9/7T5Y9f7mj80f2j+0Pyh/+P4Y+fjmj92Xq75Y+fnu80fO0/X/LHz +dc0fmj80f+z+veYPzR+aPzR/aP7Q/KH5Y8+znzZ/aP7Q/NF5iz86b/FH5y3+ +6LzFH523+EPzR+ct/ui8xR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8sffD +b5s/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH90v8Yfmj+6f+EPzh+YPzR+aPzR/dL/FH5o/et7HH5o/uh/jD80f +3Z/xh+aP7tf4Q/OH5g/NH5o/NH90f8cfmj80f2j+0PzReYk/ev/EH52X+KP3 +Ufyh+aP3U/yh+aP3Vfyh+aPzEn9o/ui8xB+aPzov8Ufv//hD84fmD80fnZf4 +o/dh/LHn9zV/7Hl+zR+aPzR/7Hl/zR+aPzov8Yfmj85L/LH3wzV/7H1xzR/b +j8f/kr97+EPzh+YPzR+aP/brn+7n/f25/+ov3//c5g/NH5o/NH9o/tjnvbT5 +Y5//es+PPzR/aP7Q/KH5Q/NH30f8sb//W5s/9vNc84fmD80fmj80f+znf2/z +x76Pa/7oescfmj80f2j+0Pyh+WPf50ebP/b9frb5Q/OH5g/NH5o/dj2+2vyx +63PNH5o/NH9o/tD8set5zR+7vn/a/LHrfc0fmj80f2j+0Pyx83HNHzsv1/yx +8/Pd5o+dp2v+2Pm65g/NH5o/dv9e84fmD80fmj80f2j+0Pyx59lPmz80f2j+ +6LzFH523+KPzFn903uKPzlv8ofmj8xZ/dN7iD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Y++G3zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80fmj+63+IPzR89 +7+MPzR/dj/GH5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/NH5o/NH9o/ui8 +xB+9f+KPzkv80fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7iD80fnZf4o/d/ +/KH5Q/OH5o/OS/zR+zD+2PP7mj/2PL/mD80fmj/2vL/mD80fnZf4Q/NH5yX+ +2Pvhmj/2vrjmj+3H4//J3zn8oflD84fmD80f+/VP9/Pij/3+5zZ/aP7Q/KH5 +Q/PHPu+lzR/7/Nd7fvyh+UPzh+YPzR+aP/o+4o/9/d/a/LGf55o/NH9o/tD8 +ofljP/97mz/2fVzzR9c7/tD8oflD84fmD80f+z4/2vyx7/ezzR+aPzR/aP7Q +/LHr8dXmj12fa/7Q/KH5Q/OH5o9dz2v+2PX90+aPXe9r/tD8oflD84fmj52P +a/7Yebnmj52f7zZ/7Dxd88fO1zV/aP7Q/LH795o/NH9o/tD8oflD84fmjz3P +ftr8oflD80fnLf7ovMUfnbf4o/MWf3Te4g/NH523+KPzFn9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzx94Pv23+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f3S/xB+aP7p/4g/NH5o/NH9o/tD8 +0f0Wf2j+6Hkff2j+6H6MPzR/dH/GH5o/ul/jD80fmj80f2j+0PzR/R1/aP7Q +/KH5Q/NH5yX+6P0Tf3Re4o/eR/GH5o/eT/GH5o/eV/GH5o/OS/yh+aPzEn9o +/ui8xB+9/+MPzR+aPzR/dF7ij96H8cee39f8sef5NX9o/tD8sef9NX9o/ui8 +xB+aPzov8cfeD9f8sffFNX9sPx7/NH/X8IfmD80fmj80f+zXP93P+2//0R/7 +/c9t/tD8oflD84fmj33eS5s/9vmv9/z4Q/OH5g/NH5o/NH/0fcQf+/u/tflj +P881f2j+0Pyh+UPzx37+9zZ/7Pu45o+ud/yh+UPzh+YPzR+aP/Z9frT5Y9/v +Z5s/NH9o/tD8oflj1+OrzR+7Ptf8oflD84fmD80fu57X/LHr+6fNH7ve1/yh ++UPzh+YPzR87H9f8sfNyzR87P99t/th5uuaPna9r/tD8oflj9+81f2j+0Pyh ++UPzh+YPzR97nv20+UPzh+aPzlv80XmLPzpv8UfnLf7ovMUfmj86b/FH5y3+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o+9H37b/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7ofok/NH90/8Qf +mj80f2j+0Pyh+aP7Lf7Q/NHzPv7Q/NH9GH9o/uj+jD80f3S/xh+aPzR/aP7Q +/KH5o/s7/tD8oflD84fmj85L/NH7J/7ovMQfvY/iD80fvZ/iD80fva/iD80f +nZf4Q/NH5yX+0PzReYk/ev/HH5o/NH9o/ui8xB+9D+OPPb+v+WPP82v+0Pyh ++WPP+2v+0PzReYk/NH90XuKPvR+u+WPvi2v+2H48/pv8HcMfmj80f2j+0Pyx +X/90Py/+2O9/bvOH5g/NH5o/NH/s817a/LHPf73nxx+aPzR/aP7Q/KH5o+8j +/tjf/63NH/t5rvlD84fmD80fmj/287+3+WPfxzV/dL3jD80fmj80f2j+0Pyx +7/OjzR/7fj/b/KH5Q/OH5g/NH7seX23+2PW55g/NH5o/NH9o/tj1vOaPXd8/ +bf7Y9b7mD80fmj80f2j+2Pm45o+dl2v+2Pn5bvPHztM1f+x8XfOH5g/NH7t/ +r/lD84fmD80fmj80f2j+2PPsp80fmj80f3Te4o/OW/zReYs/Om/xR+ct/tD8 +0XmLPzpv8YfmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/7P3w2+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/dL +/KH5o/sn/tD8oflD84fmD80f3W/xh+aPnvfxh+aP7sf4Q/NH92f8ofmj+zX+ +0Pyh+UPzh+YPzR/d3/GH5g/NH5o/NH90XuKP3j/xR+cl/uh9FH9o/uj9FH9o +/uh9FX9o/ui8xB+aPzov8Yfmj85L/NH7P/7Q/KH5Q/NH5yX+6H0Yf+z5fc0f +e55f84fmD80fe95f84fmj85L/KH5o/MSf+z9cM0fe19c88f24/G/5e8W/tD8 +oflD84fmj/36p/t58cd+/3ObPzR/aP7Q/KH5Y5/30uaPff7rPT/+0Pyh+UPz +h+YPzR99H/HH/v5vbf7Yz3PNH5o/NH9o/tD8sZ//vc0f+z6u+aPrHX9o/tD8 +oflD84fmj32fH23+2Pf72eYPzR+aPzR/aP7Y9fhq88euzzV/aP7Q/KH5Q/PH +ruc1f+z6/mnzx673NX9o/tD8oflD88fOxzV/7Lxc88fOz3ebP3aervlj5+ua +PzR/aP7Y/XvNH5o/NH9o/tD8oflD88eeZz9t/tD8ofmj8xZ/dN7ij85b/NF5 +iz86b/GH5o/OW/zReYs/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+WPvh982 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj+6X+IPzR/dP/GH5g/NH5o/NH9o/uh+iz80f/S8jz80f3Q/xh+aP7o/ +4w/NH92v8YfmD80fmj80f2j+6P6OPzR/aP7Q/KH5o/MSf/T+iT86L/FH76P4 +Q/NH76f4Q/NH76v4Q/NH5yX+0PzReYk/NH90XuKP3v/xh+YPzR+aPzov8Ufv +w/hjz+9r/tjz/Jo/NH9o/tjz/po/NH90XuIPzR+dl/hj74dr/tj74po/tv/t +/03+TuEPzR+aPzR/aP7Yr3+6nxd/7Pc/t/lD84fmD80fmj/2eS9t/tjnv97z +4w/NH5o/NH9o/tD80fcRf+zv/9bmj/081/yh+UPzh+YPzR/7+d/b/LHv45o/ +ut7xh+YPzR+aPzR/aP7Y9/nR5o99v59t/tD8oflD84fmj12PrzZ/7Ppc84fm +D80fmj80f+x6XvPHru+fNn/sel/zh+YPzR+aPzR/7Hxc88fOyzV/7Px8t/lj +5+maP3a+rvlD84fmj92/1/yh+UPzh+YPzR+aPzR/7Hn20+YPzR+aPzpv8Ufn +Lf7ovMUfnbf4o/MWf2j+6LzFH523+EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/9n74bfOH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5o/sl/tD80f0Tf2j+0Pyh+UPzh+aP7rf4Q/NHz/v4Q/NH +92P8ofmj+zP+0PzR/Rp/aP7Q/KH5Q/OH5o/u7/hD84fmD80fmj86L/FH75/4 +o/MSf/Q+ij80f/R+ij80f/S+ij80f3Re4g/NH52X+EPzR+cl/uj9H39o/tD8 +ofmj8xJ/9D6MP/b8vuaPPc+v+UPzh+aPPe+v+UPzR+cl/tD80XmJP/Z+uOaP +vS+u+WP78fiP8ncJf2j+0Pyh+UPzx3790/28+GO//7nNH5o/NH9o/tD8sc97 +afPHPv/1nh9/aP7Q/KH5Q/OH5o++j/hjf/+3Nn/s57nmD80fmj80f2j+2M// +3uaPfR/X/NH1jj80f2j+0Pyh+UPzx77PjzZ/7Pv9bPOH5g/NH5o/NH/seny1 ++WPX55o/NH9o/tD8oflj1/OaP3Z9/7T5Y9f7mj80f2j+0Pyh+WPn45o/dl6u ++WPn57vNHztP1/yx83XNH5o/NH/s/r3mD80fmj80f2j+0Pyh+WPPs582f2j+ +0PzReYs/Om/xR+ct/ui8xR+dt/hD80fnLf7ovMUfmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/LH3w2+bPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR/dL/GH5o/un/hD84fmD80fmj80f3S/xR+a +P3rexx+aP7of4w/NH92f8Yfmj+7X+EPzh+YPzR+aPzR/dH/HH5o/NH9o/tD8 +0XmJP3r/xB+dl/ij91H8ofmj91P8ofmj91X8ofmj8xJ/aP7ovMQfmj86L/FH +7//4Q/OH5g/NH52X+KP3Yfyx5/c1f+x5fs0fmj80f+x5f80fmj86L/GH5o/O +S/yx98M1f+x9cc0f24+Hv0P4Q/OH5g/NH5o/9uuf7ufFH/v9z23+0Pyh+aP/ +3tD4Q/PHPu+lzR/7/Nd7fvyh+UPzh+YPzR+aP/o+4o/9/d/a/LGf55o/NH9o +/tD8ofljP/97mz/2fVzzR9c7/tD8oflD84fmD80f+z4/2vyx7/ezzR+aP/rf +2Ys/NH9o/tj1+Grzx67PNX9o/tD8oflD88eu5zV/7Pre/zsGf+x6X/OH/us/ ++UPzh+aPnY9r/th5ueaPnZ/vNn/sPF3zx87XNX9o/tD8sfv3mj80f2j+0Pyh ++UPzh+aPPc9+2vyh+UPzR+ct/ui8xR+dt/ij8xZ/dN7iD80fnbf4o/MWf2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/PH3g+/bf7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/dL/EH5o/un/iD80f +mj80f2j+0PzR/RZ/aP7oeR9/aP7ofow/NH90f8Yfmj+6X+MPzR+aPzR/aP7Q +/NH9HX9o/tD8oflD80fnJf7o/RN/dF7ij95H8Yfmj95P8Yfmj95T8Yfmj85L +/KH5o/MSf2j+6LzEH5o/NH9o/tD80XmJP3ofxh97fl/zx57n1/yh+UPzx573 +1/yh+aPzEn9o/ui8xB97P1zzx94X1/yx/Xi85u8O/tD8oflD84fmj/36p/t5 +8cd+/3ObPzR/aP7Q/KH5Y5/30uaPff7rPT/+0Pyh+UPzh+YPzR99H/HH/v5v +bf7Yz3PNH5o/NH9o/tD8sZ//vc0f+z6u+aPrHX9o/tD8oflD84fmj32fH23+ +2Pf72eYPzR+aPzR/aP7Y9fhq88euzzV/aP7Q/KH5Q/PHruc1f+z6/mnzx673 +NX/onttp/tD8oflj5+OaP3Zervlj5+e7zR87T9f8sfN1zR+aPzR/7P695g/N +H5o/NH9o/tD8ofljz7OfNn9o/tD80XmLPzpv8UfnLf7ovMUfnbf4Q/NH5y3+ +6LzFH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyx98Nvmz80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f3S/xh+aP +7p/4Q/OH5g/NH5o/NH90v8Ufmj963scfmj+6H+MPzR/dn/GH5o/u1/hD84fm +D80fmj80f3R/xx+aPzR/aP7Q/NF5iT96/8QfnZf4o/dR/KH5o/dT/KH5o/dV +/KH5o/MSf2j+6LzEH5o/Oi/xR+//+EPzh+YPzR+dl/ij92H8sef3NX/seX7N +H5o/NH/seX/NH5o/Oi/xh+aPzkv8sffDNX/sfXHNH9uPx/+bvzP4Q/OH5g/N +H5o/9uuf7ufFH/v9z23+0Pyh+UPzh+aPfd5Lmz/2+a/3/PhD84fmD80fmj80 +f/R9xB/7+7+1+WM/zzV/aP7Q/KH5Q/PHfv73Nn/s+7jmj653/KH5Q/OH5g/N +H5o/9n1+tPlj3+9nmz80f2j+0Pyh+WPX46vNH7s+1/yh+UPzh+YPzR+7ntf8 +sev7p80fu97X/KH7PxdK84fmD80fOx/X/LHzcs0fOz/fbf7Yebrmj52va/7Q +/KH5Y/fvNX9o/tD8oflD84fmD80fe579tPlD84fmj85b/NF5iz86b/FH5y3+ +6LzFH5o/Om/xR+ct/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aPvR9+2/yh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+6H6JPzR/dP/EH5o/NH9o/tD8ofmj+y3+0PzR8z7+0PzR/Rh/aP7o/ow/ +NH90v8Yfmj80f2j+0Pyh+aP7O/7Q/KH5Q/OH5o/OS/zR+yf+6LzEH72P4g/N +H72f4g/NH72v4g/NH52X+EPzR+cl/tD80XmJP3r/xx+aPzR/aP7ovMQfvQ/j +jz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJPzR/dF7ij70frvlj74tr/th+PP5Z +/q7gD80fmj80f2j+2K9/up8Xf+z3P7f5Q/OH5g/NH5o/9nkvbf7Y57/e8+MP +zR+aPzR/aP7Q/NH3EX/s7//W5o/9PNf8oflD84fmD80f+/nf2/yx7+OaP7re +8YfmD80fmj80f2j+2Pf50eaPfb+fbf7Q/KH5Q/OH5o9dj682f+z6XPOH5g/N +H5o/NH/sel7zx67vnzZ/7Hpf84fmD80fmj80f+x8XPPHzss1f+z8fLf5Y+fp +mj92vq75Q/OH5o/dv9f8oflD84fmD80fmj80f+x59tPmD80fmj86b/FH5y3+ +6LzFH523+KPzFn9o/ui8xR+dt/hD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +P/Z++G3zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+aP7Jf7Q/NH9E39o/tD8oflD84fmj+63+EPzR8/7+EPzR/dj +/KH5o/sz/tD80f0af2j+0Pyh+UPzh+aP7u/4Q/OH5g/NH5o/Oi/xR++f+KPz +En/0Poo/NH/0foo/NH/0voo/NH90XuIPzR+dl/hD80fnJf7o/R9/aP7Q/KH5 +o/MSf/Q+jD/2/L7mjz3Pr/lD84fmjz3vr/lD80fnJf7Q/NF5iT/2frjmj70v +rvlj+/HwdwR/aP7Q/KH5Q/PHfv3T/bz4Y7//uc0fmj80f2j+0Pyxz3tp88c+ +//WeH39o/tD8oflD84fmj76P+GN//7c2f+znueYPzR+aPzR/aP7Yz//e5o99 +H9f80fWOPzR/aP7Q/KH5Q/PHvs+PNn/s+/1s84fmD80fmj80f+x6fLX5Y9fn +mj80f2j+0Pyh+WPX85o/dn3v30PFH7ve1/zx1/+9Dc0fmj80f+x83D/5Y+fl +mj92fr7b/LHzdM0fO1/X/KH5Q/PH7t9r/tD8oflD84fmD80fmj/2PPtp84fm +D80fnbf4o/MWf3Te4o/OW/zReYs/NH903uKPzlv8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR97P/y2+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0PzR/RJ/aP7o/ok/NH9o/tD8oflD80f3W/yh ++aPnffyh+aP7Mf7Q/NH9GX9o/uh+jT80f2j+0Pyh+UPzR/d3/KH5Q/OH5g/N +H52X+KP3T/zReYk/eh/FH5o/ej/FH5o/el/FH5o/Oi/xh+aPzkv8ofmj8xJ/ +aP7Q/KH5Q/NH5yX+6H0Yf+z5fc0fe55f84fmD80fe95f84fmj85L/KH5o/MS +f+z9cM0fe19c88f24+HvBv7Q/KH5Q/OH5o/9+qf7efHHfv/z/d0Sf2j+0Pyh ++UPzxz7vpc0f+/zXv/zd9L+3+UPzh+YPzR+aP/o+4o/9/d/a/LGf55o/NH9o +/tD8ofljP/97mz/2fVzzR9c7/tD8oflD84fmD80f+z4/2vyx7/ezzR+aPzR/ +aP7Q/LHr8dXmj12fa/7Q/KH5Q/OH5o9dz2v+2PW9f+8tf+x6X/PHX//3xDV/ +aP7Q/PFXZ+i//pM/NH/s/Hy3+WPn6Zo/dr6u+UPzh+aP3b/X/KH5Q/OH5g/N +H5o/NH/sefbT5g/NH5o/Om/xR+ct/ui8xR+dt/ij8xZ/aP7ovMUfnbf4Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj/2fvht84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+yX+0PzR/RN/aP7Q +/KH5Q/OH5o/ut/hD80fP+/hD80f3Y/yh+aP7M/7Q/NH9Gn9o/tD8oflD84fm +j+7v+EPzh+YPzR+aPzov8Ufvn/ij8xJ/9D6KPzR/9H6KPzR/9L6KPzR/dF7i +D80fnZf4Q/NH5yX+0Pyh+UPzh+aPzkv80fsw/tjz+5o/9jy/5g/NH5o/9ry/ +5g/NH52X+EPzR+flv/5Hf+z9cM0fe19c88f24/H/5e8E/tD8oflD84fmj/36 +p/t58cd+/3ObPzR/aP7Q/KH5Y5/30uaPff7rPT/+0Pyh+UPzh+YPzR99H/HH +/v5vbf7Yz3PNH5o/NH9o/tD8sZ//vc0f+z6u+aPrHX9o/tD8oflD84fmj32f +H23+2Pf72eYPzR+aPzR/aP7Y9fhq88euzzV/aP7Q/KH5Q/PHruc1f+z6/mnz +x673NX9o/tD8oflD88fOx7VzZeflmj92fr7b/LHzdM0fO1/X/KH5Q/PH7t9r +/tD8oflD84fmD80fmj/2PPtp84fmD80fnbf4o/MWf3Te4o/OW/zReYs/NH90 +3uKPzlv8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/y2+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/RJ/ +aP7o/ok/NH9o/tD8oflD80f3W/yh+aPnffyh+aP7Mf7Q/NH9GX9o/uh+jT80 +f2j+0Pyh+UPzR/d3/KH5Q/OH5g/NH52X+KP3T/zReYk/eh/FH5o/ej/FH5o/ +el/FH5o/Oi/xh+aPzkv8ofmj8xJ/9P6PPzR/aP7Q/NF5iT96H8Yfe35f88ee +59f8oflD88ee99f8ofmj8xJ/aP7ovMQfez9c88feF9f8sf14/Cf5u4A/NH9o +/tD8ofljv/7pfl78sd//3OYPzR+aPzR/aP7Y5720+WOf/3rPjz80f2j+0Pyh ++UPzR9/H3+ftX//l939r88d+nmv+0Pyh+UPzh+aP/fzvbf7Y93HNH13v+EPz +h+YPzR+aPzR/7Pv8aPPHvt/PNn9o/tD8oflD88eux1ebP3Z9rvlD84fmD80f +mj92Pa/5Y9f3T5s/dr2v+UPzh+YPzR+aP3Y+rvlj5+WaP3Z+vtv8sfN0zR87 +X9f8oflD88fu32v+0Pyh+UPzh+YPzR+aP/Y8+2nzh+YPzR+dt/ij8xZ/dN7i +j85b/NF5iz80f3Te4o/OW/yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH3s/ +/Lb5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/NH9En9o/uj+iT80f2j+0Pyh+UPzR/db/KH5o+d9/KH5o/sx/tD8 +0f0Zf2j+6H6NPzR/aP7Q/KH5Q/NH93f8oflD84fmD80fnZf4o/dP/NF5iT96 +H8Ufmj96P8Ufmj96X8Ufmj86L/GH5o/OS/yh+aPzEn/0/o8/NH9o/tD80XmJ +P3ofxh97fl/zx57n1/yh+UPzx5731/yh+aPzEn9o/ui8xB97P1zzx94X1/yx +/Xj8d/k7gD80f2j+0Pyh+WO//ul+Xvyx3//c5g/NH5o/NH9o/tjnvbT5Y5// +es+PPzR/aP7Q/KH5Q/NH30f8sb//W5s/9vNc84fmD80fmj80f+znf2/zx76P +a/7oescfmj80f2j+0Pyh+WPf50ebP/b9frb5Q/OH5g/NH5o/dj2+2vyx63PN +H5o/NH9o/tD8set5zR+7vn/a/LHrfc0fmj80f2j+0Pyx83HNHzsv1/yx8/Pd +5o+dp2v+2Pm65g/NH5o/dv9e84fmD80fmj80f2j+0Pyx59lPmz80f2j+6LzF +H523+KPzFn903uKPzlv8ofmj8xZ/dN7iD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Y++G3zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80fmj+63+IPzR897+MP +zR/dj/GH5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/NH5o/NH9o/ui8xB+9 +f+KPzkv80fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7iD80fnZf4o/d//KH5 +Q/OH5o/OS/zR+zD+2PP7mj/2PL/mD80fmj/2vL/mD80fnZf4Q/NH5yX+2Pvh +mj/2vrjmj+3H4//Ivc8fmj80f2j+0PyxX/90Py/+2O9/bvOH5g/NH5o/NH/s +817a/LHPf73nxx+aPzR/aP7Q/KH5o+8j/tjf/63NH/t5rvlD84fmD80fmj/2 +87+3+WPfxzV/dL3//n7+zzZ/aP7Q/KH5Q/PHvs+PNn/s+/1s84fmD80fmj80 +f+x6fLX5Y9fnmj80f2j+0Pyh+WPX85o/dn3/tPlj1/uaP3T/u2xp/tD8oflj +5+O6///sZ16u+WPn57vNHztP1/yx83XNH5o/NH/s/r3mD80fmj80f2j+0Pyh ++WPPs582f2j+0PzReYs/Om/xR+ct/ui8xR+dt/hD80fnLf7ovMUfmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/LH3w2+bPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/dL/GH5o/un/hD84fmD80f +mj80f3S/xR+aP3rexx+aP7of4w/NH92f8Yfmj+7X+EPzh+YPzR+aPzR/dH/H +H5o/NH9o/tD80XmJP3r/xB+dl/ij91H8ofmj91P8ofmj91X8ofmj8xJ/aP7o +vMQfmj86L/FH7//4Q/OH5g/NH52X+KP3Yfyx5/c1f+x5fs0fmj80f+x5f80f +mj86L/GH5o/OS/yx98M1f+x9cc0f2//2/+Se5w/NH5o/NH9o/tivf7qfF3/s +9z+3+UPzh+YPzR+aP/Z5L23+2Oe/3vPjD80fmj80f2j+0PzR9xF/7O//1uaP +/TzX/KH5Q/OH5g/NH/v539v8se/jmj+63vGH5g/NH5o/NH9o/tj3+dHmj32/ +n23+0Pyh+UPzh+aPXY+vNn/s+lzzh+YPzR+aPzR/7Hpe88eu7582f+x6X/OH +7n+HNM0fmj80f+x8XPd/r2zm5Zo/dn6+2/yx83TNHztf1/yh+UPzx+7fa/7Q +/KH5Q/OH5g/NH5o/9jz7afOH5g/NH523+KPzFn903uKPzlv80XmLPzR/dN7i +j85b/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fez/8tvlD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80f0Sf2j+ +6P6JPzR/aP7Q/KH5Q/NH91v8ofmj5338ofmj+zH+0PzR/Rl/aP7ofo0/NH9o +/tD8oflD80f3d/yh+UPzh+YPzR+dl/ij90/80XmJP3ofxR+aP3o/xR+aP3pf +xR+aPzov8Yfmj85L/KH5o/MSf/T+jz80f2j+0PzReYk/eh/GH3t+X/PHnufX +/KH5Q/PHnvfX/KH5o/MSf2j+6LzEH3s/XPPH3hfX/LH9ePynudf5Q/OH5g/N +H5o/9uuf7ufFH/v9z23+0Pyh+UPzh+aPfd5Lmz/2+a/3/PhD84fmD80fmj80 +f/R9xB/7+7+1+WM/zzV/aP7Q/KH5Q/PHfv73Nn/s+7jmj653/KH5Q/OH5g/N +H5o/9n1+tPlj3+9nmz80f2j+0Pyh+WPX46vNH7s+1/yh+UPzh+YPzR+7ntf8 +sev7p80fu97X/KH5Q/OH5g/NHzsf1/33BM28XPPHzs93mz92nq75Y+frmj80 +f2j+2P17zR+aPzR/aP7Q/KH5Q/PHnmc/bf7Q/KH5o/MWf3Te4o/OW/zReYs/ +Om/xh+aPzlv80XmLPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflj74ffNn9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/ul/iD80f3T/xh+YPzR+aPzR/aP7ofos/NH/0vI8/NH90P8Yfmj+6P+MP +zR/dr/GH5g/NH5o/NH9o/uj+jj80f2j+0Pyh+aPzEn/0/ok/Oi/xR++j+EPz +R++n+EPzR++r+EPzR+cl/tD80XmJPzR/dF7ij97/8YfmD80fmj86L/FH78P4 +Y8/va/7Y8/yaPzR/aP7Y8/6aPzR/dF7iD80fnZf4Y++Ha/7Y++KaP7Yfj/8+ +9zh/aP7Q/KH5Q/PHfv3T/bz4Y7//uc0fmj80f2j+0Pyxz3tp88c+//WeH39o +/tD8oflD84fmj76P+GN//7c2f+znueYPzR+aPzR/aP7Yz//e5o99H9f80fWO +PzR/aP7Q/KH5Q/PHvs+PNn/s+/1s84fmD80fmj80f+x6fLX5Y9fnmj80f2j+ +0Pyh+WPX85o/dn3/tPlj1/uaPzR/aP7Q/KH5Y+fjmj92Xq75Y+fnu80fO0/X +/LHzdc0fmj80f+z+veYPzR+aPzR/aP7Q/KH5Y8+znzZ/aP7Q/NF5iz86b/FH +5y3+6LzFH523+EPzR+ct/ui8xR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +sffDb5s/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH90v8Yfmj+6f+EPzh+YPzR+aPzR/dL/FH5o/et7HH5o/uh/j +D80f3Z/xh+aP7tf4Q/OH5g/NH5o/NH90f8cfmj80f2j+0PzReYk/ev/EH52X ++KP3Ufyh+aP3U/yh+aP3Vfyh+aPzEn9o/ui8xB+aPzov8Ufv//hD84fmD80f +nZf4o/dh/LHn9zV/7Hl+zR+aPzR/7Hl/zR+aPzov8Yfmj85L/LH3wzV/7H1x +zR/bj8e/yb3NH5o/NH9o/tD8sV//dD8v/tjvf27zh+YPzR+aPzR/7PNe2vyx +z3+958cfmj/+zfxd8w9t/tD8ofmj7yP+2N//rc0f+3mu+UPzh+YPzR+aP/bz +v7f5Y9/HNX90veMPzR+aPzR/aP7Q/LHv86PNH/t+P9v8oflD84fmD80fux5f +bf7Y9bnmD80fmj80f2j+2PW85o9d3z9t/tj1vuYPzR+aPzR/aP7Y+bjuf+dg +5uWaP3Z+vtv8sfN0zR87X9f8oflD88fu32v+0Pyh+UPzh+YPzR+aP/Y8+2nz +h+YPzR+dt/ij8xZ/dN7ij85b/NF5iz80f3Te4o/OW/yh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH3s//Lb5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH9En9o/uj+iT80f2j+0Pyh+UPzR/db +/KH5o+d9/KH5o/sx/tD80f0Zf2j+6H6NPzR/aP7Q/KH5Q/NH93f8oflD84fm +D80fnZf4o/dP/NF5iT96H8Ufmj96P8Ufmj96X8Ufmj86L/GH5o/OS/yh+aPz +En/0/o8/NH9o/tD80XmJP3of/s//6I89v6/5Y8/za/7Q/KH5Y8/7a/7Q/NF5 +iT80f3Re4o+9H675Y++La/7Yfjz+Se5p/tD8oflD84fmj/36p/t58cd+/3Ob +PzR/aP7Q/KH5Y5/30uaPff7rPT/+0PzxT+Z/jvoPbf7Q/KH5o+8j/tjf/63N +H/t5rvlD84fmD80fmj/287+3+WPfxzV/dL3jD80fmj80f2j+0Pyx7/OjzR/7 +fj/b/KH5Q/OH5g/NH7seX23+2PW55g/NH5o/NH9o/tj1vOaPXd8/bf7Y9b7m +D80fmj80f2j+2Pm47n9Hbeblmj92fr7b/LHzdM0fO1/X/KH5Q/PH7t9r/tD8 +oflD84fmD80fmj/2PPtp84fmD80fnbf4o/MWf3Te4o/OW/zReYs/NH903uKP +zlv8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/y2+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/RJ/aP7o +/ok/NH9o/tD8oflD80f3W/yh+aPnffyh+aP7Mf7Q/NH9GX9o/uh+jT80f2j+ +0Pyh+UPzR/d3/KH5Q/OH5g/NH52X+KP3T/zReYk/eh/FH5o/ej/FH5o/el/F +H5o/Oi/xh+aPzkv8ofmj8xJ/9P6PPzR/aP7Q/NF5iT96H8Yfe35f88ee59f8 +oflD88ee99f8ofmj8xJ/aP7ovMQfez9c88feF9f8sf14/PPcy/yh+UPzh+YP +zR/79U/38+KP/f7nNn9o/tD8oflD88c+76XNH/v813t+/KH545/P/7+Nf2jz +h+YPzR99H/HH/v5vbf7Yz3PNH5o/NH9o/tD8sZ//vc0f+z6u+aPrHX9o/tD8 +oflD84fmj32fH23+2Pf72eYPzR+aPzR/aP7Y9fhq88euzzV/aP7Q/KH5Q/PH +ruc1f+z6/mnzx673NX9o/tD8oflD88fOx3X/O80zL9f8sfPz3eaPnadr/tj5 +uuYPzR+aP3b/XvOH5g/NH5o/NH9o/tD8sefZT5s/NH9o/ui8xR+dt/ij8xZ/ +dN7ij85b/KH5o/MWf3Te4g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+2Pvh +t80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmj+6X+EPzR/dP/KH5Q/OH5g/NH5o/ut/iD80fPe/jD80f3Y/xh+aP +7s/4Q/NH92v8oflD84fmD80fmj+6v+MPzR+aPzR/aP7ovMQfvX/ij85L/NH7 +KP7Q/NH7Kf7Q/NH7Kv7Q/NF5iT80f3Re4g/NH52X+KP3f/yh+UPzh+aPzkv8 +0fsw/tjz+5o/9jy/5g/NH5o/9ry/5g/NH52X+EPzR+cl/tj74Zo/9r645o/t +x+N/yD3MH5o/NH9o/tD8sV//dD8v/tjvf27zh+YPzR+aPzR/7PNe2vyxz3+9 +58cfmj80f2j+0Pyh+aPvI/7Y3/+tzR/7ea75Q/OH5g/NH5o/9vO/t/lj38c1 +f3S94w/NH5o/NH9o/tD8se/zo80f+34/2/yh+UPzh+YPzR+7Hl9t/tj1ueYP +zR+aPzR/aP7Y9bzmj13fP23+2PW+5g/NH5o/NH9o/tj5uOaPnZdr/tj5+W7z +x87TNX/sfF3zh+YPzR+7f6/5Q/OH5g/NH5o/NH9o/tjz7KfNH5o/NH903uKP +zlv80XmLPzpv8UfnLf7Q/NF5iz86b/GH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f+z98NvmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD80f3S/yh+aP7J/7Q/KH5Q/OH5g/NH91v8Yfmj5738Yfm +j+7H+EPzR/dn/KH5o/s1/tD8oflD84fmD80f3d/xh+YPzR+aPzR/dF7ij94/ +8UfnJf7ofRR/aP7o/RR/aP7ofRV/aP7ovMQfmj86L/GH5o/OS/zR+z/+0Pyh ++UPzR+cl/uh9GH/s+X3NH3ueX/OH5g/NH3veX/OH5o/OS/yh+aPzEn/s/XDN +H3tfXPPH9uPxmXuXPzR/aP7Q/KH5Y7/+6X5e/LHf/9zmD80fmj80f2j+2Oe9 +tPljn/96z48/NH9o/tD8oflD80ffR/yxv/9bmz/281zzh+YPzR+aPzR/7Od/ +b/PHvo9r/uh6xx+aPzR/aP7Q/KH5Y9/nR5s/9v1+tvlD84fmD80fmj92Pb7a +/LHrc80fmj80f2j+0Pyx63nNH7u+f9r8set9zR+aPzR/aP7Q/LHzcc0fOy/X +/LHz893mj52na/7Y+brmD80fmj92/17zh+YPzR+aPzR/aP7Q/LHn2U+bPzR/ +aP7ovMUfnbf4o/MWf3Te4o/OW/yh+aPzFn903uIPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tj74bfNH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5o/ul/hD80f3z9/+8V9c84fmD80fmj80f3S/ +xR+aP3rexx+aP7of4w/NH92f8Yfmj+7X+EPzh+YPzR+aPzR/dH/HH5o/NH9o +/tD80XmJP3r/xB+dl/ij91H8ofmj91P8ofmj91X8ofmj8xJ/aP7ovMQfmj86 +L//ZP/qj93/8oflD84fmj85L/NH7MP7Y8/uaP/Y8v+YPzR+aP/a8v+YPzR+d +l/hD80fnJf7Y++GaP/a+uOaP7cfj38k9yx+aPzR/aP7Q/LFf/3Q/L/7Y739u +84fmD80fmj80f+zzXtr8sc9/vefHH5o/NH9o/tD8ofmj7yP+2N//rc0f+3mu ++UPzh+YPzR+aP/bzv7f5Y9/HNX90veMPzR+aPzR/aP7Q/LHv86PNH/t+P9v8 +oflD84fmD80fux5fbf7Y9bnmD80fmj80f2j+2PW85o9d3z9t/tj1vuYPzR+a +PzR/aP7Y+bjmj52Xa/7Y+flu88fO0zV/7Hxd84fmD80fu3+v+UPzh+YPzR+a +PzR/aP7Y8+ynzR+aPzR/dN7ij85b/NF5iz86b/FH5y3+0PzReYs/Om/xh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH/s/fDb5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH90v8ofmj+yf+0Pyh ++UPzh+YPzR/db/GH5o+e9/GH5o/ux/hD80f3Z/yh+aP79W//+JfX/KH5Q/OH +5g/NH93f8YfmD80fmj80f3Re/v7Pa/7ovMQfvY/iD80fvZ/iD80fva/iD80f +nZf4Q/NH5yX+0PzReYk/ev/HH5o/NH9o/ui8xB+9D+OPPb+v+WPP82v+0Pyh ++WPP+2v+0PzReYk/NH90XuKPvR+u+WPvi2v+2H48/kXuVf7Q/KH5Q/OH5o/9 ++qf7efHHfv9zmz80f2j+0Pyh+WOf99Lmj33+6z0//tD8oflD84fmD80ffR/x +x/7+b23+2M9zzR+aPzR/aP7Q/LGf/73NH/s+rvmj6x1/aP7Q/KH5Q/OH5o99 +nx9t/tj3+9nmD80fmj80f2j+2PX4avPHrs81f2j+0Pyh+UPzx67nNX/s+v5p +88eu9zV/aP7Q/KH5Q/PHzsc1f+y8XPPHzs93mz92nq75Y+frmj80f2j+2P17 +zR+aPzR/aP7Q/z9Zd6xiedeue3keh6JgZOa5GAiCLgQzT2DHO9yhRoJBBVKp +SVGCya6os66gsZCWRe31QQeeg4Eg63vv63645xcVP6iqWfM/njEG19tvdfOH +5g/NH3uefbf5Q/OH5o/OW/zReYs/Om/xR+ct/ui8xR+aPzpv8UfnLf7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmj70f/rT5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH9En9o/uj+iT80f2j+ +0Pyh+UPzR/db/KH5o+d9/KH5o/sx/tD80f0Zf2j+6H6NPzR/aP7Q/KH5Q/NH +93f8oflD84fmD80fnZf4o/dP/NF5+fvHa/7Q/NH7Kf7Q/NH7Kv7Q/NF5iT80 +f3Re4g/NH52X+KP3f/yh+UPzh+aPzkv80fsw/tjz+5o/9jy/5g/NH5o/9ry/ +5g/NH52X+EPzR+cl/tj74Zo/9r645o/tx+N/zD3KH5o/NH9o/tD8sZ//ct8v +/tivf23zh+YPzR+aPzR/7Ou9tfljX//9Xj/+0Pyh+UPzh+YPzR99HvHH/vwf +bf7Y93PNH5o/NH9o/tD8se//R5s/9nlc80fXO/7Q/KH5Q/OH5g/NH/s8f7b5 +Y5/vZ5s/NH9o/tD8oflj1+NXmz92fa75Q/OH5g/NH5o/dj2v+WPX96vNH7ve +1/yh+UPzh+YPzR87H9f8sfNyzR87P7/b/LHzdM0fO1/X/KH5Q/PH7t9r/tD8 +oflD84fmD80fmj/2PPtu84fmD80fnbf4o/MWf3Te4o/OW/zReYs/NH903uKP +zlv8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/xp84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+yX+0PzR +/RN/aP7Q/KH5Q/OH5o/ut/hD80fP+/hD80f3Y/yh+aP7M/7Q/NH9Gn9o/tD8 +oflD84fmj+7v+EPzh+YPzR+aPzov8Ufvn/ij8xJ/9D6KPzR/9H6KPzR/9L6K +PzR/dF7iD80fnZf4Q/NH5yX+6P0ff2j+0Pyh+aPzEn/0Pow/9vy+5o89z6/5 +Q/OH5o8976/5Q/NH5yX+0PzReYk/9n645o+9L675Y/vx+D9zb/KH5g/NH5o/ +NH/s57/c94s/9utf2/yh+UPzh+YPzR/7em9t/tjXf7/Xjz80f2j+0Pyh+UPz +R59H/LE//0ebP/b9XPOH5g/NH5o/NH/s+//R5o99Htf80fWOPzR/aP7Q/KH5 +Q/PHPs+fbf7Y5/vZ5g/NH5o/NH9o/tj1+NXmj12fa/7Q/KH5Q/OH5o9dz2v+ +2PX9avPHrvc1f2j+0Pyh+UPzx87HNX/svFzzx87P7zZ/7Dxd88fO1zV/aP7Q +/LH795o/NH9o/tD8oflD84fmjz3Pvtv8oflD80fnLf7ovMUfnbf4o/MWf3Te +4g/NH523+KPzFn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzx94Pf9r8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/uh+iT80f3T/xB+aPzR/aP7Q/KH5o/st/tD80fM+/tD80f0Yf2j+6P6MPzR/ +dL/GH5o/NH9o/tD8ofmj+zv+0Pyh+UPzh+aPzkv80fsn/ui8xB+9j+IPzR+9 +n+IPzR+9r/785Q/NH52X+EPzR+cl/tD80XmJP3r/xx+aPzR/aP7ovMQfvQ/j +jz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJPzR/dF7+9cN/c80fmj/2vrjmj+3H +wz3JH5o/NH9o/tD8sZ//ct8v/tivf23zh+YPzR+aPzR/7Ou9tfljX//9Xj/+ +0Pyx/47x/9HmD80fmj/6POKP/fk/2vyx7+eaPzR/aP7Q/KH5Y9//jzZ/7PO4 +5o+ud/yh+UPzh+YPzR+aP/Z5/mzzxz7fzzZ/aP7Q/KH5Q/PHrsevNn/s+lzz +h+YPzR+aPzR/7Hpe88eu71ebP3a9r/lD84fmD80fmj92Pq75Y+flmj92fn63 ++WPn6Zo/dr6u+UPzh+aP3b/X/KH5Q/OH5g/NH/r5I3/sefbd5g/NH5o/Om/x +R+ct/ui8xR+dt/ij8xZ/aP7ovMUfnbf4Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj/2fvjT5g/NH5o/NH9o/tD80X+HMv7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0PzRfRJ/aP7o/ok/NH9o/tD8oflD80f3W/yh+aPn +ffyh+aP7Mf7Q/NH9GX9o/uh+jT80f2j+0Pyh+UPzR/d3/KH5Q/OH5g/NH52X ++KP3T/zReYk/eh/FH5o/ej/FH5o/el/FH5o/Oi/xh+aPzkv8ofmj8xJ/aP7Q +/KH5Q/NH5yX+6H0Yf+z5fc0fe55f84fmD80fe95f84fmj85L/KH5o/MSf+z9 +cM0fe19c88f24/Ff5V7kD80fmj80f2j+2M9/ue8Xf+zXv7b5Q/OH5g/NH5o/ +9vXe2vyxr/9+rx9/aP7Q/KH5Q/OH5o8+j/hjf/6PNn/s+7nmD80fmj80f2j+ +2Pf/o80f+zyu+aPrHX9o/tD8oflD84fmj32eP9v8sc/3s80fmj80f2j+0Pyx +6/GrzR+7Ptf8oflD84fmD80fu57X/LHr+9Xmj13va/7Q/KH5Q/OH5o+dj2v+ +2Hm55o+dn99t/th5uuaPna9r/tD8oflj9+81f2j+0Pyh+UPzh3bOav7Y8+y7 +zR+aPzR/dN7ij85b/NF5iz86b/FH5y3+0PzReYs/Om/xh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH/s/fCnzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80fmj+6 +3+IPzR897+MPzR/dj/GH5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/NH5o/ +NH9o/ui8xB+9f+KPzkv80fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7iD80f +nZf4o/d//KH5Q/OH5o/OS/zR+zD+2PP7mj/2PL/mD80fmj/2vL/mD80fnZf4 +Q/NH5yX+2Pvhmj/2vrjmj+3H43/OPcgfmj80f2j+0Pyxn/9y3y/+2K9/bfOH +5g/NH5o/NH/s6721+WNf//1eP/7Q/KH5Q/OH5g/NH30e8cf+/B9t/tj3c80f +mj80f2j+0Pyx7/9Hmz/2eVzzR9c7/tD8oflD84fmD80f+zx/tvljn+9nmz80 +f2j+0Pyh+WPX41ebP3Z9rvlD84fmD80fmj92Pa/5Y9f3q80fu97X/KH5Q/OH +5g/NHzsf1/yx83LNHzs/v9v8sfN0zR87X9f8oflD88fu32v+0Pyh+UPzh+YP +3f8um+aPPc++2/yh+UPzR+ct/ui8xR+dt/ij8xZ/dN7iD80fnbf4o/MWf2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/PH3g9/2vyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+6H6JPzR/dP/EH5o/ +NH9o/tD8ofmj+y3+0PzR8z7+0PzR/Rh/aP7o/ow/NH90v8Yfmj80f2j+0Pyh ++aP7O/7Q/KH5Q/OH5o/OS/zR+yf+6LzEH72P4g/NH72f4g/NH72v4g/NH52X ++EPzR+cl/tD80XmJP3r/xx+aPzR/aP7ovMQfvQ/jjz2/r/ljz/Nr/tD8oflj +z/tr/tD80XmJPzR/dF7ij70frvlj74tr/th+PP7v3Hv8oflD84fmD80f+/kv +9/3ij/361zZ/aP7Q/KH5Q/PHvt5bmz/29d/v9eMPzR+aPzR/aP7Q/NHnEX/s +z//R5o99P9f8oflD84fmD80f+/5/tPljn8c1f3S94w/NH5o/NH9o/tD8sc/z +Z5s/9vl+tvlD84fmD80fmj92PX61+WPX55o/NH9o/tD8oflj1/OaP3Z9v9r8 +set9zR+aPzR/aP7Q/LHzcc0fOy/X/LHz87vNHztP1/yx83XNH5o/NH/s/r3m +D80fmj80f2j+0Pvn+OePPc++2/yh+UPzR+ct/ui8xR+dt/ij8xZ/dN7iD80f +nbf4o/MWf2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/PH3g9/2vyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+6H6J +PzR/dP/EH5o/NH9o/tD8ofmj+y3+0PzR8z7+0PzR/Rh/aP7o/ow/NH90v8Yf +mj80f2j+0Pyh+aP7O/7Q/KH5Q/OH5o/OS/zR++ff/uWPzkv80fso/tD80fsp +/tD80fsq/tD80XmJPzR/dF7iD80fnZf4o/f/3z9e84fmD80fnZf4o/dh/LHn +9zV/7Hl+zR+aPzR/7Hl/zR+aPzov8Yfmj85L/LH3wzV/7H1xzR/bj8d/lHuO +PzR/aP7Q/KH/bfyxn/9y3y/+2K9/bfOH5g/NH5o/NH/s6721+WNf//1eP/7Q +/KH5Q/OH5g/NH30e8cf+/B9t/tj3c80fmj80f2j+0Pyx7/9Hmz/2eVzzR9c7 +/tD8oflD84fmD80f+zx/tvljn+9nmz80f2j+0Pyh+WPX41ebP3Z9rvlD84fm +D80fmj92Pa/5Y9f3q80fu97X/KH5Q/OH5g/NHzsf1/yx83LNHzs/v9v8sfN0 +zR87X9f8oflD88fu32v+0Pyh+UPzh+YPvf+f8Pljz7Pv9j/PvXb+0PzReYs/ +Om/xR+ct/ui8xR+dt/hD80fnLf7ovMUfmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/LH3w582f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj+6X+IPzR/dP/GH5g/NH5o/NH9o/uh+iz80f/S8jz80 +f3Q/xh+aP7o/4w/NH92v8YfmD80fmj80f2j+6P6OPzR/aP7Q/KH5o/MSf/T+ +iT86L/FH76P4Q/NH76f4Q/NH76v4Q/NH5yX+0PzReYk/NH90XuKP3v/xh+YP +/dfHa/7ovMQfvQ/jjz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJPzR/dF7ij70f +rvlj74tr/th+PP7b3Gv8oflD84fmD80f+/kv9/3ij/361zZ/aP7Q/KH5Q/PH +vt5bmz/29d/v9eMPzR+aPzR/aP7Q/NHnEX/sz//R5o99P9f8oflD84fmD80f ++/5/tPljn8c1f3S94w/NH5o/NH9o/tD8sc/zZ5s/9vl+tvlD84fmD80fmj92 +PX61+WPX55o/NH9o/tD8oflj1/OaP3Z9v9r8set9zR+aPzR/aP7Q/LHzcc0f +Oy/X/LHz87vNHztP1/yx83XNH5o/NH/s/r3mD80fmj80f2j+0Pt7iP+h/tjz +7Lv9z/Pfzf5D/aH5o/MWf3Te4o/OW/zReYs/Om/xh+aPzlv80XmLPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflj74c/bf7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/dL/EH5o/un/+i7/8oflD +84fmD80fmj+63+IPzR897+MPzR/dj/GH5o/uz/hD80f3a/yh+UPzh+YPzR+a +P7q/4w/NH5o/NH9o/ui8xB+9f+KPzkv80fso/tD80fsp/tD80fsq/tD80XmJ +PzR/dF7iD80fnZf4o/d//KH5Q/OH5o/Oy98/XvPHnt/X/LHn+TV/aP7Q/LHn +/TV/aP7ovMQfmj86L/HH3g/X/LH3xTV/bD8e7jH+0Pyh+UPzh+aP/fyX+37x +x379a5s/NH9o/tD80Xs4/tjXe2vzx77++71+/KH5Q/OH5g/NH5o/+jzij/35 +P+7/o4g/9v1c84fmD80fmj80f+z7/9Hmj30e1/zR9Y4/NH9o/tD8oflD88c+ +z59t/tjn+9nmD80fmj80f2j+2PX4df+dOf7Y9bnmD80fmj80f2j+2PW85o9d +3682f+x6X/OH5g/NH5o/NH/sfFzzx87LNX/s/Pxu88fO0zV/7Hxd84fmD80f +u3+v+UPzh+YPzR+aP57/XirNH3ue3Z9j/PP8ufz5Q//T3Fv/+JE/Om/xR+ct +/ui8xR+aPzpv8UfnLf7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj70f/rT5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/NH9En9o/uj+iT80f2j+0Pyh+UPzR/db/KH5o+d9/KH5o/sw/tD80f0Z +f2j+6H6NPzR/aP7Q/KH5Q/NH93f8oflD84fmD80fnZf4o/dP/NF5iT96H8Uf +mj96P8Ufmj96X8Ufmj86L/GH5o/OS/yh+aPzEn9o/tD8oflD80fnJf7ofRh/ +7Ll9zR97nl/zh+YPzR973l/zh+aPzkv8ofmj8xJ/7P1wzR97X1zzx/bj8bfc +W/yh+UPzh+YPzR/7+S/3/eKP/frXNn9o/tD8oflD88e+3lubP/b13+/14w/N +H5o/NH9o/tD80efx9+f+759+/o82f+z7ueYPzR+aPzR/aP7Y9/+jzR/7PK75 +o+sdf2j+0Pyh+UPzh+aPfZ4/2/yxz/ezzR+aPzR/aP7Q/LHr8avNH7s+1/yh ++UPzh+YPzR+7ntf8sev71eaPXe9r/tD8oflD84fmj52Pa/7Yebnmj52f323+ +2Hm65o+dr2v+0Pyh+WP37zV/aP7Q/KH5Q/OH5g/NH3uefbf5Q/OH5o/OW87x +zls+dt7ij85b/NF5iz80f3Te4o/OW/yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH3s//Gnzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+aP7Jf7Q/NH9E39o/tD8oflD84fmj+63+EPzR8/7+EPz +R/dj/KH5o/sz/tD80f0af2j+0Pyh+UPzh+aP7u//9C9/aP7Q/KH5Q/NH5yX+ +6P0Tf3Re4o/eR/GH5o/eT/GH5o/eV/GH5o/OS/yh+aPzEn9o/ui8xB+9/+MP +zR+aPzR/dF7ij96H8cee39f8sef5NX9o/tD8sef9NX9o/ui8xB+aPzov8cfe +D9f8sffFNX9sPx7/We4p/tD8oflD84fmj/38l/t+8cd+/WubPzR/aP7Q/KH5 +Y1/vrc0f+/rv9/rxh+YPzR+aPzR/aP7o84g/9uf/aPPHvp9r/tD8oflD84fm +j33/P9r8sc/jmj+63vGH5g/NH5o/NH9o/tjn+bPNH/t8P9v8oflD84fmD80f +ux6/2vyx63PNH5o/NH9o/tD8set5zR+7vl9t/tj1vuYPzR+aPzR/aP7Y+bjm +j52Xa/7Y+fnd5o+dp2v+2Pm65g/NH5o/dv9e84fmD80fmj80f+j9e9r/pf7Y +8+y7zR+aP/Q/zZ+7/8vTfwf7l/qj85aPnbf4o/MWf2j+6LzFH523+EPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/9n740+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/dL/KH5o/sn/tD8oflD +84fmD80f3W/xh+aPnvfxh+aP7sf4Q/NH92f8ofmj+zX+0Pyh+UPzh+YPzR/d +3/GH5g/NH5o/NH90XuKP3j/xR+cl/uh9FH9o/uj9FH9o/uh9FX9o/ui8xB+a +Pzov8Yfmj85L/NH7P/7Q/KH5Q/NH5yX+6H0Yf+z5fc0fe55f84f+6+M1f+x5 +f80fmj86L/GH5o/OS/yx98M1f+x9cc0f24/Hf597iT80f2j+0Pyh+WM//+W+ +X/yxX//a5g/NH5o/NH9o/tjXe2vzx77++71+/KH5Q/OH5g/NH5o/+jzij/35 +P9r8se/nmj80f2j+0Pyh+WPf/482f+zzuOaPrnf8oflD84fmD80fmj/2ef5s +88c+3882f2j+0Pyh+UPzx67HrzZ/7Ppc84fmD80fmj80f+x6XvPHru9Xmz92 +va/5Q/OH5g/NH5o/dj6u+WPn5Zo/dn5+t/lj5+maP3a+rvlD84fmj92/1/yh ++UPzh+YPzR+aPzR/7Hn23eYPzR+aPzpv8UfnLf7ovOWc77w5N8xb/KH5o/MW +f3Te4g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+2PvhT5s/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH90v8Yfm +j+6f+EPzh+YPzR+aPzR/dL/FH5o/et7HH5o/uh/jD80f3Z/xh+aP7tf4Q/OH +5g/NH5o/NH90f8cfmj80f2j+0PzReYk/ev/EH52X+KP3Ufyh+aP3U/yh+aP3 +Vfyh+aPzEn9o/ui8xB+aPzov8Ufv//hD84fmD80fnZf4o/dh/LHn9zV/7Hl+ +zR+aPzR/7Hl/zR+aPzov8Yfmj85L/LH3wzV/7H1xzR/bj8dr7iH+0Pyh+UPz +h+aP/fyX+37xx379a5s/NH9o/tD8ofljX++tzR/7+u/3+n9/LP97mz80f2j+ +0Pyh+aPPI/7Yn/+jzR/7fq75Q/OH5g/NH5o/9v3/aPPHPo9r/uh6xx+aPzR/ +aP7Q/KH5Y5/nzzZ/7PP9bPOH5g/NH5o/NH/sevxq88euzzV/aP7Q/KH5Q/PH +ruc1f+z6frX5Y9f7mj80f2j+0Pyh+WPn45o/dl6u+WPn53ebP3aervlj5+ua +PzR/aP7Y/XvNH5o/NH9o/tD8offfmT1/7Hn23eYPzR/6n+b3Bv/l6f/jPX90 +3ua/c50/Om/5qPmj8xZ/dN7iD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Y +++FPmz80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80f3S/xh+aP7p/4Q/OH5g/NH5o/NH90v8Ufmj963scfmj+6H+MP +zR/dn/GH5o/u1/hD84fmD80fmj80f3R/xx+aPzR/aP7Q/NF5iT96/8QfnZf4 +o/dR/KH5o/dT/KH5o/dV/KH5o/MSf2j+6LzEH5o/Oi/xR+//+EPzh+YPzR+d +l/ij92H8sef3NX/seX7NH5o/NH/seX/NH/qvj9f8ofmj8xJ/7P1wzR97X1zz +x/bj8f/k3uEPzR+aPzR/aP7Yz3+57xd/7Ne/tvlD84fmD80fmj/29d7a/LGv +/36vH39o/tD8oflD84fmjz6P+GN//o82f+z7ueYPzR+aPzR/aP7Y9/+jzR/7 +PK75o+sdf2j+0Pyh+UPzh+aPfZ4/2/yxz/ezzR+aPzR/aP7Q/LHr8avNH7s+ +1/yh+UPzh+YPzR+7ntf8sev71eaPXe9r/tD8oflD84fmj52Pa/7Yebnmj52f +323+2Hm65o+dr2v+0Pyh+WP37zV/aP7Q/KH5Q/OH5g/NH3uefbf5Q/OH/qf5 +e0n+9vR7gn+rPzpv8+fof6s/Om9zz/yt/ui8xR+dt/hD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aP/Z++NPmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f3S/yh+aP7J/7Q/KH5Q/OH5g/NH91v +8Yfmj5738Yfmj+7H+EPzR/dn/KH5o/v13//lD80fmj80f2j+0PzR/R1/aP7Q +/KH5Q/NH5+Xv5+M1f3Re4o/eR/GH5o/eT/GH5o/eV/GH5o/OS/yh+aPzEn9o +/ui8xB+9/+MPzR+aPzR/dF7ij96H8cee39f8sef5NX9o/tD8sef9NX9o/ui8 +xB/6r4/X/LH3wzV/7H1xzR/bj8d/nnuGPzR/aP7Q/KH5Yz//5b5f/LFf/9rm +D80fmj80f2j+2Nd7a/PHvv77vX78oflD84fmD80fmj/6POKP/fk/2vyx7+ea +PzR/aP7Q/KH5Y9//jzZ/7PO45o+ud/yh+UPzh+YPzR+aP/Z5/mzzxz7fzzZ/ +aP7Q/KH5Q/PHrsevNn/s+lzzh+YPzR+aPzR/7Hpe88eu71ebP3a9r/lD84fm +D80fmj92Pq75Y+flmj92fn63+WPn6Zo/dr6u+UPzh+aP3b/X/KH5Q/OH5g/N +H5o/NH/sefbd5g/NH5o/Om/xR+ct/ui8xR+dt/ij8xZ/aP7ovOVj5y3+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o+9H/60+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/RJ/aP7o/ok/NH9o +/tD8oflD80f3W/yh+aPnffyh+aP7Mf7Q/NH9GX9o/uh+jT80f2j+0Pyh+UPz +R/d3/KH5Q/OH5g/NH52X+KP3T/zReYk/eh/FH5o/ej/FH5o/el/FH5o/Oi/x +h+aPzkv8ofmj8xJ/9P7/+3l8zR+aPzR/dF7ij96H8cee39f8sef5NX9o/tD8 +sef9NX9o/ui8xB+aPzov8cfeD9f8sffFNX9sPx7/Q+4V/tD8oflD84fmj/38 +l/t+8cd+/WubPzR/aP7Q/KH5Y1/vrc0f+/rv9/rxh+YPzR+aPzR/aP7o84g/ +9uf/aPPHvp9r/tD8oflD84fmj33/P9r8sc/jmj+63vGH5g/NH5o/NH9o/tjn ++bPNH/t8P9v8oflD84fmD80fux6/2vyx63PNH5o/NH9o/tD8set5zR+7vl9t +/tj1vuYPzR+aPzR/aP7Y+bjmj52Xa/7Y+fnd5o+dp2v+2Pm65g/NH5o/dv9e +84fmD80fmj80f2j+0Pyx59l3mz80f2j+6LzFH523+KPzFn903uKPzlv8ofmj +85Z7ovOWj5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyx98OfNn9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ul/i +D80f3T/xh+YPzR+aPzR/aP7ofos/NH/0vI8/NH90P8Yfmj+6P+MPzR/dr/GH +5g/NH5o/NH9o/uj+jj80f2j+0Pyh+aPzEn/0/ok/Oi/xR++j+EPzR++n+EPz +R++r+EPzR+cl/tD80XmJPzR/dF7ij97/8YfmD80fmj86L/FH78P4Y8/va/7Y +8/yaPzR/aP7Y8/6aPzR/dF7iD80fnZf4Y++Ha/7Y++KaP7Yfj/8r9wh/aP7Q +/KH5Q/PHfv7Lfb/4Y7/+tc0fmj80f2j+0Pyxr/fW5o99/fd7/fhD84fmD80f +mj80f/R5xB/783+0+WPfzzV/aP7Q/KH5Q/PHvv8fbf7Y53HNH13v+EPzh+YP +zR+aPzR/7PP82eaPfb6fbf7Q/KH5Q/OH5o9dj19t/tj1ueYPzR+aPzR/aP7Y +9bzmj13frzZ/7Hpf84fmD80fmj80f+x8XPPHzss1f+z8/G7zx87TNX/sfF3z +h+YPzR+7f6/5Q/OH5g/NH5o/NH9o/tjz7LvNH5o/NH903uKPzlv80XmLPzpv +8UfnLf7Q/NF5iz86b7k3NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+WPvhz9t +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH90v8Qfmj+6f+IPzR+aPzR/aP7Q/NH9Fn9o/uh5H39o/uh+jD80f3R/ +xh+aP7pf4w/NH5o/NH9o/tD80f0df2j+0Pyh+UPzR+cl/uj9E390XuKP3kfx +h+aP3k/xh+aP3lfxh+aPzkv8ofmj8xJ/aP7ovMQfvf/jD80fmj80f3Re4o/e +h/HHnt/X/LHn+TV/aP7Q/LHn/TV/aP7ovMQfmj86L/HH3g/X/LH3xTV/bD8e +/yb3Bn9o/tD8oflD88d+/st9v/hjv/61zR+aPzR/aP7Q/LGv99bmj33993v9 ++EPzh+YPzR+aPzR/9HnEH/vzf7T5Y9/PNX9o/tD8oflD88e+/x9t/tjncc0f +Xe/4Q/OH5g/NH5o/NH/s8/zZ5o99vp9t/tD8oflD84fmj12PX23+2PW55g/N +H5o/NH9o/tj1vOaPXd+vNn/sel/zh+YPzR+aPzR/7Hxc88fOyzV/7Pz8bvPH +ztM1f+x8XfOH5g/NH7t/r/lD84fmD80fmj80f2j+2PPsu80fmj80f3Te4o/O +W/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/7P3wp80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmj+6X+EPzR/dP/KH5Q/OH5g/NH5o/ut/iD80fPe/jD80f +3Y/xh+aP7s/4Q/NH92v8oflD84fmD80fmj+6v+MPzR+aPzR/aP7ovMQfvX/i +j85L/NH7KP7Q/NH7Kf7Q/NH7Kv7Q/NF5+d/+8ofmj85L/KH5o/MSf/T+jz80 +f2j+0PzReYk/eh/GH3t+X/PHnufX/KH5Q/PHnvfX/KH5o/MSf2j+6LzEH3s/ +XPPH3hfX/LH9eLgn+EPzh+YPzR+aP/bzX+77xR/79a9t/tD8oflD84fmj329 +tzZ/7Ou/3+vHH5o/NH9o/tD8ofmjzyP+2J//o80f+36u+aN/r2X80d/zjD80 +f2j+2Pf/o80f+zyu+aPrHX9o/tD8oflD84fmj32eP9v8sc/3s80fmj80f2j+ +0Pyx6/GrzR+7Ptf8oflD84fmD80fu57X/LHr+9Xmj13va/7Q/KH5Q/OH5o+d +j2v+2Hm55o+dn99t/th5uuaPna9r/tD8oflj9+81f2j+0Pyh+UPzh+YPzR97 +nn23+UPzh+aP539XvPMWf3Te4o/O29Pfi8sfmj86b0+/x8Efmj80f+jnj/yh ++UPzh+YPzR+aPzR/aP7Q/LH3w582f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj+6X+IPzR/dP/GH5g/NH5o/NH9o +/uh+iz80f/S8jz80f3Q/xh+aP7o/4w/NH92v8YfmD80fmj80f2j+6P6OPzR/ +aP7Q/KH5o/MSf/T+iT86L/FH76P4Q/NH76f4Q/NH76v4Q/NH5yX+0PzReYk/ +NH90XuIPzR+aPzR/aP7ovMQfvQ/jjz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJ +PzR/dF7ij70frvlj74tr/th+PNwL/KH5Q/OH5g/NH/v5L/f94o/9+tc2f2j+ +0Pyh+UPzx77eW5s/9vXf7/XjD80fmj80f2j+0PzR5xF/7M//0eaPfT/X/NG/ +Rz/+6N8rE39o/tD8se//R5s/9nlc80fXO/7Q/KH5Q/OH5g/NH/s8f7b5Y5/v +Z5s/NH9o/tD8oflj1+NXmz92fa75Q/OH5g/NH5o/dj2v+WPX96vNH7ve1/yh ++UPzh+YPzR87H9f8sfNyzR87P7/b/LHzdM0fO1/X/KH5Q/PH7t9r/tD8oflD +84fmD80fmj/2PPtu84fmD80fnbf4o/MWf3Te4o/O29O/w8Efmj86b0+/N84f +mj80fzw7Qz9/5A/NH5o/NH9o/tD8oflD88feD3/a/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7ofok/NH90/8Qf +mj80f2j+0Pyh+aP7Lf7Q/NHzPv7Q/NH9GH9o/uj+jD80f3S/xh+aPzR/aP7Q +/KH5o/s7/tD8oflD84fmj85L/NH7J/7ovMQfvY/iD80fvZ/iD80fva/iD80f +nZf4Q/NH5yX+0PzReYk/NH9o/tD8ofmj8xJ/9D6MP/b8vuaPPc+v+UPzh+aP +Pe+v+UPzR+cl/tD80XmJP/Z+uOaPvS+u+WP78fivcw/wh+YPzR+aPzR/7Oe/ +3PeLP/brX9v8oflD84fmD80f+3pvbf7Y13+/148/NH9o/tD8oflD80efR/yx +P/9Hmz/2/Vzzh+YPzR+aPzR/7Pv/0eaPfR7X/NH1jj80f2j+0Pyh+UPzxz7P +n23+2Of72eYPzR+aPzR/aP7Y9fjV5o9dn2v+0Pyh+UPzh+aPXc9r/tj1/Wrz +x673NX9o/tD8oflD88fOxzV/7Lxc88fOz+82f+w8XfPHztc1f2j+0Pyx+/ea +PzR/aP7Q/KH5Q/OH5o89z77b/KH5Q/NH5y3+6LzFH523+KPzFn903uIPzR+d +t/ij8xZ/aP7Q/KH5Q7t3NH9o/tD8oflD84fmD80fmj/2fvjT5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH90v8 +ofmj+yf+0Pyh+UPzh+YPzR/db/GH5o+e9/GH5o/ux/hD80f3Z/yh+aP7Nf7Q +/KH5Q/OH5g/NH93f8YfmD80fmj80f3Re4o/eP/FH5yX+6H0Uf2j+6P0Uf2j+ +6H0Vf2j+6LzEH5o/Oi/xh+aPzkv8oflD84fmD80fnZf4o/dh/LHn9zV/7Hl+ +zR+aPzR/7Hl/zR+aPzov8Yfmj85L/LH3wzV/7H1xzR/bj8f/m3OfPzR/aP7Q +/KH5Yz//5b5f/LFf/9rmD80fmj80f2j+2Nd7a/PHvv77vf7/95c/NH9o/tD8 +oflD80efR/yxP/9Hmz/2/Vzzh+YPzR+aPzR/7Pv/0eaPfR7X/NH1jj80f2j+ +0Pyh+UPzxz7Pn23+2Of72eYPzR+aPzR/aP7Y9fjV5o9dn2v+0Pyh+UPzh+aP +Xc9r/tj1/Wrzx673NX9o/tD8oflD88fOxzV/7Lxc88fOz+82f+w8XfPHztc1 +f2j+0Pyx+/eaPzR/aP7Q/KH5Q/OH5o89z77b/KH5Q/NH5y3+6LzFH523+KPz +Fn903uIPzR+dt/ij8xZ/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD88feD3/a +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7ofok/NH90/8Qfmj80f2j+0Pyh+aP7Lf7Q/NHzPv7Q/NH9GH9o/uj+ +jD80f3S/xh+aPzR/aP7Q/KH5o/s7/tD8oflD84fmj85L/NH7J/7ovMQfvY/i +D80fvZ/iD80fva/iD80fnZf4Q/NH5yX+0PzReYk/ev/HH5o/NH9o/ui8xB+9 +D+OPPb+v+WPP82v+0Pyh+WPP+2v+0PzReYk/NH90XuKPvR+u+WPvi2v+2H48 +/pec8/yh+UPzh+YPzR/7+S/3/eKP/frXNn9o/tD8oflD88e+3lubP/b13+/1 +4w/NH5o/NH9o/tD80ecRf+zP/9Hmj30/1/yh+UPzh+YPzR/7/n+0+WOfxzV/ +dL3jD80fmj80f2j+0Pyxz/Nnmz/2+X62+UPzh+YPzR+aP3Y9frX5Y9fnmj80 +f2j+0Pyh+WPX85o/dn2/2vyx633NH5o/NH9o/tD8sfNxzR87L9f8sfPzu80f +O0/X/LHzdc0fmj80f+z+veYPzR+aPzR/aP7Q/KH5Y8+z7zZ/aP7Q/NF5iz86 +b/FH5y3+6LzFH523+EPzR+ct/ui8xR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8sffDnzZ/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aP7pf4g/NH90/8YfmD80fmj80f2j+6H771w//5TV/9LyP +PzR/dD/GH5o/uj/jD80f3a/xh+YPzR+aPzR/aP7o/o4/9L+LPzR/aP7Q/NF5 +iT96/8QfnZf4o/dR/KH5o/dT/KH5o/dV/KH5o/MSf2j+6LzEH5o/Oi/xR+// ++EPzh+YPzR+dl/ij92H8sef3NX/seX7NH5o/NH/seX/NH5o/Oi/xh+aPzkv8 +sffDNX/sfXHNH9uPh3OdPzR/aP7Q/KH5Yz//5b5f/LFf/9rmD/3v4g/NH5o/ +NH/s6721+WNf//1eP/7Q/KH5Q/OH5g/NH30e8cf+/B9t/tj3c80fmj/67wLH +H5o/NH/s+//R5o99Htf80fWOPzR/aP7Q/KH5Q/PHPs+fbf7Y5/vZ5g/NH5o/ +NH9o/tj1+NXmj12fa/7Q/KH5Q/OH5o9dz2v+2PX9avPHrvc1f2j+0Pyh+UPz +x87HNX/svFzzx87P7zZ/7Dxd88fO1zV/aP7Q/LH795o/NH9o/tD8oflD84fm +jz3Pvtv8oflD80fnLf7ovMUfnbf4o/MWf3Te4g/NH523p3/3jz80f2j+eP49 +8eff29D8oflD84d+/sgfmj80f2j+2PvhT5s/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH90v8Yfmj+6f+EPzh+YP +zR+aPzR/dL/FH5o/et7HH5o/uh/jD80f3Z/xh+aP7tf4Q/OH5g/NH5o/NH90 +f8cfmj80f2j+0PzReYk/ev/EH52X+KP3Ufyh+aP3U/yh+aP3Vfyh+aPzEn9o +/ui8xB+aPzov8YfmD80fmj80f3Re4o/eh/HHnt/X/LHn+TV/aP7Q/LHn/TV/ +aP7ovMQfmj86L/HH3g/X/LH3xTV/bD8eznH+0Pyh+UPzh+aP/fyX+37xx379 +a5s/NH9o/tD8ofljX++tzR/7+u/3+vGH5g/NH5o/NH9o/ujziD/25/9o88e+ +n2v+0Pyh+UPzh+aPff8/2vyxz+OaP7re8YfmD80fmj80f2j+2Of5s80f+3w/ +2/yh+UPzh+YPzR+7Hr/a/LHrc80fmj80f2j+0Pyx63nNH7u+X23+2PW+5g/N +H5o/NH9o/tj5uOaPnZdr/tj5+d3mj52na/7Y+brmD80fmj92/17zh+YPzR+a +PzR/aP7Q/LHn2XebPzR/aP7ovMUfnbf4o/MWf3Te4o/OW/yh+aPz9vTvjPOH +5g/NH89/L9Xz74lr/tD8ofnj2Rn6+SN/aP7Q/LH3w582f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj+6X+IPzR/d +P/GH5g/NH5o/NH9o/uh+iz80f/S8jz80f3Q/xh+aP7o/4w/NH92v8YfmD80f +mj80f2j+6P7+1w//0zV/aP7Q/KH5o/MSf/T+iT86L/FH76P4Q/NH76f4Q/NH +76v4Q/NH5yX+0PzReYk/NH90XuIPzR+aPzR/aP7ovMQfvQ/jjz2/r/ljz/Nr +/tD8ofljz/tr/tD80XmJPzR/dF7ij70frvlj74tr/th+PJzb/KH5Q/OH5g/N +H/v5L/f94o/9+tc2f2j+0Pyh+UPzx77eW5s/9vXf7/XjD80fmj80f2j+0PzR +5xF/7M//0eaPfT/X/KH5Q/OH5g/NH/v+f7T5Y5/HNX90veMPzR+aPzR/aP7Q +/LHP82ebP/b5frb5Q/OH5g/NH5o/dj1+tflj1+eaPzR/aP7Q/KH5Y9fzmj92 +fb/a/LHrfc0fmj80f2j+0Pyx83HNHzsv1/yx8/O7zR87T9f8sfN1zR+aPzR/ +7P695g/NH5o/NH9o/tD8ofljz7PvNn9o/tD80XmLPzpv8UfnLf7ovMUfnbf4 +Q/NH5y3+6LzFH5o/NH88/z24z38vleYPzR+aP57/XOPZGfr5I39o/tj74U+b +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR/dL/GH5o/un/hD84fmD80fmj80f3S/xR+aP3rexx+aP7of4w/NH92f +8Yfmj+7X+EPzh+YPzR+aPzR/dH/HH5o/NH9o/tD80XmJP3r/xB+dl7+fl9f8 +ofmj91P8ofmj91X8ofmj8xJ/aP7ovMQfmj86L/GH5g/NH5o/NH90XuKP3ofx +x57f1/yx5/k1f2j+0Pyx5/01f2j+6LzEH5o/Oi/xx94P1/yx98U1f2w/Hv9J +zmn+0Pyh+UPzh+aP/fyX+37xx379a5s/NH9o/tD8ofljX++tzR/7+u/3+vGH +5g/NH5o/NH9o/ujziD/25/9o88e+n2v+0Pyh+UPzh+aPff8/2vyxz+OaP7re +8YfmD80fmj80f2j+2Of5s80f+3w/2/yh+UPzh+YPzR+7Hr/a/LHrc80fmj80 +f2j+0Pyx63nNH7u+X23+2PW+5g/NH5o/NH9o/tj5uOaPnZdr/tj5+d3mj52n +a/7Y+brmD80fmj92/17zh+YPzR+aPzR/aP7Q/LHn2XebPzR/aP7ovMUfnbf4 +o/MWf3Te4o/OW/yh+aPzFn903uIPzR+aPzR/aP7Q/KH5Q/OH5g/NH9q9pvlD +88feD3/a/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7ofok/NH90/8Qfmj80f2j+0Pyh+aP7Lf7Q/NHzPv7Q/NH9 +GH9o/uj+jD80f3S/xh+aPzR/aP7Q/KH5o/s7/tD8oflD84fmj85L/NH7J/7o +vMQfvY/iD80fvZ/iD80fva/iD80fnZe/X6/X/NF5iT80f3Re4o/e//GH5g/N +H5o/Oi/xR+/D+GPP72v+2PP8mj80f2j+2PP+mj80f3Re4g/NH52X+GPvh2v+ +2Pvimj+2H4+PnMv8oflD84fmD80f+/kv9/3ij/361zZ/aP7Q/KH5Q/PHvt5b +mz/29d/v9eMPzR+aPzR/aP7Q/NHnEX/sz//R5o99P9f8oflD84fmD80f+/5/ +tPljn8c1f3S94w/NH5o/NH9o/tD8sc/zZ5s/9vl+tvlD84fmD80fmj92PX61 ++WPX55o/NH9o/tD8oflj1/OaP3Z9v9r8set9zR+aPzR/aP7Q/LHzcc0fOy/X +/LHz87vNHztP1/yx83XNH5o/NH/s/r3mD80fmj80f2j+0Pyh+WPPs+82f2j+ +0PzReYs/Om/xR+ct/ui8xR+dt/hD80fnLf7ovMUfmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/LH3w582f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fmj+6X+IPzR/dP/GH5g/NH5o/NH9o/uh+iz80 +f/S8jz80f3Q/xh+aP7o/4w/NH92v8YfmD80fmj80f2j+6P6OPzR/aP7Q/KH5 +o/MSf/T+iT86L/FH76P4Q/NH76f4Q/NH76v4Q/NH5yX+0PzReYk/NH90XuKP +3v/xh+YPzR+aPzov8Ufvw/hjz+9r/tjz/Jo/NH9o/tjz/po/NH90XuIPzR+d +l/hj74dr/tj74po/th+P/y7nMH9o/tD8oflD88d+/st9v/hjv/61zR+aPzR/ +aP7Q/LGv99bmj33993v9+EPzh+YPzR+aPzR/9HnEH/vzf7T5Y9/PNX9o/tD8 +oflD88e+/x9t/tjncc0fXe/4Q/OH5g/NH5o/NH/s8/zZ5o99vp9t/tD8oflD +84fmj12PX23+2PW55g/NH5o/NH9o/tj1vOaPXd+vNn/sel/zh+YPzR+aPzR/ +7Hxc88fOyzV/7Pz8bvPHztM1f+x8XfOH5g/NH7t/r/lD84fmD80fmj80f2j+ +2PPsu80fmj80f3Te4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/7P3wp80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+6X+EPzR/dP/KH5Q/OH5g/N +H5o/ut/iD80fPe/jD80f3Y/xh+aP7s/4Q/NH92v8oflD84fmD80fmj+6v+MP +zR+aPzR/aP7ovMQfvX/ij85L/NH7KP7Q/NH7Kf7Q/NH7Kv7Q/NF5iT80f3Re +4g/NH52X+KP3f/yh+UPzh+aPzkv80fsw/tjz+5o/9jy/5g/NH5o/9ry/5g/N +H52X+EPzR+cl/tj74Zo/9r645o/tf/0F9L/OXf7Q/KH5Q/OH5o/9/Jf7fn9/ +3f/16etf2/yh+UPzh+YPzR/7em9t/tjXf7/Xjz80f2j+0Pyh+UPzR59H/LE/ +/0ebP/b9XPOH5g/NH5o/NH/s+//R5o99Htf80fWOPzR/aP7Q/KH5Q/PHPs+f +bf7Y5/vZ5g/NH5o/NH9o/tj1+NXmj12fa/7Q/KH5Q/OH5o9dz2v+2PX9avPH +rvc1f2j+0Pyh+UPzx87HNX/svFzzx87P7zZ/7Dxd88fO1zV/aP7Q/LH795o/ +NH9o/tD8oflD84fmjz3Pvtv8oflD80fnLf7ovMUfnbf4o/MWf3Te4g/NH523 ++KPzFn9o/tD8ofnj+d8V1/yh+UPzx/PfS/X8e+LPv7eh+UPzx94P547nj/yh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f3S/xB+aP7p/4g/NH5o/NH9o/tD80f0Wf2j+6Hkff2j+6H6MPzR/dH/GH5o/ +ul/jD80fmj80f2j+0PzR/R1/aP7Q/KH5Q/NH5+U//ssfvX/ij85L/NH7KP7Q +/NH7Kf7Q/NH7Kv7Q/NF5iT80f3Re4g/NH52X+EPzh+YPzR+aPzov8Ufvw/hj +z+9r/tjz/Jo/NH9o/tjz/po/NH90XuIPzR+dl/hj74dr/tj74po/th8P5yx/ +aP7Q/KH5Q/PHfv7Lfb/4Y7/+tc0fmj80f2j+0Pyxr/fW5o99/fd7/fhD84fm +D80fmj80f/R5xB/783+0+WPfzzV/aP7Q/KH5Q/PHvv8fbf7Y53HNH13v+EPz +h+YPzR+aPzR/7PP82eaPfb6fbf7Q/KH5Q/OH5o9dj19t/tj1ueYPzR+aPzR/ +aP7Y9bzmj13frzZ/7Hpf84fmD80fmj80f+x8XPPHzss1f+z8/G7zx87TNX/s +fF3zh+YPzR+7f6/5Q/OH5g/NH5o/NH9o/tjz7LvNH5o/NH903uKPzlv80XmL +Pzpv8UfnLf7Q/NF5iz86b/GH5g/NH5o/NH9o/tD8ofnj+e/Bff57qZ5/T1zz +h+aPvR/+0Rn6+SN/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80fmj+63+IPzR897+MPzR/d +j/GH5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/NH5o/NH9o/ui8xB+9f+KP +zkv80fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7iD80fnZf4Q/OH5g/NH5o/ +Oi/xR+/D+GPP72v+2PP8mj80f2j+2PP+mj80f3Re4g/NH52X+GPvh2v+2Pvi +mj+2Hw/nKn9o/tD8oflD88d+/st9v/hjv/61zR+aPzR/aP7Q/LGv99bmj339 +93v9+EPzh+YPzR+aPzR/9HnEH/vzf7T5Y9/PNX9o/tD8oflD88e+/x9t/tjn +cc0fXe/4Q/OH5g/NH5o/NH/s8/zZ5o99vp9t/tD8oflD84fmj12PX23+2PW5 +5g/NH5o/NH9o/tj1vOaPXd+vNn/sel/zh+YPzR+aPzR/7Hxc88fOyzV/7Pz8 +bvPHztM1f+x8XfOH5g/NH7t/r/lD84fmD80fmj80f2j+2PPsu80fmj80f3Te +4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80fmj80f2j+0Pyh+eP53914 +/ntwn/9eKs0fmj/2fvjHP9d4doZ+/sgfmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80f0Sf2j+6P6JPzR/aP7Q/KH5Q/NH91v8 +ofmj5338ofmj+zH+0PzR/Rl/aP7ofo0/NH9o/tD8oflD80f3d/yh+UPzh+YP +zR+dl/ij90/80XmJP3ofxR+aP3o/xR+aP3pfxR+aPzov8Yfmj85L/KH5o/MS +f2j+0Pyh+UPzR+cl/uh9GH/s+X3NH3ueX/OH5g/NH3veX/OH5o/OS/yh+aPz +En/s/XDNH3tfXPPH9uPxJ+cof2j+0Pyh+UPzx37+y32/+GO//rXNH5o/NH9o +/tD8sa/31uaPff33e/34Q/OH5g/NH5o/NH/0ecQf+/N/tPlj3881f2j+0Pyh ++UPzx77/H23+2OdxzR9d7/hD84fmD80fmj80f+zz/Nnmj32+n23+0Pyh+UPz +h+aPXY9fbf7Y9bnmD80fmj80f2j+2PW85o9d3682f+x6X/OH5g/NH5o/NH/s +fFzzx87LNX/s/Pxu88fO0zV/7Hxd84fmD80fu3+v+UPzh+YPzR+aPzR/aP7Y +8+y7zR+aPzR/dN7ij85b/NF5iz86b/FH5y3+0PzReYs/Om/xh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH/s/fCnzR+aP7R7U/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/dL/EH5o/un/iD80fmj80f2j+ +0PzR/RZ/aP7oeR9/aP7ofow/NH90f8Yfmj+6X+MPzR+aPzR/aP7Q/NH9HX9o +/tD8oflD80fnJf7o/RN/dF7ij95H8Yfmj95P8Yfmj95X8Yfmj85L/KH5o/MS +f2j+6LzEH73/4w/NH5o/NH90XuKP3ofxx57f1/yx5/k1f2j+0Pyx5/01f2j+ +6LzEH5o/Oi/xx94P1/yx98U1f2w/Hs5N/tD8oflD84fmj/38l/t+/+Yvf+zX +v7b5Q/OH5g/NH5o/9vXe2vyxr/9+rx9/aP7Q/KH5Q/OH5o8+j/hjf/6PNn/s ++7nmD80fmj80f2j+2Pf/o80f+zyu+aPrHX9o/tD8oflD84fmj32eP9v8sc/3 +s80fmj80f2j+0Pyx6/GrzR+7Ptf8oflD84fmD80fu57X/LHr+9Xmj13va/7Q +/KH5Q/OH5o+dj2v+2Hm55o+dn99t/th5uuaPna9r/tD8oflj9+81f2j+0Pyh ++UPzh+YPzR97nn23+UPzh+aPzlv80XmLPzpv8UfnLf7ovMUfmj86b/FH5y3+ +0Pyh+UPzh+YPzR+aPzR/PP+74s//zt/zv7uh+UPzx94P//h7G8//H9Xzn2to +/tDPH/lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/u +l/hD80f3T/yh+UPzh+YPzR+aP7rf4g/NHz3v4w/NH92P8Yfmj+7P+EPzR/dr +/KH5Q/OH5g/NH5o/ur/jD80fmj80f2j+6LzEH71/4o/OS/zR+yj+0PzR+yn+ +0PzR+yr+0PzReYk/NH90XuIPzR+dl/hD84fmD80fmj86L/FH78P4Y8/va/7Y +8/yaPzR/aP7Y8/6aPzR/dF7iD80fnZf4Y++Ha/7Y++KaP7YfD+ckf2j+0Pyh ++UPzx37+y32/+GO//rXNH5o/NH9o/tD8sa/31uaPff33e/34Q/OH5g/NH5o/ +NH/0ecQf+/N/tPlj3881f2j+0Pyh+UPzx77/H23+2OdxzR9d7/hD84fmD80f +mj80f+zz/Nnmj32+n23+0Pyh+UPzh+aPXY9fbf7Y9bnmD80fmj80f2j+2PW8 +5o9d3682f+x6X/OH5g/NH5o/NH/sfFzzx87LNX/s/Pxu88fO0zV/7Hxd84fm +D80fu3+v+UPzh+YPzR+aPzR/aP7Y8+y7zR+aPzR/dN7ij85b/NF5iz86b/FH +5y3+0PzReYs/Om/xh+YPzR+aPzR/aP7Q/KH5Q/PH878r/vzv/Gn+0Pyx98M/ +/p748+9tPP9/VJo/np2hnz/yh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmj+6X+EPzR/dP/KH5Q/OH5g/NH5o/ut/iD80fPe/jD80f3Y/x +h+aP7s/4Q/NH92v8oflD84fmD80fmj+6v+MPzR+aPzR/aP7ovMQfvX/ij85L +/NH7KP7Q/NH7Kf7Q/NH7Kv7Q/NF5iT80f3Re4g/NH52X+EPzh+YPzR+aPzov +8Ufvw/hjz+9r/tjz/Jo/NH9o/tjz/po/NH90XuIPzR+dl/hj74dr/tj74po/ +th8P5yJ/aP7Q/KH5Q/PHfv7Lfb/4Y7/+tc0fmj80f2j+0Pyxr/fW5o99/fd7 +/fhD84fmD80fmj80f/R5xB/783+0+WPfzzV/aP7Q/KH5Q/PHvv8fbf7Y53HN +H13v+EPzh+YPzR+aPzR/7PP82eaPfb6fbf7Q/KH5Q/OH5o9dj19t/tj1ueYP +zR+aPzR/aP7Y9bzmj13frzZ/7Hpf84fmD80fmj80f+x8XPPHzss1f+z8/G7z +x87TNX/sfF3zh+YPzR+7f6/5Q/OH5g/NH5o/NH9o/tjz7LvNH5o/NH903uKP +zlv80XmLPzpv8UfnLf7Q/NF5iz86b/GH5g/NH5o/NH9o/tD8oflD84fmj+d/ +V1zzh+aPvR/+8e+lev498eff29D88fznGs/O0M8f+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+yX+0PzR/RN/aP7Q/KH5Q/OH5o/ut/hD +80fP+/hD80f3Y/yh+aP7M/7Q/NH9Gn9o/tD8oflD84fmj+7v+EPzh+YPzR+a +Pzov8Ufvn/ij8xJ/9D6KPzR/9H6KPzR/9L6KPzR/dF7iD80fnZf4Q/NH5yX+ +0Pyh+UPzh+aPzkv80fsw/tjz+5o/9jy/5g/NH5o/9ry/5g/NH52X+EPzR+cl +/tj74Zo/9r645o/tx8M5yB+aPzR/aP7Q/LGf/3LfL/7Yr39t84fmD80fmj80 +f+zrvbX5Y1///V4//tD8oflD84fmD80ffR7xx/78H23+2PdzzR+aPzR/aP7Q +/LHv/0ebP/Z5XPNH1zv+0Pyh+UPzh+YPzR/7PH+2+WOf72ebPzR/aP7Q/KH5 +Y9fjV5s/dn2u+UPzh+YPzR+aP3Y9r/lj1/erzR+73tf8oflD84fmD80fOx/X +/LHzcs0fOz+/2/yx83TNHztf1/yh+UPzx+7fa/7Q/KH5Q/OH5g/NH5o/9jz7 +bvOH5g/NH523+KPzFn903uKPzlv80XmLPzR/dN7ij85b/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fez/849+D+/z3Uj3/nrjmj+f/j+r5zzWenaGfP/KH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP7Jf7Q/NH9E39o/tD8 +oflD84fmj+63+EPzR8/7+EPzR/dj/KH5o/sz/tD80f0af2j+0Pyh+UPzh+aP +7u/4Q/OH5g/NH5o/Oi/xR++f+KPzEn/0Poo/NH/0foo/NH/0voo/NH90XuIP +zR+dl/hD80fnJf7Q/KH5Q/OH5o/OS/zR+zD+2PP7mj/2PL/mD80fmj/2vL/m +D80fnZf4Q/NH5yX+2Pvhmj/2vrjmj+3Hw7nHH5o/NH9o/tD8sZ//ct8v/tiv +f23zh+YPzR+aPzR/7Ou9tfljX//9Xj/+0Pyh+UPzh+YPzR99HvHH/vwfbf7Y +93PNH5o/NH9o/tD8se//R5s/9nlc80fXO/7Q/KH5Q/OH5g/NH/s8f7b5Y5/v +Z5s/NH9o/tD8oflj1+NXmz92fa75Q/OH5g/NH5o/dj2v+WPX96vNH7ve1/yh ++UPzh+YPzR87H9f8sfNyzR87P7/b/LHzdM0fO1/X/KH5Q/PH7t9r/tD8oflD +84fmD80fmj/2PPtu84fmD80fnbf4o/MWf3Te4o/OW/zReYs/NH903uKPzlv8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/zjv7vx/PfgPv+9VJo/nn9v +4/n/o3r+c41nZ+jnj/yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH90 +v8Qfmj+6f+IPzR+aPzR/aP7Q/NH9Fn9o/uh5H39o/uh+jD80f3R/xh+aP7pf +4w/NH5o/NH9o/tD80f0df2j+0Pyh+UPzR+cl/uj9E390XuKP3kfxh+aP3k/x +h+aP3lfxh+aPzkv8ofmj8xJ/aP7ovMQfmj80f2j+0PzReYk/eh/GH3t+X/PH +nufX/KH5Q/PHnvfX/KH5o/MSf2j+6LzEH3s/XPPH3hfX/LH9eDjn+EPzh+YP +zR+aP/bzX+77xR/79a9t/tD8oflD84fmj329tzZ/7Ou/3+vHH5o/NH9o/tD8 +ofmjzyP+2J//o80f+36u+UPzh+YPzR+aP/b9/2jzxz6Pa/7oescfmj80f2j+ +0Pyh+WOf5882f+zz/Wzzh+YPzR+aPzR/7Hr8avPHrs81f2j+0Pyh+UPzx67n +NX/s+n61+WPX+5o/NH9o/tD8oflj5+OaP3Zervlj5+d3mz92nq75Y+frmj80 +f2j+2P17zR+aPzR/aP7Q/KH5Q/PHnmffbf7Q/KH5o/MWf3Te4o/OW/zReYs/ +Om/xh+aPzlv80XmLPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflj74d//Hf+ +nv/djee/B1fzx/PviT//3sbz/0f1/Ocaz87Qzx/5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f3S/xB+aP7p/4g/NH5o/NH9o/tD80f0Wf2j+6Hkff2j+ +6H6MPzR/dH/GH5o/ul/jD80fmj80f2j+0PzR/R1/aP7Q/KH5Q/NH5yX+6P0T +f3Re4o/eR/GH5o/eT/GH5o/eV/GH5o/OS/yh+aPzEn9o/ui8xB+aPzR/aP7Q +/NF5iT96H8Yfe35f88ee59f8oflD88ee99f8ofmj8xJ/aP7ovMQfez9c88fe +F9f8sf14ONf4Q/OH5g/NH5o/9vNf7vvFH/v1r23+0Pyh+UPzh+aPfb23Nn/s +67/f68cfmj80f2j+0Pyh+aPPI/7Yn/+jzR/7fq75Q/OH5g/NH5o/9v3/aPPH +Po9r/uh6xx+aPzR/aP7Q/KH5Y5/nzzZ/7PP9bPOH5g/NH5o/NH/sevxq88eu +zzV/aP7Q/KH5Q/PHruc1f+z6frX5Y9f7mj80f2j+0Pyh+WPn45o/dl6u+WPn +53ebP3aervlj5+uaPzR/aP7Y/XvNH5o/NH9o/tD8oflD88eeZ99t/tD8ofmj +8xZ/dN7ij85b/NF5iz86b/GH5o/OW/zReYs/NH9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+WPvh3/8d8Wf/52/5393Q/PH899L9fx74s+/t/H8/1E9/7nGszP0 +80f+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/ul/hD80f3T/yh+UPzh+YP +zR+aP7rf4g/NHz3v4w/NH92P8Yfmj+7P+EPzR/dr/KH5Q/OH5g/NH5o/ur/j +D80fmj80f2j+6LzEH71/4o/OS/zR+yj+0PzR+yn+0PzR+yr+0PzReYk/NH90 +XuIPzR+dl/hD84fmD80fmj86L/FH78P4Y8/va/7Y8/yaPzR/aP7Y8/6aPzR/ +dF7iD80fnZf4Y++Ha/7Y++KaP7YfD+cYf2j+0Pyh+UPzx37+y32/+GO//rXN +H5o/NH9o/tD8sa/31uaPff33e/34Q/OH5g/NH5o/NH/0ecQf+/N/tPlj3881 +f2j+0Pyh+UPzx77/H23+2OdxzR9d7/hD84fmD80fmj80f+zz/Nnmj32+n23+ +0Pyh+UPzh+aPXY9fbf7Y9bnmD80fmj80f2j+2PW85o9d3682f+x6X/OH5g/N +H5o/NH/sfFzzx87LNX/s/Pxu88fO0zV/7Hxd84fmD80fu3+v+UPzh+YPzR+a +PzR/aP7Y8+y7zR+aPzR/dN7ij85b/NF5iz86b/FH5y3+0PzReYs/Om/xh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH/s/XB/jxR/PP+74s//zp/mj+e/B/f5 +76V6/j3x59/beP7/qJ7/XOPZGfr5I39o/tD8oflD84fmD80fmj80f2j+0Pyh ++aP7Jf7Q/NH9E39o/tD8oflD84fmj+63+EPzR8/7+EPzR/dj/KH5o/sz/tD8 +0f0af2j+0Pyh+UPzh+aP7u/4Q/OH5g/NH5o/Oi/xR++f+KPzEn/0Poo/NH/0 +foo/NH/0voo/NH90XuIPzR+dl/hD80fnJf7Q/KH5Q/OH5o/OS/zR+zD+2PP7 +mj/2PL/mD80fmj/2vL/mD80fnZf4Q/NH5yX+2Pvhmj/2vrjmj+3Hw7nFH5o/ +NH9o/tD8sZ//ct8v/tivf23zh+YPzR+aPzR/7Ou9tfljX//9Xj/+0Pyh+UPz +h+YPzR99HvHH/vwfbf7Y93PNH5o/NH9o/tD8se//R5s/9nlc80fXO/7Q/KH5 +Q/OH5g/NH/s8f7b5Y5/vZ5s/NH9o/tD8oflj1+NXmz92fa75Q/OH5g/NH5o/ +dj2v+WPX96vNH7ve1/yh+UPzh+YPzR87H9f8sfNyzR87P7/b/LHzdM0fO1/X +/KH5Q/PH7t9r/tD8oflD84fmD80fmj/2PPtu84fmD80fnbf4o/MWf3Te4o/O +W/zReYs/NH903uKPzlv8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P9zf +W8sfmj+e/11xzR/P/+7G89+D+/z3Uj3/nvjz7208/39Uz3+u8ewM/fyRPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/uh+iT80f3T/xB+aPzR/aP7Q/KH5o/st/tD8 +0fM+/tD80f0Yf2j+6P6MPzR/dL/GH5o/NH9o/tD8ofmj+zv+0Pyh+UPzh+aP +zkv80fsn/ui8xB+9j+IPzR+9n+IPzR+9r+IPzR+dl/hD80fnJf7Q/NF5iT80 +f2j+0Pyh+aPzEn/0Pow/9vy+5o89z6/5Q/OH5o8976/5Q/NH5yX+0PzReYk/ +9n645o+9L675Y/vxcE7xh+YPzR+aPzR/7Oe/3PeLP/brX9v8oflD84fmD80f ++3pvbf7Y13+/1///ubpD2Fy7d9vLb5BIFA53NAqFPBKHO45gQGLAIXEEhSEn +NaxjSDBNEQgaRBNIWtGkCTUNYiU4ggKDgr33uMadUbXyS9o+fZ95zzm/a+// +9634Q/OH5g/NH5o/NH/0fcQf+/u/tvljP881f2j+0Pyh+UPzx37+tzZ/7Pu4 +5o+ud/yh+UPzh+YPzR+aP/Z9vrf5Y9/vR5s/NH9o/tD8oflj1+OzzR+7Ptf8 +oflD84fmD80fu57X/LHr+9Xmj13va/7Q/KH5Q/OH5o+dj2v+2Hm55o+dn+82 +f+w8XfPHztc1f2j+0Pyx+/eaPzR/aP7Q/KH5Q/OH5o89z37a/KH5Q/NH5y3+ +6LzFH523+KPzFn903uIPzR+dt/ij8xZ/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD88feD/f3ZPCH5g/NH5o/fv89f7//3o3f/x3c3/9dqt//nvjvf2/j9/+O +6vf/X+O3M/TvP/lD84fmD80fmj80f2j+0Pyh+UPzR/dL/KH5o/sn/tD8oflD +84fmD80f3W/xh+aPnvfxh+aP7sf4Q/NH92f8ofmj+zX+0Pyh+UPzh+YPzR/d +3/GH5g/NH5o/NH90XuKP3j/xR+cl/uh9FH9o/uj9FH9o/uh9FX9o/ui8xB+a +Pzov8Yfmj85L/KH5Q/OH5g/NH52X+KP3Yfyx5/c1f+x5fs0fmj80f+x5f80f +mj86L/GH5o/OS/yx98M1f+x9cc0f24+Hc4k/NH9o/tD8ofljv/7pfl78sd// +p80fmj80f2j+0Pyxz3tu88c+/+WeH39o/tD8oflD84fmj76P+GN//9c2f+zn +ueYPzR+aPzR/aP7Yz//W5o99H9f80fWOPzR/aP7Q/KH5Q/PHvs/3Nn/s+/1o +84fmD80fmj80f+x6fLb5Y9fnmj80f2j+0Pyh+WPX85o/dn2/2vyx633NH5o/ +NH9o/tD8sfNxzR87L9f8sfPz3eaPnadr/tj5uuYPzR+aP3b/XvOH5g/NH5o/ +NH9o/tD8sefZT5s/NH9o/ui8xR+dt/ij8xZ/dN7ij85b/KH5o/MWf3Te4g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+2Pvh/l4+/tD8oflD88fvv1f899/z +9/vv3fj938H9/d+l+v3vif/+9zZ+/++ofv//NX47Q//+kz80f2j+0Pyh+UPz +h+YPzR+aP7pf4g/NH90/8YfmD80fmj80f2j+6H6LPzR/9LyPPzR/dD/GH5o/ +uj/jD80f3a/xh+YPzR+aPzR/aP7o/o4/NH9o/tD8ofmj8xJ/9P6JPzov8Ufv +o/hD80fvp/hD80fvq/hD80fnJf7Q/NF5iT80f3Re4g/NH5o/NH9o/ui8xB+9 +D+OPPb+v+WPP82v+0Pyh+WPP+2v+0PzReYk/NH90XuKPvR+u+WPvi2v+2H48 +nEP8oflD84fmD80f+/VP9/Pij/3+P23+0Pyh+UPzh+aPfd5zmz/2+S/3/PhD +84fmD80fmj80f/R9xB/7+7+2+WM/zzV/aP7Q/KH5Q/PHfv63Nn/s+7jmj653 +/KH5Q/OH5g/NH5o/9n2+t/lj3+9Hmz80f2j+0Pyh+WPX47PNH7s+1/yh+UPz +h+YPzR+7ntf8sev71eaPXe9r/tD8oflD84fmj52Pa/7Yebnmj52f7zZ/7Dxd +88fO1zV/aP7Q/LH795o/NH9o/tD8oflD84fmjz3Pftr8oflD80fnLf7ovMUf +nbf4o/MWf3Te4g/NH523+KPzFn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +x94P9/eA84fmD80fmj80f/z+e8V//z1/v//ejd//Hdzf/12q3/+e+O9/b+P3 +/47q9/9f47cz9O8/+UPzh+YPzR+aPzR/aP7Q/NH9En9o/uj+iT80f2j+0Pyh ++UPzR/db/KH5o+d9/KH5o/sx/tD80f0Zf2j+6H6NPzR/aP7Q/KH5Q/NH93f8 +oflD84fmD80fnZf4o/dP/NF5iT96H8Ufmj96P8Ufmj96X8Ufmj86L/GH5o/O +S/yh+aPzEn9o/tD8oflD80fnJf7ofRh/7Pl9zR97nl/zh+YPzR973l/zh+aP +zkv8ofmj8xJ/7P1wzR97X1zzx/bj4dzhD80fmj80f2j+2K9/up8Xf+z3/2nz +h+YPzR+aPzR/7POe2/yxz3+558cfmj80f2j+0Pyh+aPvI/7Y3/+1zR/7ea75 +Q/OH5g/NH5o/9vO/tflj38c1f3S94w/NH5o/NH9o/tD8se/zvc0f+34/2vyh ++UPzh+YPzR+7Hp9t/tj1ueYPzR+aPzR/aP7Y9bzmj13frzZ/7Hpf84fmD80f +mj80f+x8XPPHzss1f+z8fLf5Y+fpmj92vq75Q/OH5o/dv9f8oflD84fmD80f +mj80f+x59tPmD80fmj86b/FH5y3+6LzFH523+KPzFn9o/ui8xR+dt/hD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aP/Z++NvmD80fmj80f2j+0Pzx++8V//33 +/P3+ezd+/3dwf/93qX7/e+K//72N3/87qt//f43fztC//+QPzR+aPzR/aP7Q +/KH5o/sl/tD80f0Tf2j+0Pyh+UPzh+aP7rf4Q/NHz/v4Q/NH92P8ofmj+zP+ +0PzR/Rp/aP7Q/KH5Q/OH5o/u7/hD84fmD80fmj86J/FH75/4o/MSf/Q+ij80 +f/R+ij80f/S+ij80f3Re4g/NH52X+EPzR+cl/tD8oflD84fmj85L/NH7MP7Y +8/uaP/Y8v+YPzR+aP/a8v+YPzR+dl/hD80fnJf7Y++GaP/a+uOaP7cfjv885 +wx+aPzR/aP7Q/LFf/3Q/L/7Y7//T5g/NH5o/NH9o/tjnPbf5Y5//cs+PPzR/ +aP7Q/KH5Q/NH30f8sb//a5s/9vNc84fmD80fmj80f+znf2vzx76Pa/7oescf +mj80f2j+0Pyh+WPf53ubP/b9frT5Q/OH5g/NH5o/dj0+2/yx63PNH5o/NH9o +/tD8set5zR+7vl9t/tj1vuYPzR+aPzR/aP7Y+bjmj52Xa/7Y+flu88fO0zV/ +7Hxd84fmD80fu3+v+UPzh+YPzR+aPzR/aP7Y8+ynzR+aPzR/dN7ij85b/NF5 +iz86b/FH5y3+0PzReYs/Om/xh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH/s +/fC3zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD+2fCzR/aP7Q +/KH5Q/OH5g/NH90v8Yfmj+6f+EPzh+YPzR+aPzR/dL/FH5o/et7HH5o/uh/j +D80f3Z/xh+aP7tf4Q/OH5g/NH5o/NH90f8cfmj80f2j+0PzReYk/ev/EH52X ++KP3Ufyh+aP3U/yh+aP3Vfyh+aPzEn9o/ui8xB+aPzov8Ufv//hD84fmD80f +nZf4o/dh/LHn9zV/7Hl+zR+aPzR/7Hl/zR+aPzov8Yfmj85L/LH3wzV/7H1x +zR/bj4dzhT80f2j+0Pyh+WO//ul+Xvyx3/+nzR+aPzR/aP7Q/LHPe27zxz7/ +5Z4ff2j+0Pyh+UPzh+aPvo/4Y3//1zZ/7Oe55g/NH5o/NH9o/tjP/9bmj30f +1/zR9Y4/NH9o/tD8oflD88e+z/c2f+z7/Wjzh+YPzR+aPzR/7Hp8tvlj1+ea +PzR/aP7Q/KH5Y9fzmj92fb/a/LHrfc0fmj80f2j+0Pyx83HNHzsv1/yx8/Pd +5o+dp2v+2Pm65g/NH5o/dv9e84fmD80fmj80f2j+0Pyx59lPmz80f2j+6LzF +H523+KPzFn903uKPzlv8ofmj8xZ/dN7iD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Y++Fvmz80f2j+0Pyh+UPzh+YPzR/6998z/vvv/fv993D8/u/i/v7v +VP3+98Z//3scv/93Vb///xz8oX//yR+aPzR/aP7Q/NH9En9o/uj+iT80f2j+ +0Pyh+UPzR/db/KH5o+d9/KH5o/sx/tD80f0Zf2j+6H6NPzR/aP7Q/KH5Q/NH +93f8oflD84fmD80fnZf4o/dP/NE5iT96H8Ufmj96P8Ufmj96X8Ufmj86L/GH +5o/OS/yh+aPzEn9o/tD8oflD80fnJf7ofRh/7Pl9zR97nl/zh+YPzR973l/z +h+aPzkv8ofmj8xJ/7P1wzR97X1zzx/bj8X/lHOEPzR+aPzR/aP7Yr3+6nxd/ +7Pf/afOH5g/NH5o/NH/s857b/LHPf7nnxx+aPzR/aP7Q/KH5o+8j/tjf/7XN +H/t5rvlD84fmD80fmj/287+1+WPfxzV/dL3jD80fmj80f2j+0Pyx7/O9zR/7 +fj/a/KH5Q/OH5g/NH7sen23+2PW55g/NH5o/NH9o/tj1vOaPXd+vNn/sel/z +h+YPzR+aPzR/7Hxc88fOyzV/7Px8t/lj5+maP3a+rvlD84fmj92/1/yh+UPz +h+YPzR+aPzR/7Hn20+YPzR+aPzpv8UfnLf7ovMUfnbf4o/MWf2j+6LzFH523 ++EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/9n742+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/dvzc63b/HLd2/VyHd/85puv/doXT/PeB0/3f56f7vZNL8ofvPkWn+ +0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80fmj+63+IPzR897+MPzR/dj/GH +5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/NH5o/NH9o/ui8xB+9f+KPzkv8 +0fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7iD80fnZf4o/d//KH5Q/OH5o/O +S/zR+zD+2PP7mj/2PL/mD80fmj/2vL/mD80fnZf4Q/NH5yX+2Pvhmj/2vrjm +j+3H47/KucEfmj80f2j+0PyxX/90Py/+2O//0+YPzR+aPzR/aP7Y5z23+WOf +/3LPjz80f2j+0Pyh+UPzR99H/LG//2ubP/bzXPOH5g/NH5o/NH/s539r88e+ +j2v+6HrHH5o/NH9o/tD8oflj3+d7mz/2/X60+UPzh+YPzR+aP3Y9Ptv8setz +zR+aPzR/aP7Q/LHrec0fu75fbf7Y9b7mD80fmj80f2j+2Pm45o+dl2v+2Pn5 +bvPHztM1f+x8XfOH5g/NH7t/r/lD84fmD80fmj80f2j+2PPsp80fmj80f3Te +4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/7P3wt80fmj80f2j+0Pyh+UPzh+YPzR+aP3T/3uh0/x63dP9ehXT/ +O6fp/neH0v33gNP93+Wn+UP3/26d5g/NH5o/NH9o/uh+iT80f3T/xB+aPzR/ +aP7Q/KH5o/st/tD80fM+/tD80f34z/7JH5o/uj/jD80f3a/xh+YPzR+aPzR/ +aP7o/o4/NH9o/tD8ofmj8xJ/9P6JPzov8Ufvo/hD80fvp/hD80fvq/hD80fn +Jf7Q/NF5iT80f3Re4o/e//GH5g/NH5o/Oi/xR+/D+GPP72v+2PP8mj80f2j+ +2PP+mj80f3Re4g/NH52X+GPvh2v+2Pvimj+2H49/O+cEf2j+0Pyh+UPzx379 +0/28+GO//0+bPzR/aP7Q/KH5Y5/33OaPff7LPT/+0Pyh+UPzh+YPzR99H/HH +/v6vbf7Yz3PNH5o/NH9o/tD8sZ//rc0f+z6u+aPrHX9o/tD8oflD84fmj32f +723+2Pf70eYPzR+aPzR/aP7Y9fhs88euzzV/aP7Q/KH5Q/PHruc1f+z6frX5 +Y9f7mj80f2j+0Pyh+WPn45o/dl6u+WPn57vNHztP1/yx83XNH5o/NH/s/r3m +D80fmj80f2j+0Pyh+WPPs582f2j+0PzReYs/Om/xR+ct/ui8xR+dt/hD80fn +Lf7ovMUfmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/LH3w982f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH790an+/e4pfv3KqT73zlN9787lO6/B5zmD93/nUya +PzR/aP7Q/KH5o/sl/tD80f0Tf2j+0Pyh+UPzh+aP7rf4Q/NHz/v4Q/NH92P8 +ofmj+zP+0PzR/Rp/aP7Q/KH5Q/OH5o/u7/hD84fmD80fmj86L/FH75/4o/MS +f/Q+ij80f/R+ij80f/S+ij80f3Re4g/NH52X+EPzR+cl/uj9H39o/tD8ofmj +8xJ/9D6MP/b8vuaPPc+v+UPzh+aPPe+v+UPzR+cl/tD80XmJP/Z+uOaPvS+u ++WP78fjMucAfmj80f2j+0PyxX/90Py/+2O//0+YPzR+aPzR/aP7Y5z23+WOf +/3LPjz80f2j+0Pyh+UPzR99H/LG//2ubP/bzXPOH5g/NH5o/NH/s539r88e+ +j2v+6HrHH5o/NH9o/tD8oflj3+d7mz/2/X60+UPzh+YPzR+aP3Y9Ptv8setz +zR+aPzR/aP7Q/LHrec0fu75fbf7Y9b7mD80fmj80f2j+2Pm45o+dl2v+2Pn5 +bvPHztM1f+x8XfOH5g/NH7t/r/lD84fmD80fmj80f2j+2PPsp80fmj80f3Te +4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/7P3wt80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmj+6X+EPzR/dP/KH5Q/OH5g/NH5o/ut/iD80fPe/j +D80f3Y//8Mf/c80f3Z/xh+aP7tf4Q/OH5g/NH5o/NH90f8cfmj80f2j+0PzR +eYk/ev/EH52X+KP3Ufyh+aP3U/yh+aP3Vfyh+aPzEn9o/ui8xB+aPzov8Ufv +//hD84fmD80fnZf4o/dh/LHn9zV/7Hl+zR+aPzR/7Hl/zR+aPzov8Yfmj85L +/LH3wzV/7H1xzR/bj8d/knOAPzR/aP7Q/KH5Y7/+6X5e/LHf/6fNH5o/NH9o +/tD8sc97bvPHPv/lnh9/aP7Q/KH5Q/OH5o++j/hjf//XNn/s57nmD80fmj80 +f2j+2M//1uaPfR/X/NH1jj80f2j+0Pyh+UPzx77P9zZ/7Pv9aPOH5g/NH5o/ +NH/seny2+WPX55o/NH9o/tD8oflj1/OaP3Z9v9r8set9zR+aPzR/aP7Q/LHz +cc0fOy/X/LHz893mj52na/7Y+brmD80fmj92/17zh+YPzR+aPzR/aP7Q/LHn +2U+bPzR/aP7ovMUfnbf4o/MWf3Te4o/OW/yh+aPzFn903uIPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tj74W+bPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/dL/GH5o/un/hD84fmD80fmj80 +f3S/xR+aP3rexx+aP7of4w/NH92f8Yfmj+7X+EPzh+YPzR+aPzR/dH/HH5o/ +NH9o/tD80XmJP3r/xB+dl/ij91H8ofmj91P8ofmj91X8ofmj8xJ/aP7ovMQf +mj86L/FH7//4Q/OH5g/NH52X+KP3Yfyx5/c1f+x5fs0fmj80f+x5f80fmj86 +L/GH5o/OS/yx98M1f+x9cc0f24/Hv5F9zx+aPzR/aP7Q/LFf/3Q/L/7Y7//T +5g/NH5o/NH9o/tjnPbf5Y5//cs//x/fyP7T5Q/OH5g/NH5o/+j7ij/39X9v8 +sZ/nmj80f2j+0Pyh+WM//1ubP/Z9XPNH1zv+0Pyh+UPzh+YPzR/7Pt/b/LHv +96PNH5o/NH9o/tD8sevx2eaPXZ9r/tD8oflD84fmj13Pa/7Y9f1q88eu9zV/ +aP7Q/KH5Q/PHzsc1f+y8XPPHzs93mz92nq75Y+frmj80f2j+2P17zR+aPzR/ +aP7Q/KH5Q/PHnmc/bf7Q/KH5o/MWf3Te4o/OW/zReYs/Om/xh+aPzlv80XmL +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflj74e/bf7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/dL/kT80f3T/x +h+YPzR+aPzR/aP7ofos/NH/0vI8/NH90P8Yfmj+6P+MPzR/dr/GH5g/NH5o/ +NH9o/uj+jj80f2j+0Pyh+aPzEn/0/ok/Oi/xR++j+EPzR++n+EPzR++r+EPz +R+cl/tD80XmJPzR/dF7ij97/8YfmD80fmj86L/FH78P4Y8/va/7Y8/yaPzR/ +aP7Y8/6aPzR/dF7iD80fnZf4Y++Ha/7Y++KaP7Yfj+fsc/7Q/KH5Q/OH5o/9 ++qf7efHHfv+fNn9o/tD8oflD88c+77nNH/v8l3t+/KH5Q/OH5g/NH5o/+j7i +j/39X9v8sZ/nmj80f2j+0Pyh+WM//1ubP/Z9XPNH1zv+0Pyh+UPzh+YPzR/7 +Pt/b/LHv96PNH5o/NH9o/tD8sevx2eaPXZ9r/tD8oflD84fmj13Pa/7Y9f1q +88eu9zV/aP7Q/KH5Q/PHzsc1f+y8XPPHzs93mz92nq75Y+frmj80f2j+2P17 +zR+aPzR/aP7Q/KH5Q/PHnmc/bf7Q/KH5o/MWf3Te4o/OW/zReYs/Om/xh+aP +zlv80XmLPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflj74e/bf7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/dL/k +n0s0f3T/xB+aPzR/aP7Q/KH5o/st/tD80fM+/tD80f0Yf2j+6P6MPzR/dL/G +H5o/NH9o/tD8ofmj+zv+0Pyh+UPzh+aPzkv80fsn/ui8xB+9j+IPzR+9n+IP +zR+9r+IPzR+dl/hD80fnJf7Q/NF5iT96/8cfmj80f2j+6LzEH70P4489v6/5 +Y8/za/7Q/KH5Y8/7a/7Q/NF5iT80f3Re/uN/8sfeD9f8sffFNX9sPx7/fvY1 +f2j+0Pyh+UPzx3790/28+GO//0+bPzR/aP7Q/KH5Y5/33OaPff7LPT/+0Pyh ++UPzh+YPzR99H/HH/v6vbf7Yz3PNH5o/NH9o/tD8sZ//rc0f+z6u+aPrHX9o +/tD8oflD84fmj32f723+2Pf70eYPzR+aPzR/aP7Y9fhs88euzzV/aP7Q/KH5 +Q/PHruc1f+z6frX5Y9f7mj80f2j+0Pyh+WPn45o/dl6u+WPn57vNHztP1/yx +83XNH5o/NH/s/r3mD80fmj80f2j+0Pyh+WPPs582f2j+0PzReYs/Om/xR+ct +/ui8xR+dt/hD80fnLf7ovMUfmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/LH3 +w982f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj+6X+IPzR/dP/lT84fmD80fmj80f3S/xR+aP3rexx+aP7of4w/N +H92f8Yfmj+7X+EPzh+YPzR+aPzR/dH/HH5o/NH9o/tD80XmJP3r/xB+dl/ij +91H8ofmj91P8ofmj91X8ofmj8xJ/aP7ovMQfmj86L//wx396zR+aPzR/aP7o +vMQfvQ/jjz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJPzR/dF7ij70frvlj74tr +/th+PP7v7GP+0Pyh+UPzh+aP/fqn+3nxx37/nzZ/aP7Q/KH5Q/PHPu+5zR/7 +/Jd7fvyh+UPzh+YPzR+aP/o+4o/9/V/b/LGf55o/NH9o/tD8ofljP/9bmz/2 +fVzzR9c7/tD8oflD84fmD80f+z7f2/yx7/ejzR+aPzR/aP7Q/LHr8dnmj12f +a/7Q/KH5Q/OH5o9dz2v+2PX9avPHrvc1f2j+0Pyh+UPzx87HNX/svFzzx87P +d5s/dp6u+WPn65o/NH9o/tj9e80fmj80f2j+0Pyh+UPzx55nP23+0Pyh+aPz +Fn903uKPzlv80XmLPzpv8Yfmj85b/NF5iz80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Y++Hv23+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f3S/xB+aP7p/8s8tmj80f2j+0Pyh+aP7Lf7Q/NHz +Pv7Q/NH9GH9o/uj+jD80f3S/xh+aPzR/aP7Q/KH5o/s7/tD8oflD84fmj85L +/NH7J/7ovMQfvY/iD80fvZ/iD80fva/iD80fnZf4Q/NH5yX+0PzReYk/ev/H +H5o/NH9o/ui8xB+9D+OPPb+v+WPP82v+0Pyh+WPP+2v+0PzReYk/NH90XuKP +vR+u+WPvi2v+2H48/uvsW/7Q/KH5Q/OH5o/9+qf7efHHfv+fNn9o/tD8oflD +88c+77nNH/v8l3t+/KH5Q/OH5g/NH5o/+j7+cd7+p1+//2ubP/bzXPOH5g/N +H5o/NH/s539r88e+j2v+6HrHH5o/NH9o/tD8oflj3+d7mz/2/X60+UPzh+YP +zR+aP3Y9Ptv8setzzR+aPzR/aP7Q/LHrec0fu75fbf7Y9b7mD80fmj80f2j+ +2Pm45o+dl2v+2Pn5bvPHztM1f+x8XfOH5g/NH7t/r/lD84fmD80fmj80f2j+ +2PPsp80fmj80f3Te4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/7P3wt80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+6X+EPzR/dP/KH5Q/OH5g/N +H5o/ut/iD80fPe/jD80f3Y/xh+aP7s/4Q/NH92v8oflD84fmD80fmj+6v+MP +zR+aPzR/aP7ovMQfvX/ij85L/NH7KP7Q/NH7Kf7Q/NH7Kv7Q/NF5iT80f3Re +4g/NH52X+KP3f/yh+UPzh+aPzkv80fsw/tjz+5o/9jy/5g/NH5o/9ry/5g/N +H52X+EPzR+cl/tj74Zo/9r645o/tx+PfyT7lD80fmj80f2j+2K9/up8Xf+z3 +/2nzh+YPzR+aPzR/7POe2/yxz3+558cfmj80f2j+0Pyh+aPvI/7Y3/+1zR/7 +ea75Q/OH5g/NH5o/9vO/tflj38c1f3S94w/NH5o/NH9o/tD8se/zvc0f+34/ +2vyh+UPzh+YPzR+7Hp9t/tj1ueYPzR+aPzR/aP7Y9bzmj13frzZ/7Hpf84fm +D80fmj80f+x8XPPHzss1f+z8fLf5Y+fpmj92vq75Q/OH5o/dv9f8oflD84fm +D80fmj80f+x59tPmD80fmj86b/FH5y3+6LzFH523+KPzFn9o/ui8xR+dt/hD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP/Z++NvmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f3S/yh+aP7J/7Q +/KH5Q/OH5g/NH91v8Yfmj5738Yfmj+7H+EPzR/dn/KH5o/s1/tD8oflD84fm +D80f3d/xh+YPzR+aPzR/dF7ij94/8UfnJf7ofRR/aP7o/RR/aP7ofRV/aP7o +vMQfmj86L/GH5o/OS/zR+z/+0Pyh+UPzR+cl/uh9GH/s+X3NH3ueX/OH5g/N +H3veX/OH5o/OS/yh+aPzEn/s/XDNH3tfXPPH9uPxv2Vf8ofmD80fmj80f+zX +P93Piz/2+/+0+UPzh+YPzR+aP/Z5z23+2Oe/3PPjD80fmj80f2j+0PzR9xF/ +7O//2uaP/TzX/KH5Q/OH5g/NH/v539r8se/jmj+63vGH5g/NH5o/NH9o/tj3 ++d7mj32/H23+0Pyh+UPzh+aPXY/PNn/s+lzzh+YPzR+aPzR/7Hpe88eu71eb +P3a9r/lD84fmD80fmj92Pq75Y+flmj92fr7b/LHzdM0fO1/X/KH5Q/PH7t9r +/tD8oflD84fmD80fmj/2PPtp84fmD80fnbf4o/MWf3Te4o/OW/zReYs/NH90 +3uKPzlv8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/xt84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+8V/ +Vy3NH90//r2jNH9o/tD8oflD80f3W/yh+aPnffyh+aP7Mf7Q/NH9GX9o/uh+ +jT80f2j+0Pyh+UPzR/d3/KH5Q/OH5g/NH52X+KP3T/zReYk/eh/FH5o/ej/F +H5o/el/FH5o/Oi/xh+aPzkv8ofmj8xJ/9P6PPzR/aP7Q/NF5iT96H8Yfe35f +88ee59f8oflD88ee99f8ofmj8xJ/aP7ovMQfez9c88feF9f8sf14/GfZh/yh ++UPzh+YPzR/79U/38+KP/f4/bf7Q/KH5Q/OH5o993nObP/b5L/f8+EPzh+YP +zR+aPzR/9H3EH/v7v7b5Yz/PNX9o/tD8oflD88d+/rc2f+z7uOaPrnf8oflD +84fmD80fmj/2fb63+WPf70ebPzR/aP7Q/KH5Y9fjs80fuz7X/KH5Q/OH5g/N +H7ue1/yx6/vV5o9d72v+0Pyh+UPzh+aPnY9r/th5ueaPnZ/vNn/sPF3zx87X +NX9o/tD8sfv3mj80f2j+0Pyh+UPzh+aPPc9+2vyh+UPzR+ct/ui8xR+dt/ij +8xZ/dN7iD80fnbf4o/MWf2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/PH3g9/ +2/yh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+6H7x33FO80f3j//OQZo/NH9o/tD8ofmj+y3+0PzR8z7+0PzR/Rh/ +aP7o/ow/NH90v8Yfmj80f2j+0Pyh+aP7O/7Q/KH5Q/OH5o/OS/zR+yf+6LzE +H72P4g/NH72f4g/NH72v4g/NH52X+EPzR+cl/tD80XmJP3r/xx+aPzR/aP7o +vMQfvQ/jjz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJPzR/dF7ij70frvlj74tr +/th+PP7N7Dv+0Pyh+UPzh+aP/fqn+3nxx37/nzZ/aP7Q/KH5Q/PHPu+5zR/7 +/Jd7fvyh+UPzh+YPzR+aP/o+4o/9/V/b/LGf55o/NH9o/tD8ofljP/9bmz/2 +fVzzR9f7H9/P/9LmD80fmj80f2j+2Pf53uaPfb8fbf7Q/KH5Q/OH5o9dj882 +f+z6XPOH5g/NH5o/NH/sel7zx67vV5s/dr2v+UPzh+YPzR+aP3Y+rvlj5+Wa +P3Z+vtv8sfN0zR87X9f8oflD88fu32v+0Pyh+UPzh+YPzR+aP/Y8+2nzh+YP +zR+dt/ij8xZ/dN7ij85b/NF5iz80f3Te4o/OW/yh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH3s//G3zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+aP7Jf7Q/NH9E39o/tD8oflD84fmj+4390z+ +5I+e9/GH5o/ux/hD80f3Z/yh+aP7Nf7Q/KH5Q/OH5g/NH93f8YfmD80fmj80 +f3Re4o/eP/FH5yX+6H0Uf2j+6P0Uf2j+6H0Vf2j+6LzEH5o/Oi/xh+aPzkv8 +0fs//tD8oflD80fnJf7ofRh/7Pl9zR97nl/zh+YPzR973l/zh+aPzkv8ofmj +8xJ/7P1wzR97X1zzx/bj8T9mn/GH5g/NH5o/NH/s1z/dz4s/9vv/tPlD84fm +D80fmj/2ec9t/tjnv9zz4w/NH5o/NH9o/tD80fcRf+zv/9rmj/081/yh+UPz +h+YPzR/7+d/a/LHv45o/ut7xh+YPzR+aPzR/aP7Y9/ne5o99vx9t/tD8oflD +84fmj12PzzZ/7Ppc84fmD80fmj80f+x6XvPHru9Xmz92va/5Q/OH5g/NH5o/ +dj6u+WPn5Zo/dn6+2/yx83TNHztf1/yh+UPzx+7fa/7Q/KH5Q/OH5g/NH5o/ +9jz7afOH5g/NH523+KPzFn903uKPzlv80XmLPzR/dN7ij85b/KH5Q/OH5g/N +H5o/NH9o/tD8oflD84fmD80fez/8bfOH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5o/sl/tD80f0Tf2j+0Pyh+UPz +h+aP7rf8c5Hmj5738Yfmj+7H+EPzR/dn/KH5o/s1/tD8oflD84fmD80f3d/x +h+YPzR+aPzR/dF7ij94/8UfnJf7ofRR/aP7o/RR/aP7ofRV/aP7ovMQfmj86 +L/GH5o/OS/yh+UPzh+YPzR+dl/ij92H8sef3NX/seX7NH5o/NH/seX/NH5o/ +Oi/xh+aPzkv8sffDNX/sfXHNH9uPx7/IvuIPzR+aPzR/aP7Yr3+6nxd/7Pf/ +afOH5g/NH5o/NH/s857b/LHPf7nnxx+aPzR/aP7Q/KH/ZfzR9xF/7O//2uaP +/TzX/KH5Q/OH5g/NH/v539r8se/jmj+63vGH5g/NH5o/NH9o/tj3+d7mj32/ +H23+0Pyh+UPzh+aPXY/PNn/s+lzzh+YPzR+aPzR/7Hpe88eu71ebP3a9r/lD +84fmD80fmj92Pq75Y+flmj92fr7b/LHzdM0fO1/X/KH5Q/PH7t9r/tD8oflD +84fmD80fmj/2PPtp84fmD80fnbf4o/MWf3Te4o/OW/zReYs/NH903uKPzlv8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/xt84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj+yX+0PzR/RN/ +aP7Q/KH5Q/OH5o/ut/hD80fP+/yp+aP7Mf7Q/NH9GX9o/uh+jT80f2j+0Pyh ++UPzR/d3/KH5Q/OH5g/NH52X+KP3T/zReYk/eh/FH5o/ej/FH5o/el/FH5o/ +Oi/xh+aPzkv8ofmj8xJ/9P6PPzR/aP7Q/NF5iT96H8Yfe35f88ee59f8oflD +88ee99f8ofmj8xJ/aP7ovMQfez9c88feF9f8sf14/L/ZR/yh+UPzh+YPzR/7 +9U/38+KP/f4/bf7Q/KH5Q/OH5o993nObP/b5L/f8+EPzh+YPzR+aPzR/9H3E +H/v7v7b5Yz/PNX9o/tD8oflD88d+/rc2f+z7uOaPrnf8oflD84fmD80fmj/2 +fb63+WPf70ebPzR/aP7Q/KH5Y9fjs80fuz7X/KH5Q/OH5g/NH7ue1/yx6/vV +5o9d72v+0Pyh+UPzh+aPnY9r/th5ueaPnZ/vNn/sPF3zx87XNX9o/tD8sfv3 +mj80f2j+0Pyh+UPzh+aPPc9+2vyh+UPzR+ct/ui8xR+dt/ij8xZ/dN7iD80f +nbf4o/MWf2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/PH3g9/2/yh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+6H6J +PzR/dP/EH5o/NH9o/tD8ofmj+83/DjDNHz3vOTnNH92P8Yfmj+7P+EPzR/dr +/KH5Q/OH5g/NH5o/ur/jD80fmj80f2j+6LzEH71/4o/OS/zR+yj+0PzR+yn+ +0PzR+yr+0PzReYk/NH90XuIPzR+dl/ij93/8oflD84fmj85L/NH7MP7Y8/ua +P/Y8v+YPzR+aP/a8v+YPzR+dl/hD80fnJf7Y++GaP/a+uOaP7cfjX2bf8Ifm +D80fmj80f+zXP93Piz/2+/+0+UPzh+YPzR+aP/Z5z23+2Oe/3PPjD80fmj80 +f2j+0PzR9xF/7O//2uaP/TzX/KH5Q/OH5g/NH/v539r8se/jmj+63vGH5g/N +H5o/NH9o/tj3+d7mj32/H23+0Pyh+UPzh+aPXY/PNn/s+lzzh+YPzR+aPzR/ +7Hpe88eu71ebP3a9r/lD84fmD80fmj92Pq75Y+flmj92fr7b/LHzdM0fO1/X +/KH5Q/PH7t9r/tD8oflD84fmD80fmj/2PPtp84fmD80fnbf4o/MWf3Te4o/O +W/zReYs/NH903uKPzlv8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/xt +84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8ofmj++U//Cd/aP7o/ok/NH9o/tD8oflD80f3W/yh+aPnffyh+aP70b2U +P/mj+zP+0PzR/Rp/aP7Q/KH5Q/OH5o/u7/hD84fmD80fmj86L/FH75/4o/MS +f/Q+ij80f/R+ij80f/S+ij80f3Re4g/NH52X+EPzR+cl/uj9H39o/tD8ofmj +8xJ/9D6MP/b8vuaPPc+v+UPzh+aPPe+v+UPzR+cl/tD80XmJP/Z+uOaPvS+u ++WP78fh3s0/4Q/OH5g/NH5o/9uuf7ufFH/v9f9r8oflD84fmD80f+7znNn/s +81/u+fGH5g/NH5o/NH9o/uj7iD/2939t88d+nmv+0Pyh+UPzh+aP/fxvbf7Y +93HNH13v+EPzh+YPzR+aPzR/7Pt8b/PHvt+PNn9o/tD8oflD88eux2ebP3Z9 +rvlD84fmD80fmj92Pa/5Y9f3q80fu97X/KH5Q/OH5g/NHzsf1/yx83LNHzs/ +323+2Hm65o+dr2v+0Pyh+WP37zV/aP7Q/KH5Q/OH5g/NH3ue/bT5Q/OH5o/O +W/zReYs/Om/xR+ct/ui8xR+aPzpv8UfnLf7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmj70f/rb5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/NH9En9o/uj+iT80f2j+0Pyh+UPzR/db/KH5o+d9 +/KH5o/sx/1yl+aP7M/7Q/NH9Gn9o/tD8oflD84fmj+7v+EPzh+YPzR+aPzov +8Ufvn/ij8xJ/9D6KPzR/9H6KPzR/9L6KPzR/dF7iD80fnZf4Q/NH5yX+6P0f +f2j+0Pyh+aPzEn/0Pow/9vy+5o89z6/5Q/OH5o8976/5Q/NH5yX+0PzReYk/ +9n645o+9L675Y/vx+N+zL/hD84fmD80fmj/265/u58Uf+/1/2vyh+UPzh+YP +zR/7vOc2f+zzX+758YfmD80fmj80f2j+6PuIP/b3f23zx36ea/7Q/KH5Q/OH +5o/9/G9t/tj3cc0fXe/4Q/OH5g/NH5o/NH/s+3xv88e+3482f2j+0Pyh+UPz +x67HZ5s/dn2u+UPzh+YPzR+aP3Y9r/lj1/erzR+73tf8oflD84fmD80fOx/X +/LHzcs0fOz/fbf7Yebrmj52va/7Q/KH5Y/fvNX9o/tD8oflD84fmD80fe579 +tPlD84fmj85b/NF5iz86b/FH5y3+6LzFH5o/Om/xR+ct/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+aPvR/+tvlD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80f0Sf2j+6P6JPzR/aP7Q/KH5Q/NH +91v8ofmj5338ofmj+zH+0PzR/ekez5/80f0af2j+0Pyh+UPzh+aP7u/4Q/OH +5g/NH5o/Oi/xR++f+KPzEn/0Poo/NH/0foo/NH/0voo/NH90XuIPzR+dl/hD +80fnJf7o/R9/aP7Q/KH5o/MSf/Q+jD/2/L7mjz3Pr/lD84fmjz3vr/lD80fn +Jf7Q/NF5iT/2frjmj70vrvlj+/H4z7MP+EPzh+YPzR+aP/brn+7nxR/7/X/a +/KH5Q/OH5g/NH/u85zZ/7PNf7vnxh+YPzR+aPzR/aP7o+4g/9vd/bfPHfp5r +/tD8oflD84fmj/38b23+2PdxzR9d7/hD84fmD80fmj80f+z7fG/zx77fjzZ/ +aP7Q/KH5Q/PHrsdnmz92fa75Q/OH5g/NH5o/dj2v+WPX96vNH7ve1/yh+UPz +h+YPzR87H9f8sfNyzR87P99t/th5uuaPna9r/tD8oflj9+81f2j+0Pyh+UPz +h+YPzR97nv20+UPzh+aPzlv80XmLPzpv8UfnLf7ovMUfmj86b/FH5y3+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o+9H/62+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/RJ/aP7o/ok/NH9o +/tD8oflD80f3W/yh+aPnffyh+aP7Mf7Q/NH9mX/u0vzR/Rp/aP7Q/KH5Q/OH +5o/u7/hD84fmD80fmj86L/FH75/4o/MSf/Q+ij80f/R+ij80f/S+ij80f3Re +4g/NH52X+EPzR+cl/uj9H39o/tD8ofmj8xJ/9D6MP/b8vuaPPc+v+UPzh+aP +Pe+v+UPzR+cl/tD80XmJP/Z+uOaPvS+u+WP78fi3Mvf8oflD84fmD80f+/VP +9/Pij/3+P23+0Pyh+UPzh+aPfd5zmz/2+S/3/PhD84fmD80fmj80f/R9xB/7 ++7+2+WM/zzV/aP7Q/KH5Q/PHfv63Nn/s+7jmj653/KH5Q/OH5g/NH5o/9n2+ +t/lj3+9Hmz80f2j+0Pyh+WPX47PNH7s+1/yh+UPzh+YPzR+7ntf8sev71eaP +Xe9r/tD8oflD84fmj52Pa/7Yebnmj52f7zZ/7Dxd88fO1zV/aP7Q/LH795o/ +NH9o/tD8oflD84fmjz3Pftr8oflD80fnLf7ovMUfnbf4o/MWf3Te4g/NH523 ++KPzFn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzx94Pf9v8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/uh+iT80 +f3T/xB+aPzR/aP7Q/KH5o/st/tD80fM+/tD80f0Yf2j+6P6MPzR/dL/mT80f +mj80f2j+0PzR/R1/aP7Q/KH5Q/NH5yX+6P0Tf3Re4o/eR/GH5o/eT/GH5o/e +V/GH5o/OS/yh+aPzEn9o/ui8xB+9/+MPzR+aPzR/dF7ij96H8cee39f8sef5 +NX9o/tD8sef9NX9o/ui8xB+aPzov8cfeD9f8sffFNX9sPx7/c+acPzR/aP7Q +/KH5Y7/+6X5e/LHf/6fNH5o/NH9o/tD8sc97bvPHPv/lnh9/aP7Q/KH5Q/OH +5o++j/hjf//XNn/s57nmD80fmj80f2j+2M//1uaPfR/X/NH1jj80f2j+0Pyh ++UPzx77P9zZ/7Pv9aPOH5g/NH5o/NH/seny2+WPX55o/NH9o/tD8oflj1/Oa +P3Z9v9r8set9zR+aPzR/aP7Q/LHzcc0fOy/X/LHz893mj52na/7Y+brmD80f +mj92/17zh+YPzR+aPzR/aP7Q/LHn2U+bPzR/aP7ovMUfnbf4o/MWf3Te4o/O +W/yh+aPzFn903uIPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tj74W+bPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR/dL/GH5o/un/hD84fmD80fmj80f3S/xR+aP3rexx+aP7of4w/NH92f8Yfm +j+7X/HOZ5g/NH5o/NH9o/uj+jj80f2j+0Pyh+aPzEn/0/ok/Oi/xR++j+EPz +R++n+EPzR++r+EPzR+cl/tD80XmJPzR/dF7ij97/8YfmD80fmj86L/FH78N/ +9U/+2PP7mj/2PL/mD80fmj/2vL/mD80fnZf4Q/NH5yX+2Pvhmj/2vrjmj+3H +4z/IXPOH5g/NH5o/NH/s1z/dz4s/9vv/tPlD84fmD80fmj/2ec9t/tjnv9zz +4w/NH5o/NH9o/tD80fcRf+zv/9rmj/081/yh+UPzh+YPzR/7+d/a/LHv45o/ +ut7xh+YPzR+aPzR/aP7Y9/ne5o99vx9t/tD8oflD84fmj12PzzZ/7Ppc84fm +D80fmj80f+x6XvPHru9Xmz92va/5Q/OH5g/NH5o/dj6u+WPn5Zo/dn6+2/yx +83TNHztf1/yh+UPzx+7fa/7Q/KH5Q/OH5g/NH5o/9jz7afOH5g/NH523+KPz +Fn903uKPzlv80XmLPzR/dN7ij85b/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fez/8bfOH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5o/sl/tD80f0Tf2j+0Pyh+UPzh+aP7rf4Q/NHz/v4Q/NH +92P8ofmj+zP+0PzR/Rp/aP7Q/KH5Q/OH5o/u7/hD84fmD80fmj86L/FH75/4 +o/MSf/Q+ij80f/R+ij80f/S+ij80f3Re4g/NH52X+EPzR+cl/uj9H39o/tD8 +ofmj8xJ/9D6MP/b8vuaPPc+v+UPzh+aPPe+v+UPzR+cl/tD80XmJP/Z+uOaP +vS+u+WP78fjXMsf8oflD84fmD80f+/VP9/Pij/3+P23+0Pyh+UPzh+aPfd5z +mz/2+S/3/PhD84fmD80fmj80f/R9xB/7+7+2+WM/zzV/aP7Q/KH5Q/PHfv63 +Nn/s+7jmj653/KH5Q/OH5g/NH5o/9n2+t/lj3+9Hmz80f2j+0Pyh+WPX47PN +H7s+1/yh+UPzh+YPzR+7ntf8sev71eaPXe9r/tD8oflD84fmj52Pa/7Yebnm +j52f7zZ/7Dxd88fO1zV/aP7Q/LH795o/NH9o/tD8oflD84fmjz3Pftr8oflD +80fnLf7ovMUfnbf4o/MWf3Te4g/NH523+KPzFn9o/tD8oflD84fmD80fmj80 +f2j+0Pyh+UPzx94Pf9v8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/ +aP7Q/KH5Q/OH5g/NH5o/NH9o/uh+iT80f3T/xB+aPzR/aP7Q/KH5o/st/tD8 +0fM+/tD80f0Yf2j+6P6MPzR/dL/GH5o/NH9o/tD8ofmj+zv+0Pyh+UPzh+aP +zkv80fsn/ui8xB+9j+IPzR+9n+IPzR+9r+IPzR+dl/hD80fnJf7Q/NF5iT96 +/8cfmj80f2j+6LzEH70P4489v6/5Y8/za/7Q/KH5Y8/7a/7Q/NF5iT80f3Re +4o+9H675Y++La/7Yfjz+28wtf2j+0Pyh+UPzx3790/28+GO//0+bPzR/aP7Q +/KH5Y5/33OaPff7LPT/+0Pyh+UPzh+YPzR99H/HH/v6vbf7Yz3PNH5o/NH9o +/tD8sZ//rc0f+z6u+aPrHX9o/tD8oflD84fmj32f723+2Pf70eYPzR+aPzR/ +aP7Y9fhs88euzzV/aP7Q/KH5Q/PHruc1f+z6frX5Y9f7mj80f2j+0Pyh+WPn +45o/dl6u+WPn57vNHztP1/yx83XNH5o/NH/s/r3mD80fmj80f2j+0Pyh+WPP +s582f2j+0PzReYs/Om/xR+ct/ui8xR+dt/hD80fnLf7ovMUfmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/LH3w982f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj+6X+IPzR/dP/GH5g/NH5o/NH9o +/uh+iz80f/S8jz80f3Q/xh+aP7o/4w/NH92v8YfmD80fmj80f2j+6P6OPzR/ +aP7Q/KH5o/MSf/T+iT86L/FH76P4Q/NH76f4Q/NH76v4Q/NH5yX+0PzReYk/ +NH90XuKP3v/xh+YPzR+aPzov8Ufvw/hjz+9r/tjz/Jo/NH9o/tjz/po/NH90 +XuIPzR+dl/hj74dr/tj74po/th+Pf5455Q/NH5o/NH9o/tivf7qfF3/s9/9p +84fmD80fmj80f+zzntv8sc9/uefHH5o/NH9o/tD8ofmj7yP+2N//tc0f+3mu ++UPzh+YPzR+aP/bzv7X5Y9/HNX90veMPzR+aPzR/aP7Q/LHv873NH/t+P9r8 +oflD84fmD80fux6fbf7Y9bnmD80fmj80f2j+2PW85o9d3682f+x6X/OH5g/N +H5o/NH/sfFzzx87LNX/s/Hy3+WPn6Zo/dr6u+UPzh+aP3b/X/KH5Q/OH5g/N +H5o/NH/sefbT5g/NH5o/Om/xR+ct/ui8xR+dt/ij8xZ/aP7ovMUfnbf4Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj/2fvjb5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/NH90v8ofmj+yf+0Pyh ++UPzh+YPzR/db/GH5o+e9/GH5o/ux/hD80f3Z/yh+aP7Nf7Q/KH5Q/OH5g/N +H93f8YfmD80fmj80f3Re4o/eP/FH5yX+6H0Uf2j+6P0Uf2j+6H0Vf2j+6LzE +H5o/Oi/xh+aPzkv80fs//tD8oflD80fnJf7ofRh/7Pl9zR97nl/zh+YPzR97 +3l/zh+aPzkv8ofmj8xJ/7P1wzR97X1zzx/bj8X9kLvlD84fmD80fmj/265/u +58Uf+/1/2vyh+UPzh+YPzR/7vOc2f+zzX+758YfmD80fmj80f2j+6PuIP/b3 +f23zx36ea/7Q/KH5Q/OH5o/9/G9t/tj3cc0fXe/4Q/OH5g/NH5o/NH/s+3xv +88e+3482f2j+0Pyh+UPzx67HZ5s/dn2u+UPzh+YPzR+aP3Y9r/lj1/erzR+7 +3tf8oflD84fmD80fOx/X/LHzcs0fOz/fbf7Yebrmj52va/7Q/KH5Y/fvNX9o +/tD8oflD84fmD80fe579tPlD84fmj85b/NF5iz86b/FH5y3+6LzFH5o/Om/x +R+ct/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aPvR/+tvlD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80f0Sf2j+ +6P6JPzR/aP7Q/KH5Q/NH91v8ofmj5338ofmj+zH+0PzR/Rl/aP7ofo0/NH9o +/tD8oflD80f3d/7U/KH5Q/OH5o/OS/zR+yf+6LzEH72P4g/NH72f4g/NH72v +4g/NH52X+EPzR+cl/tD80XmJP3r/xx+aPzR/aP7ovMQfvQ/jjz2/r/ljz/Nr +/tD8ofljz/tr/tD80XmJPzR/dF7ij70frvlj74tr/th+PP6LzCF/aP7Q/KH5 +Q/PHfv3T/bz4Y7//T5s/NH9o/tD8ofljn/fc5o99/ss9P/7Q/KH5Q/OH5g/N +H30f8cf+/q9t/tjPc80fmj80f2j+0Pyxn/+tzR/7Pq75o+sdf2j+0Pyh+UPz +h+aPfZ/vbf7Y9/vR5g/NH5o/NH9o/tj1+Gzzx67PNX9o/tD8oflD88eu5zV/ +7Pp+tflj1/uaPzR/aP7Q/KH5Y+fjmj92Xq75Y+fnu80fO0/X/LHzdc0fmj80 +f+z+veYPzR+aPzR/aP7Q/KH5Y8+znzZ/aP7Q/NF5iz86b/FH5y3+6LzFH523 ++EPzR+ct/ui8xR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8sffD3zZ/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +P7pf4g/NH90/8YfmD80fmj80f2j+6H6LPzR/9LyPPzR/dD/GH5o/uj/jD80f +3a/xh+YPzR+aPzR/aP7o/s4/92n+0Pyh+UPzR+cl/uj9E390XuKP3kfxh+aP +3k/xh+aP3lfxh+aPzkv8ofmj8xJ/aP7ovMQfvf/jD80fmj80f3Re4o/eh/HH +nt/X/LHn+TV/aP7Q/LHn/TV/aP7ovMQfmj86L/HH3g/X/LH3xTV/bD8e/yxz +xx+aPzR/aP7Q/LFf/3Q/L/7Y7//T5g/NH5o/NH9o/tjnPbf5Y5//cs+PPzR/ +aP7Q/KH5Q/NH30f8sb//a5s/9vNc84fmD80fmj80f+znf2vzx76Pa/7oescf +mj80f2j+0Pyh+WPf53ubP/b9frT5Q/OH5g/NH5o/dj0+2/yx63PNH5o/NH9o +/tD8set5zR+7vl9t/tj1vuYPzR+aPzR/aP7Y+bjmj52Xa/7Y+flu88fO0zV/ +7Hxd84fmD80fu3+v+UPzh+YPzR+aPzR/aP7Y8+ynzR+aPzR/dN7ij85b/NF5 +iz86b/FH5y3+0PzReYs/Om/xh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH/s +/fC3zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80fmj+63+IPzR897+MPzR/dj/GH +5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/NH5o/NH9o/ui8xB+9f+KPzkv8 +0fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7iD80fnZf4o/d//KH5Q/OH5o/O +S/zR+zD+2PP7mj/2PL/mD80fmj/2vL/mD80fnZf4Q/NH5yX+2Pvhmj/2vrjm +j+3H43/NnPGH5g/NH5o/NH/s1z/dz4s/9vv/tPlD84fmD80fmj/2ec9t/tjn +v9zz4w/NH5o/NH9o/tD80fcRf+zv/9rmj/081/yh+UPzh+YPzR/7+d/a/LHv +45o/ut7xh+YPzR+aPzR/aP7Y9/ne5o99vx9t/tD8oflD84fmj12PzzZ/7Ppc +84fmD80fmj80f+x6XvPHru9Xmz92va/5Q/OH5g/NH5o/dj6u+WPn5Zo/dn6+ +2/yx83TNHztf1/yh+UPzx+7fa/7Q/KH5Q/OH5g/NH5o/9jz7afOH5g/NH523 ++KPzFn903uKPzlv80XmLPzR/dN7ij85b/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fez/8bfOH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5o/sl/tD80f3zD3/8i2v+0Pyh+UPzh+aP7rf4Q/NH +z/v4Q/NH92P8ofmj+zP+0PzR/Rp/aP7Q/KH5Q/OH5o/u7/hD84fmD80fmj86 +L/FH75/4o/MSf/Q+ij80f/R+ij80f/S+ij80f3Re4g/NH52X+EPzR+fln/+T +P3r/xx+aPzR/aP7ovMQfvQ/jjz2/r/ljz/Nr/tD8ofljz/tr/tD80XmJPzR/ +dF7ij70frvlj74tr/th+PP6jzBV/aP7Q/KH5Q/PHfv3T/bz4Y7//T5s/NH9o +/tD8ofljn/fc5o99/ss9P/7Q/KH5Q/OH5g/NH30f8cf+/q9t/tjPc80fmj80 +f2j+0Pyxn/+tzR/7Pq75o+sdf2j+0Pyh+UPzh+aPfZ/vbf7Y9/vR5g/NH5o/ +NH9o/tj1+Gzzx67PNX9o/tD8oflD88eu5zV/7Pp+tflj1/uaPzR/aP7Q/KH5 +Y+fjmj92Xq75Y+fnu80fO0/X/LHzdc0fmj80f+z+veYPzR+aPzR/aP7Q/KH5 +Y8+znzZ/aP7Q/NF5iz86b/FH5y3+6LzFH523+EPzR+ct/ui8xR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8sffD3zZ/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP7pf4g/NH90/8YfmD80fmj80 +f2j+6H6LPzR/9LyPPzR/dD/GH5o/uj/jD80f3a/xh+YPzR+aPzR/aP7o/o4/ +NH9o/tD8ofmj8xJ/9P6JPzov8Ufvo/hD80fvp/hD80fvq/hD80fnJf7Q/NF5 +iT80f3Re4o/e//GH5g/NH5o/Oi/xR+/D+GPP72v+2PP8mj80f2j+2PP+mj80 +f3Re4g/NH52X+GPvh2v+2Pvimj+2H49/PXPEH5o/NH9o/tD8sV//dD8v/tjv +/9PmD80fmj80f2j+2Oc9t/ljn/9yz48/NH9o/tD8oflD80ffR/yxv/9rmz/2 +81zzh+YPzR+aPzR/7Od/a/PHvo9r/uh6xx+aPzR/aP7Q/KH5Y9/ne5s/9v1+ +tPlD84fmD80fmj92PT7b/LHrc80fmj80f2j+0Pyx63nNH7u+X23+2PW+5g/N +H5o/NH9o/tj5uOaPnZdr/tj5+W7zx87TNX/sfF3zh+YPzR+7f6/5Q/OH5g/N +H5o/NH9o/tjz7KfNH5o/NH903uKPzlv80XmLPzpv8UfnLf7Q/NF5iz86b/GH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f+z98LfNH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5o/ul/hD80f3T/yh ++UPzh+YPzR+aP7rf4g/NHz3v4w/NH92P8Yfmj+7P+EPzR/frP/zx31zzh+YP +zR+aPzR/dH/HH5o/NH9o/tD80XnJn71/4o/OS/zR+yj+0PzR+yn+0PzR+yr+ +0PzReYk/NH90XuIPzR+dl/ij93/8oflD84fmj85L/NH7MP7Y8/uaP/Y8v+YP +zR+aP/a8v+YPzR+dl/hD80fnJf7Y++GaP/a+uOaP7cfjv8vc8IfmD80fmj80 +f+zXP93Piz/2+/+0+UPzh+YPzR+aP/Z5z23+2Oe/3PPjD80fmj80f2j+0PzR +9xF/7O//2uaP/TzX/KH5Q/OH5g/NH/v539r8se/jmj+63vGH5g/NH5o/NH9o +/tj3+d7mj32/H23+0Pyh+UPzh+aPXY/PNn/s+lzzh+YPzR+aPzR/7Hpe88eu +71ebP3a9r/lD84fmD80fmj92Pq75Y+flmj92fr7b/LHzdM0fO1/X/KH5Q/PH +7t9r/tD8oflD84fmD80fmj/2PPtp84fmD80fnbf4o/MWf3Te4o/OW/zReYs/ +NH903uKPzlv8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P/xt84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8ofmj ++yX+0PzR/RN/aP7Q/KH5Q/OH5o/ut/hD80fP+/hD80f3Y/yh+aP7M/7Q/NH9 +Gn9o/tD8oflD84fmj+7v+EPzh+YPzR+aPzov+efI3j/uVfMSf/Q+ij80f/R+ +ij80f/S+ij80f3Re4g/NH52X+EPzR+cl/uj9H39o/tD8ofmj8xJ/9D6MP/b8 +vuaPPc+v+UPzh+aPPe+v+UPzR+cl/tD80XmJP/Z+uOaPvS+u+WP78fj3Mif8 +oflD84fmD/2PP/b/98d+/dP9vPhjv/9Pmz80f2j+0Pyh+WOf99zmj33+yz0/ +/tD8oflD84fmD80ffR/xx/7+r23+2M9zzR+aPzR/aP7Q/LGf/63NH/s+rvmj +6x1/aP7Q/KH5Q/OH5o99n+9t/tj3+9HmD80fmj80f2j+2PX4bPPHrs81f2j+ +0Pyh+UPzx67nNX/s+n61+WPX+5o/NH9o/tD8oflj5+OaP3Zervlj5+e7zR87 +T9f8sfN1zR+aPzR/7P695g/NH5o/NH9o/tD8ofljz7OfNn9o/tD80XmLPzpv +8UfnLf7ovMUfnbf4Q/NH5y3+6LzFH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyx98PfNn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/ul/iD80f3T/xh+YPzR+aPzR/aP7ofos/NH/0vI8/NH90 +P8Yfmj+6P+MPzR/dr/GH5g/NH5o/NH9o/uj+jj80f2j+0Pyh+aPzEn/0/sk/ +V3Ze8mfvo388Pq/5o/dT/KH5o/dV/KH5o/MSf2j+6LzEH5o/Oi/xR+//+EPz +h+YPzR+dl/ij92H8sef3NX/seX7NH5o/NH/seX/NH5o/Oi/xh+aPzkv8sffD +NX/sfXHNH9uPx/+ZueAPzR+aPzR/aP7Yr3+6nxd/7Pf/afOH5g/NH5o/NH/s +857b/LHPf7nnxx+aPzR/aP7Q/KH5o+8j/tjf/7XNH/t5rvlD84fmD80fmj/2 +87+1+WPfxzV/dL3jD80fmj80f2j+0Pyx7/O9zR/7fj/a/KH5Q/OH5g/NH7se +n23+2PW55g/NH5o/NH9o/tj1vOaPXd+vNn/sel/zh+YPzR+aPzR/7Hxc88fO +yzV/7Px8t/lj5+maP3a+rvlD84fmj92/1/yh+UPzh+YPzR+aPzR/7Hn20+YP +zR+aPzpv8UfnLf7ovMUfnbf4o/MWf2j+6LzFH523+EPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/9n742+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f2j+0Pyh+UPzR/dL/KH5o/sn/tD8oflD84fmD80f3W/x +h+aPnvfxh+aP7sf4Q/NH92f8ofmj+zX+0Pyh+UPzh+YPzR/d3/GH5g/NH5o/ +NH90XuKP3j/xR+cl/5zZ+yh/av7o/RR/aP7ofRV/aP7ovMQfmj86L/GH5o/O +S/zR+z/+0Pyh+UPzR+cl/uh9GH/s+X3NH3ueX/OH5g/NH3veX/OH5o/OS/yh ++aPzEn/s/XDNH3tfXPPH9uPxX2YO+EPzh+YPzR+aP/brn+7nxR/7/X/a/KH5 +Q/OH5g/NH/u85zZ/7PNf7vnxh+YPzR+aPzR/aP7o+4g/9vd/bfPHfp5r/tD8 +oflD84fmj/38b23+2PdxzR9d7/hD84fmD80fmj80f+z7fG/zx77fjzZ/aP7Q +/KH5Q/PHrsdnmz92fa75Q/OH5g/NH5o/dj2v+WPX96vNH7ve1/yh+UPzh+YP +zR87H9f8sfNyzR87P99t/th5uuaPna9r/tD8oflj9+81f2j+0Pyh+UPzh+YP +zR97nv20+UPzh+aPzlv80XmLPzpv8UfnLf7ovMUfmj86b/FH5y3+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH54//r6v5Vu2/XtA4HmR2wsxJnByztnEpmB+y0c1Va +WdrNVDIbYCmpsgUhNsIEXKRQEjAQ8GkeRLIFFnY24qzzOC/OTPPwgSTf/L73 +dd/3e8x6/2j+0Pyx98N3mz80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80f3S/xh+aP7p/4Q/OH5g/NH5o/NH90v8Uf +mj963scfmj+6H+MPzR/dn/GH5o/u1/hD84fmD80fmj80f3R/xx+aPzR/aP7Q +/NF5iT96/8QfnZf4o/dR/rpT80fvp/hD80fvq/hD80fnJf7Q/NF5iT80f3Re +4o/e//GH5g/NH5o/Oi/xR+/D+GPP72v+2PP8mj80f2j+2PP+mj80f3Re4g/N +H52X+GPvh2v+2Pvimj+2Hx7+cdadPzR/aP7Q/KH5Y7/+8X5e/LHf/9TmD80f +mj80f2j+2Oc9t/ljn/9yz48/NH9o/tD8oflD80ffR/yxv/9rmz/281zzh+YP +zR+aPzR/7Od/a/PHvo9r/uh6xx+aPzR/aP7Q/KH5Y9/ne5s/9v1+tPlD84fm +D80fmj92PT7b/LHrc80fmj80f2j+0Pyx63nNH7u+X23+2PW+5g/NH5o/NH9o +/tj5uOaPnZdr/tj5+dXmj52na/7Y+brmD80fmj92/17zh+YPzR+aPzR/aP7Q +/LHn2e82f2j+0PzReYs/Om/xR+ct/ui8xR+dt/hD80fnLf7ovMUfmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/LH3w3ebPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR/dL/GH5o/un/hD84fmD80f +mj80f3S/xR+aP3rexx+aP7of4w/NH92f8Yfmj+7X+EPzh+YPzR+aPzR/dH/H +H5o/NH9o/tD80XmJP3r/xB+dl/ij91H8ofmj95N7OH/yR++r+EPzR+cl/tD8 +0XmJPzR/dF7ij97/8YfmD80fmj86L/FH78P4Y8/va/7Y8/yaPzR/aP7Y8/6a +PzR/dF7iD80fnZf4Y++Ha/7Y++KaP7YfHv571pk/NH9o/tD8ofljv/7xfl78 +sd//1OYPzR+aPzR/aP7Y5z23+WOf/3LPjz80f2j+0Pyh+UPzR99H/LG//2ub +P/bzXPOH5g/NH5o/NH/s539r88e+j2v+6HrHH5o/NH9o/tD8oflj3+d7mz/2 +/X60+UPzh+YPzR+aP3Y9Ptv8setzzR+aPzR/aP7Q/LHrec0fu75fbf7Y9b7m +D80fmj80f2j+2Pm45o+dl2v+2Pn51eaPnadr/tj5uuYPzR+aP3b/XvOH5g/N +H5o/NH9o/tD8sefZ7zZ/aP7Q/NF5iz86b/FH5y3+6LzFH523+EPzR+ct/ui8 +xR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8sffDd5s/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH90v8Yfmj+6f ++EPzh+YPzR+aPzR/dL/FH5o/et7HH5o/uh/jD80f3Z/xh+aP7tf4Q/OH5g/N +H5o/NH90f8cfmj80f2j+0PzReYk/ev/EH52X+KP3Ufyh+aP3U/66VPNH76vv +P/lD80fnJf7Q/NF5iT80f3Re4o/e//GH5g/NH5o/Oi/xR+/D+GPP72v+2PP8 +mj80f2j+2PP+mj80f3Re4g/NH52X///Hv7zmD80fe19c88f2w8O/zbryh+YP +zR+aPzR/7Nc/3s+LP/b7n9r8oflD84fmD80f+7znNn/s81/u+fGH5g/NH5o/ +NH9o/uj7iD/2939t88d+nmv+0Pyh+UPzh+aP/fxvbf7Y93HNH13v+EPzh+YP +zR+aPzR/7Pt8b/PHvt+PNn9o/tD8oflD88eux2ebP3Z9rvlD84fmD80fmj92 +Pa/5Y9f3q80fu97X/KH5Q/OH5g/NHzsf1/yx83LNHzs/v9r8sfN0zR87X9f8 +oflD88fu32v+0Pyh+UPzh+YPzR+aP/Y8+93mD80fmj86b/FH5y3+6LzFH523 ++KPzFn9o/ui8xR+dt/hD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aP/Z++G7z +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+aP7Jf7Q/NH9E39o/tD8oflD84fmj+63+EPzR8/7+EPzR/dj/KH5o/sz +/tD80f0af2j+0Pyh+UPzh+aP7u/4Q/OH5g/NH5o/Oi/xR++f+KPzEn/0Poo/ +NH/0foo/NH/0vsqfmj86L/GH5o/OS/yh+aPzEn/0/o8/NH9o/tD80XmJP3of +xh97fl/zx57n1/yh+UPzx5731/yh+aPzEn9o/ui8xB97P1zzx94X1/yx/fDw +97OO/KH5Q/OH5g/NH/v1j/fz4o/9/qc2f2j+0Pyh+UPzxz7vuc0f+/yXe378 +oflD84fmD80fmj/6PuKP/f1f2/yxn+eaPzR/aP7Q/KH5Yz//W5s/9n1c80fX +O/7Q/KH5Q/OH5g/NH/s+39v8se/3o80fmj80f2j+0Pyx6/HZ5o9dn2v+0Pyh ++UPzh+aPXc9r/tj1/Wrzx673NX9o/tD8oflD88fOxzV/7Lxc88fOz682f+w8 +XfPHztc1f2j+0Pyx+/eaPzR/aP7Q/KH5Q/OH5o89z363+UPzh+aPzlv80XmL +Pzpv8UfnLf7ovMUfmj86b/FH5y3+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5o+9H77b/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7ofok/NH90/8Qfmj80f2j+0Pyh+aP7Lf7Q/NHzPv7Q/NH9 +GH9o/uj+jD80f3S/xh+aPzR/aP7Q/KH5o/s7/tD8oflD84fmj85L/NH7J/7o +vMQfvY/iD80fvZ/iD80fva/y162aPzov8Yfmj85L/KH5o/MSf/T+jz80f2j+ +0PzReYk/eh/GH3t+X/PHnufX/KH5Q/PHnvfX/KH5o/MSf2j+6LzEH3s/XPPH +3hfX/LH98PCcdeMPzR+aPzR/aP7Yr3+8nxd/7Pc/tflD84fmD80fmj/2ec9t +/tjnv9zz4w/NH5o/NH9o/tD80fcRf+zv/9rmj/081/yh+UPzh+YPzR/7+d/a +/LHv45o/ut7xh+YPzR+aPzR/aP7Y9/ne5o99vx9t/tD8oflD84fmj12PzzZ/ +7Ppc84fmD80fmj80f+x6XvPHru9Xmz92va/5Q/OH5g/NH5o/dj6u+WPn5Zo/ +dn5+tflj5+maP3a+rvlD84fmj92/1/yh+UPzh+YPzR+aPzR/7Hn2u80fmj80 +f3Te4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8YfmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/7P3w3eYPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f2j+0Pyh+UPzR/dL/KH5o/sn/tD8oflD84fmD80f3W/xh+aP +nvfxh+aP7sf4Q/NH92f8ofmj+zX+0Pyh+UPzh+YPzR/d3/GH5g/NH5o/NH90 +XuKP3j/xR+cl/uh9FH9o/uj9FH9o/uh9FX9o/ui85E/NH52X+EPzR+cl/uj9 +H39o/tD8ofmj8xJ/9D6MP/b8vuaPPc+v+UPzh+aPPe+v+UPzR+cl/tD80XmJ +P/Z+uOaPvS+u+WP74eGfZ534Q/OH5g/NH5o/9usf7+fFH/v9T23+0Pyh+UPz +h+aPfd5zmz/2+S/3/PhD84fmD80fmj80f/R9xB/7+7+2+WM/zzV/aP7Q/KH5 +Q/PHfv63Nn/s+7jmj653/KH5Q/OH5g/NH5o/9n2+t/lj3+9Hmz80f2j+0Pyh ++WPX47PNH7s+1/yh+UPzh+YPzR+7ntf8sev71eaPXe9r/tD8oflD84fmj52P +a/7Yebnmj52fX23+2Hm65o+dr2v+0Pyh+WP37zV/aP7Q/KH5Q/OH5g/NH3ue +/W7zh+YPzR+dt/ij8xZ/dN7ij85b/NF5iz80f3Te4o/OW/yh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH3s/fLf5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/NH9En9o/uj+iT80f2j+0Pyh+UPz +R/db/KH5o+d9/KH5o/sx/tD80f0Zf2j+6H6NPzR/aP7Q/KH5Q/NH93f8oflD +84fmD80fnZf4o/dP/NF5iT96H8Ufmj96P8Ufmj96X8Ufmj86L/nrWs0fnZf4 +Q/NH5yX+6P0ff2j+0Pyh+aPzEn/0Pow/9vy+5o89z6/5Q/OH5o8976/5Q/NH +5yX+0PzReYk/9n645o+9L675Y/vh4f9kXfhD84fmD80fmj/26x/v58Uf+/1P +bf7Q/KH5Q/OH5o993nObP/b5L/f8+EPzh+YPzR+aPzR/9H3EH/v7v7b5Yz/P +NX9o/tD8oflD88d+/rc2f+z7uOaPrnf8oflD84fmD80fmj/2fb63+WPf70eb +PzR/aP7Q/KH5Y9fjs80fuz7X/KH5Q/OH5g/NH7ue1/yx6/vV5o9d72v+0Pyh ++UPzh+aPnY9r/th5ueaPnZ9fbf7Yebrmj52va/7Q/KH5Y/fvNX9o/tD8oflD +84fmD80fe579bvOH5g/NH523+KPzFn903uKPzlv80XmLPzR/dN7ij85b/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fez98t/lD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD80f0Sf2j+6P6JPzR/ +aP7Q/KH5Q/NH91v8ofmj5338ofmj+zH+0PzR/Rl/aP7ofo0/NH9o/tD8oflD +80f3d/yh+UPzh+YPzR+dl/ij90/80XmJP3ofxR+aP3o/xR+aP3pfxR+aPzov +8Yfmj85L/tT80XmJP3r/xx+aPzR/aP7ovMQfvQ/jjz2/r/ljz/Nr/tD8oflj +z/tr/tD80XmJPzR/dF7ij70frvlj74tr/th+ePgPWQf+0Pyh+UPzh+aP/frH ++3nxx37/U5s/NH9o/tD8ofljn/fc5o99/ss9P/7Q/KH5Q/OH5g/NH30f8cf+ +/q9t/tjPc80fmj80f2j+0Pyxn/+tzR/7Pq75o+sdf2j+0Pyh+UPzh+aPfZ/v +bf7Y9/vR5g/NH5o/NH9o/tj1+Gzzx67PNX9o/tD8oflD88eu5zV/7Pp+tflj +1/uaPzR/aP7Q/KH5Y+fjmj92Xq75Y+fnV5s/dp6u+WPn65o/NH9o/tj9e80f +mj80f2j+0Pyh+UPzx55nv9v8oflD80fnLf7ovMUfnbf4o/MWf3Te4g/NH523 ++KPzFn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzx94P323+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f3S/xB+a +P7p/4g/NH5o/NH9o/tD80f0Wf2j+6Hkff2j+6H6MPzR/dH/GH5o/ul/jD80f +mj80f2j+0PzR/R1/aP7Q/KH5Q/NH5yX+6P0Tf3Re4o/eR/GH5o/eT/GH5o/e +V/GH5o/OS/yh+aPzkr/u1fzReYk/ev/HH5o/NH9o/ui8xB+9D+OPPb+v+WPP +82v+0Pyh+WPP+2v+0PzReYk/NH90XuKPvR+u+WPvi2v+2H54+Cd57/yh+UPz +h+YPzR/79Y/38+KP/f6nNn9o/tD8oflD88c+77nNH/v8l3t+/KH5Q/OH5g/N +H5o/+j7ij/39X9v8sZ/nmj80f2j+0Pyh+WM//1ubP/Z9XPNH1zv+0Pyh+UPz +h+YPzR/7Pt/b/LHv96PNH5o/NH9o/tD8sevx2eaPXZ9r/tD8oflD84fmj13P +a/7Y9f1q88eu9zV/aP7Q/KH5Q/PHzsc1f+y8XPPHzs+vNn/sPF3zx87XNX9o +/tD8sfv3mj80f2j+0Pyh+UPzh+aPPc9+t/lD84fmj85b/NF5iz86b/FH5y3+ +6LzFH5o/Om/xR+ct/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+aPvR++2/yh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80 +f2j+6H6JPzR/dP/EH5o/NH9o/tD8ofmj+y3+0PzR8z7+0PzR/Rh/aP7o/ow/ +NH90v8Yfmj80f2j+0Pyh+aP7O/7Q/KH5Q/OH5o/OS/zR+yf+6LzEH72P4g/N +H72f4g/NH72v4g/NH52X+EPzR+cl/tD80XnJn73/4w/NH5o/NH90XuKP3ofx +x57f1/yx5/k1f2j+0Pyx5/01f2j+6LzEH5o/Oi/xx94P1/yx98U1f2w/PPyP +vGf+0Pyh+UPzh+aP/frH+3nxx37/U5s/NH9o/tD8ofljn/fc5o99/ss9P/7Q +/KH5Q/OH5g/NH30f8cf+/q9t/tjPc80fmj80f2j+0Pyxn/+tzR/7Pq75o+sd +f2j+0Pyh+UPzh+aPfZ/vbf7Y9/vR5g/NH5o/NH9o/tj1+Gzzx67PNX9o/tD8 +oflD88eu5zV/7Pp+tflj1/uaPzR/aP7Q/KH5Y+fjmj92Xq75Y+fnV5s/dp6u ++WPn65o/NH9o/tj9e80fmj80f2j+0Pyh+UPzx55nv9v8oflD80fnLf7ovMUf +nbf4o/MWf3Te4g/NH523+KPzFn9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +x94P323+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj80f3S/xB+aP7p/4g/NH5o/NH9o/tD80f0Wf2j+6Hkff2j+6H6M +PzR/dH/GH5o/ul/jD80fmj80f2j+0PzR/R1/aP7Q/KH5Q/NH5yX+6P3z13/y +R+cl/uh9FH9o/uj95L9rmuaP3lfxh+aPzot/L0aaPzov/r7RNH90Xvz/VdL8 +oflD84fmj85L/NH7MP7Y8/uaP/Y8v+YPzR+aP/a8v+YPzR+dl/hD80fnJf7Y +++GaP/a+uOaP7YeHf5f3yh+aPzR/aP7Qfx1/7Nc/3s+LP/b7n9r8oflD84fm +D80f+7znNn/s81/u+fGH5g/NH5o/NH9o/uj7iD/2939t88d+nmv+0Pyh+UPz +h+aP/fxvbf7Y93HNH13v+EPzh+YPzR+aPzR/7Pt8b/PHvt+PNn9o/tD8oflD +88eux2ebP3Z9rvlD84fmD80fmj92Pa/5Y9f3q80fu97X/KH5Q/OH5g/NHzsf +1/yx83LNHzs/v9r8sfN0zR87X9f8oflD88fu32v+0Pyh+UPzh+YPzR+aP/Y8 ++93mD80fmj86b/FH5y3+6LzFH523+KPzFn9o/ui8xR+dt/hD84fmD80fmj80 +f2j+0Pyh+UPzh+YPzR+aP/Z++G7zh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+aP7Jf7Q/NH9E39o/tD8oflD84fm +j+63+EPzR8/7+EPzR/dj/KH5o/sz/tD80f0af2j+0Pyh+UPzh+aP7u/4Q/OH +5g/NH5o/Oi/xR++f+KPzEn/0Poo/NH/0foo/NH/0voo/NH90XuIPzR+dl/hD +80fnJf7o/Z+/Ttb8oflD80fnJf7ofRh/7Pl9zR97nl/zh+YPzR973l/zh+aP +zkv8ofmj8xJ/7P1wzR97X1zzx/bDwz/Ie+QPzR+aPzR/aP7Yr3+8nxd/7Pc/ +tflD84fmD80fmj/2ec9t/tjnv9zz4w/NH5o/NH9o/tD80fcRf+zv/9rmj/08 +1/yh+UPzh+YPzR/7+d/a/LHv45o/ut7xh+YPzR+aPzR/aP7Y9/ne5o99vx9t +/tD8oflD84fmj12PzzZ/7Ppc84fmD80fmj80f+x6XvPHru9Xmz92va/5Q/OH +5g/NH5o/dj6u+WPn5Zo/dn5+tflj5+maP3a+rvlD84fmj92/1/yh+UPzh+YP +zR+aPzR/7Hn2u80fmj80f3Te4o/OW/zReYs/Om/xR+ct/tD80XmLPzpv8Yfm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/7P3w3eYPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzR/dL/KH5o/sn/tD8 +oflD84fmD80f3W/xh+aPnvfxh+aP7sf4Q/NH92f8ofmj+zX+0Pyh+UPzh+YP +zR/d3/GH5g/NH5o/NH90XuKP3j/xR+cl/uh9FH9o/uj9FH9o/uh9FX9o/ui8 +xB+aPzov8Yfmj85L/NH7P/7Q/KH5Q/NH5yX+6H0Yf+z5fc0fe55f84fmD80f +e95f84fmj85L/KH5o/MSf+z9cM0fe19c88f2w8N/znvjD80fmj80f2j+2K9/ +vJ8Xf+z3P7X5Q/OH5g/NH5o/9nnPbf7Y57/c8+MPzR+aPzR/aP7Q/NH3EX/s +7//a5o/9PNf8oflD84fmD80f+/nf2vyx7+OaP7re8YfmD80fmj80f2j+2Pf5 +3uaPfb8fbf7Q/KH5Q/OH5o9dj882f+z6XPOH5g/NH5o/NH/sel7zx67vV5s/ +dr2v+UPzh+YPzR+aP3Y+rvlj5+WaP3Z+frX5Y+fpmj92vq75Q/OH5o/dv9f8 +oflD84fmD80fmj80f+x59rvNH5o/NH903uKPzlv80XmLPzpv8UfnLf7Q/NF5 +iz86b/GH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f+z98N3mD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f3S/yh ++aP7J/7Q/KH5Q/OH5g/NH91v8Yfmj5738Yfmj+7H+EPzR/dn/KH5o/s1/tD8 +oflD84fmD80f3d/xh+YPzR+aPzR/dF7ij94/8UfnJf7ofRR/aP7o/RR/aP7o +fRV/aP7ovMQfmj86L/GH5o/OS/zR+z/+0Pyh+UPzR+cl/uh9GH/s+X3NH3ue +X/OH5g/NH3veX/OH5o/OS/yh+aPzEn/s/XDNH3tfXPPH9sPDv8h74g/NH5o/ +NH9o/tivf7yfF3/s9z+1+UPzh+YPzR+aP/Z5z23+2Oe/3PPjD80fmj80f2j+ +0PzR9xF/7O//2uaP/TzX/KH5Q/OH5g/NH/v539r8se/jmj+63vGH5g/NH5o/ +NH9o/tj3+d7mj32/H23+0Pyh+UPzh+aPXY/PNn/s+lzzh+YPzR+aPzR/7Hpe +88eu71ebP3a9r/lD84fmD80fmj92Pq75Y+flmj92fn61+WPn6Zo/dr6u+UPz +h+aP3b/X/KH5Q/OH5g/NH5o/NH/sefa7zR+aPzR/dN7ij85b/NF5iz86b/FH +5y3+0PzReYs/Om/xh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH/s/fDd5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/NH90v8ofmj+yf+0Pyh+UPzh+YPzR/db/GH5o+e9/GH5o/ux/hD80f3Z/yh ++aP7Nf7Q/KH5Q/OH5g/NH93f8YfmD80fmj80f3Re4o/eP/FH5yX+6H0Uf2j+ +6P0Uf2j+6H0Vf2j+6LzEH5o/Oi/xh+aPzkv80fs//tD8oflD80fnJX/2Pow/ +9vy+5o89z6/5Q/OH5o8976/5Q/NH5yX+0PzReYk/9n645o+9L675Y/vh4f/m +vfCH5g/NH5o/NH/s1z/ez4s/9vuf2vyh+UPzh+YPzR/7vOc2f+zzX+758Yfm +D80fmj80f2j+6PuIP/b3f23zx36ea/7Q/KH5Q/OH5o/9/G9t/tj3cc0fXe/4 +Q/OH5g/NH5o/NH/s+3xv88e+3482f2j+0Pyh+UPzx67HZ5s/dn2u+UPzh+YP +zR+aP3Y9r/lj1/erzR+73tf8oflD84fmD80fOx/X/LHzcs0fOz+/2vyx83TN +Hztf1/yh+UPzx+7fa/7Q/KH5Q/OH5g/NH5o/9jz73eYPzR+aPzpv8UfnLf7o +vMUfnbf4o/MWf2j+6LzFH523+EPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/ +9n74bvOH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5o/sl/tD80f0Tf2j+0Pyh+UPzh+aP7rf4Q/NHz/v4Q/NH92P8 +ofmj+zP+0PzR/Rp/aP7Q/KH5Q/OH5o/u7/hD84fmD80fmj86L/FH75/4o/MS +f/Q+ij80f/R+ij80f/S+ij80f3Re4g/NH52X+EPzR+cl/uj9H39o/tD8ofmj +85K/zu59mD/3/L7mjz3Pr/lD84fmjz3vr/lD80fnJf7Q/NF5iT/2frjmj70v +rvlj++HhP+Y98IfmD80fmj80f+zXP97Piz/2+5/a/KH5Q/OH5g/NH/u85zZ/ +7PNf7vnxh+YPzR+aPzR/aP7o+4g/9vd/bfPHfp5r/tD8oflD84fmj/38b23+ +2PdxzR9d7/hD84fmD80fmj80f+z7fG/zx77fjzZ/aP7Q/KH5Q/PHrsdnmz92 +fa75Q/OH5g/NH5o/dj2v+WPX96vNH7ve1/yh+UPzh+YPzR87H9f8sfNyzR87 +P7/a/LHzdM0fO1/X/KH5Q/PH7t9r/tD8oflD84fmD80fmj/2PPvd5g/NH5o/ +Om/xR+ct/ui8xR+dt/ij8xZ/aP7ovMUfnbf4Q/OH5g/NH5o/NH9o/tD8oflD +84fmD80fmj/2fvhu84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8ofmj+yX+0PzR/RN/aP7Q/KH5Q/OH5o/ut/hD80fP ++/hD80f3Y/yh+aP7M/7Q/NH9Gn9o/tD8oflD84fmj+7v+EPzh+YPzR+aPzov +8Ufvn/ij8xJ/9D6KPzR/9H6KPzR/9L6KPzR/dF7iD80fnZf4Q/NH5yX+6P0f +f2j+0Pyh+aPzEn/0Psxfd+/5fc0fe55f84fmD80fe95f84fmj85L/KH5o/MS +f+z9cM0fe19c88f2w8M/zefmD80fmj80f2j+2K9/vJ8Xf+z3P7X5Q/OH5g/N +H5o/9nnPbf7Y57/c8+MPzR+aPzR/aP7Q/NH38Xfv/W9//P6vbf7Yz3PNH5o/ +NH9o/tD8sZ//rc0f+z6u+aPrHX9o/tD8oflD84fmj32f723+2Pf70eYPzR+a +PzR/aP7Y9fhs88euzzV/aP7Q/KH5Q/PHruc1f+z6frX5Y9f7mj80f2j+0Pyh ++WPn45o/dl6u+WPn51ebP3aervlj5+uaPzR/aP7Y/XvNH5o/NH9o/tD8oflD +88eeZ7/b/KH5Q/NH5y3+6LzFH523+KPzFn903uIPzR+dt/ij8xZ/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD88feD99t/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH90v8Qfmj+6f+IPzR+aPzR/ +aP7Q/NH9Fn9o/uh5H39o/uh+jD80f3R/xh+aP7pf4w/NH5o/NH9o/tD80f0d +f2j+0Pyh+UPzR+cl/uj9E390XuKP3kfxh+aP3k/xh+aP3lfxh+aPzkv8ofmj +8xJ/aP7ovMQfvf/jD80fmj80f3Re4o/eh/HHnt/X/LHn+TV/aP7Q/LHn/TV/ +aP7ovMQfmj86L/HH3g/X/LH3xTV/bD88/M98Tv7Q/KH5Q/OH5o/9+sf7efHH +fv9Tmz80f2j+0Pyh+WOf99zmj33+yz0//tD8oflD84fmD80ffR/xx/7+r23+ +2M9zzR+aPzR/aP7Q/LGf/63NH/s+rvmj6x1/aP7Q/KH5Q/OH5o99n+9t/tj3 ++9HmD80fmj80f2j+2PX4bPPHrs81f2j+0Pyh+UPzx67nNX/s+n61+WPX+5o/ +NH9o/tD8oflj5+OaP3Zervlj5+dXmz92nq75Y+frmj80f2j+2P17zR+aPzR/ +aP7Q/KH5Q/PHnme/2/yh+UPzR+ct/ui8xR+dt/ij8xZ/dN7iD80fnbf4o/MW +f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/PH3g/fbf7Q/KH5Q/OH5g/NH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/dL/EH5o/un/i +D80fmj80f2j+0PzR/RZ/aP7oeR9/aP7ofow/NH90f8Yfmj+6X+MPzR+aPzR/ +aP7Q/NH9/Q//5A/NH5o/NH9o/ui8xB+9f+KPzkv80fso/tD80fsp/tD80fsq +/tD80XmJPzR/dF7iD80fnZf4o/d//KH5Q/OH5o/OS/zR+zD+2PP7mj/2PL/m +D80fmj/2vL/mD80fnZf4Q/NH5yX+2Pvhmj/2vrjmj+2Hh7/K5+IPzR+aPzR/ +aP7Yr3+8nxd/7Pc/tflD84fmD80fmj/2ec9t/tjnv9zz4w/NH5o/NH9o/tD8 +0fcRf+zv/9rmj/081/yh+UPzh+YPzR/7+d/a/LHv45o/ut7xh+YPzR+aPzR/ +aP7Y9/ne5o99vx9t/tD8oflD84fmj12PzzZ/7Ppc84fmD80fmj80f+x6XvPH +ru9Xmz92va/5Q/OH5g/NH5o/dj6u+WPn5Zo/dn5+tflj5+maP3a+rvlD84fm +j92/1/yh+UPzh+YPzR+aPzR/7Hn2u80fmj80f3Te4o/OW/zReYs/Om/xR+ct +/tD80XmLPzpv8YfmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/7P3w3eYPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +R/dL/KH5o/sn/tD8oflD84fmD80f3W/xh+aPnvfxh+aP7sf4Q/NH92f8ofmj ++zX+0Pyh+UPzh+YPzR/d3/GH5g/NH5o/NH90XuKP3j/xR+cl/uh9FH9o/uj9 +FH9o/uh9FX9o/ui8xB+aPzov8Yfmj85L/NH7P/7Q/KH5Q/NH5yX+6H0Yf+z5 +fc0fe55f84fmD80fe95f84fmj85L/KH5o/MSf+z9cM0fe19c88f2w8M/yufg +D80fmj80f2j+2K9/vJ8Xf+z3P7X5Q/OH5g/NH5o/9nnPbf7Y57/c8+MPzR+a +PzR/aP7Q/NH3EX/s7//a5o/9PNf8oflD84fmD80f+/nf2vyx7+OaP7re8Yfm +D80fmj80f2j+2Pf53uaPfb8fbf7Q/KH5Q/OH5o9dj882f+z6XPOH5g/NH5o/ +NH/sel7zx67vV5s/dr2v+UPzh+YPzR+aP3Y+rvlj5+WaP3Z+frX5Y+fpmj92 +vq75Q/OH5o/dv9f8oflD84fmD80fmj80f+x59rvNH5o/NH903uKPzlv80XmL +Pzpv8UfnLf7Q/NF5iz86b/GH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f+z9 +8N3mD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o +/tD8oflD80f3S/yh+aP7J/7Q/KH5Q/OH5g/NH91v8Yfmj5738Yfmj+7H+EPz +R/dn/KH5o/s1/tD8oflD84fmD80f3d/xh+YPzR+aPzR/dF7ij94/8UfnJf7o +fRR/aP7o/RR/aP7ofRV/aP7ovMQfmj86L/GH5o/OS/zR+z/+0Pyh+UPzR+cl +/uh9GH/s+X3NH3ueX/OH5g/NH3veX/OH5o/OS/yh+aPzEn/s/XDNH3tfXPPH +9sPDf8nvzR+aPzR/aP7Q/LFf/3g/L/7Y739q84fmD80fmj80f+zzntv8sc9/ +uefHH5o/NH9o/tD8ofmj7yP+2N//tc0f+3mu+UPzh+YPzR+aP/bzv7X5Y9/H +NX90veMPzR+aPzR/aP7Q/LHv873NH/t+P9r8oflD84fmD80fux6fbf7Y9bnm +D80fmj80f2j+2PW85o9d3682f+x6X/OH5g/NH5o/NH/sfFzzx87LNX/s/Pxq +88fO0zV/7Hxd84fmD80fu3+v+UPzh+YPzR+aPzR/aP7Y8+x3mz80f2j+6LzF +H523+KPzFn903uKPzlv8ofmj8xZ/dN7iD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Y++G7zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f2j+0Pyh+UPzh+aP7pf4Q/NH90/8oflD84fmD80fmj+63+IPzR897+MP +zR/dj/GH5o/uz/hD80f3a/yh+UPzh+YPzR+aP7q/4w/NH5o/NH9o/ui8xB+9 +f+KPzkv80fso/tD80fsp/tD80fsq/tD80XmJPzR/dF7iD80fnZf4o/d//KH5 +Q/OH5o/OS/zR+zD+2PP7mj/2PL/mD80fmj/2vL/mD80fnZf4Q/NH5yX+2Pvh +mj/2vrjmj+2Hh3+V35M/NH9o/tD8ofljv/7xfl78sd//1OYPzR+aPzR/aP7Y +5z23+WOf/3LPjz80f2j+0Pyh+UPzR99H/LG//2ubP/bzXPOH5g/NH5o/NH/s +539r88e+j2v+6HrHH5o/NH9o/tD8oflj3+d7mz/2/X60+UPzh+YPzR+aP3Y9 +Ptv8setzzR+aPzR/aP7Q/LHrec0fu75fbf7Y9b7mD80fmj80f2j+2Pm45o+d +l2v+2Pn51eaPnadr/tj5uuYPzR+aP3b/XvOH5g/NH5o/NH9o/tD8sefZ7zZ/ +aP7Q/NF5iz86b/FH5y3+6LzFH523+EPzR+ct/ui8xR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8sffDd5s/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH90v8Yfmj+6f+EPzh+YPzR+aPzR/dL/F +H5o/et7HH5o/uh/jD80f3Z/xh+aP7tf4Q/OH5g/NH5o/NH90f8cfmj80f2j+ +0PzReYk/ev/EH52X+KP3Ufyh+aP3U/yh+aP3Vfyh+aPzEn9o/ui8xB+aPzov +8Ufv//hD84fmD80fnZf4o/dh/LHn9zV/7Hl+zR+aPzR/7Hl/zR+aPzov8Yfm +j85L/LH3wzV/7H1xzR/bDw9/L78Xf2j+0Pyh+UPzx3794/28+GO//6nNH5o/ +NH9o/tD8sc97bvPHPv/lnv935+F/avOH5g/NH5o/NH/0fcQf+/u/tvljP881 +f2j+0Pyh+UPzx37+tzZ/7Pu45o+ud/yh+UPzh+YPzR+aP/Z9vrf5Y9/vR5s/ +NH9o/tD8oflj1+OzzR+7Ptf8oflD84fmD80fu57X/LHr+9Xmj13va/7Q/KH5 +Q/OH5o+dj2v+2Hm55o+dn19t/th5uuaPna9r/tD8oflj9+81f2j+0Pyh+UPz +h+YPzR97nv1u84fmD80fnbf4o/MWf3Te4o/OW/zReYs/NH903uKPzlv8oflD +84fmD80fmj80f2j+0Pyh+UPzh+YPzR97P3y3+UPzh+YPzR+aPzR/aP7Q/KH5 +Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0PzR/RJ/aP7o/ok/NH9o +/tD8oflD80f3W/yh+aPnffyh+aP7Mf7Q/NH9GX9o/uh+jT80f2j+0Pyh+UPz +R/d3/KH5Q/OH5g/NH52X+KP3T/zReYk/eh/FH5o/ej/FH5o/el/FH5o/Oi/x +h+aPzkv8ofmj8xJ/9P6PPzR/aP7Q/NF5iT96H8Yfe35f88ee59f8oflD88ee +99f8ofmj8xJ/aP7ovMQfez9c88feF9f8sf3w4PfgD80fmj80f2j+2K9/vJ8X +f+z3P7X5Q/OH5g/NH5o/9nnPbf7Y57/c8+MPzR+aPzR/aP7ov1c5/uj7iD/2 +939t88d+nmv+qFvjD80fmj80f+znf2vzx76Pa/7oescfneP4Q/OH5g/NH5o/ +9n2+t/lj3+/H/X2M8YfmD80fmj80f+x6fLb5Y9fnmj80f2j+0Pyh+WPX85o/ +dn2/2vyx633NH5o/NH9o/tD8sfNxzR87L9f8sfPz6/534Phj5+maP3a+rvlD +84fmj92/1/yh+UPzh+YPzR+aPzR/7Hn2u80fmj80f3Te4o/OW/zReYs/Om/x +R+ct/tD80XmLPzpv8YfmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/7P3w3eYP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzR/dL/KH5o/sn/tD8oflD84fmD80f3W/xh+aPnvfxh+aP7sf4Q/NH92f8 +ofmj+zX+0Pyh+UPzh+YPzR/d3/GH5g/NH5o/NH90XuKP3j/xR+cl/uh9FH9o +/uj9FH9o/uh9FX9o/ui8xB+aPzov8Yfmj85L/NH7P/7Q/KH5Q/NH5+XHf2ec +P/b8vv6LH/9e3P/1499TxR/6z3/8cxx//PH3Vf3hx//O8Wc/3PHzT/7Q/NF5 +iT/2frjmj70vrvlj++Hhn+W5/KH5Q/OH5g/NH/v1j/fz4o/9/qc2f2j+0Pyh ++UPzxz7vuc0f+/yXe378oflD84fmD80fmj/6PuKP/f1f2/yxn+eaPzR/aP7Q +/KH5Yz//W5s/9n1c80fXO/7Q/KH5Q/OH5g/NH/s+39v8se/3o80fmj80f2j+ +0Pyx6/HZ5o9dn2v+0Pyh+UPzh+aPXc9r/tj1/Wrzx673NX9o/tD8oflD88fO +xzV/7Lxc88fOz682f+w8XfPHztc1f2j+0Pyx+/eaPzR/aP7Q/KH5Q/OH5o89 +z363+UPzh+aPzlv80XmLPzpv8UfnLf7ovMUfmj86b/FH5y3+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5o+9H77b/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7ofok/NH90/8Qfmj80f2j+0Pyh ++aP7Lf7Q/NHzPv7Q/NH9GH9o/uj+jD80f3S/xh+aPzR/aP7Q/KH5o/s7/tD8 +oflD84fmj85L/NH7J/7ovMQfvY/iD80fvZ/iD80fva/iD80fnZf4Q/NH5yX+ +0PzReYk/ev/HH5o/NH9o/ui8xB+9D+OPPb+v+WPP82v+0Pyh+WPP+2v+0P3/ +65kX92z+5I/OS/yx98M1f+x9cc0f2w8PnsMfmj80f2j+0PyxX/94Py/+2O9/ +avOH5g/NH5o/NH/s857b/LHPf7nnxx+aPzR/aP7Q/NH/bmT80fcRf+zv/9rm +j/081/zRvy8v/tD8oflD88d+/rc2f+z7uOaPrnf80f+dLv7Q/KH5Q/OH5o99 +n+9t/tj3+3H/nob4Q/OH5g/NH5o/dj0+2/yx63PNH5o/NH9o/tD8set5zR+7 +vl9t/tj1vuYPzR+aPzR/aP7Y+bjmj52Xa/7Y+fl1/5xb/LHzdM0fO1/X/KH5 +Q/PH7t9r/tD8oflD84fmD80fmj/2PPvd5g/NH5o/Om/xR+ct/ui8xR+dt/ij +8xZ/aP7ovMUfnbf4Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj/2fvhu84fm +D80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +ofmj+yX+0PzR/RN/aP7Q/KH5Q/OH5o/ut/hD80fP+/hD80f3Y/yh+aP7M/7Q +/NH9+rd/8ofmD80fmj80f2j+6P6OPzR/aP7Q/KH5o/Pyd+fjNX90XuKP3kfx +h+aP3k/xh+aP3lfxh+aPzkv8ofmj8xJ/aP7ovMQfvf/jD80fmj80f3Re4o/e +h/HHnt/Xf/Hjv/vHH70vf/x7cf/8x7+n6o8//rnxP/z45zj+7MffV/Xzf+f4 +yx/u+Pknf+z9cM0fe19c88f2w8O/z8/lD80fmj80f2j+2K9/vJ8Xf+z3P7X5 +Q/OH5g/NH5o/9nnPbf7Y57/c8+MPzR+aPzR/aP7Q/NH3EX/s7//a5o/9PNf8 +oflD84fmD80f+/nf2vyx7+OaP7re8YfmD80fmj80f2j+2Pf53uaPfb8fbf7Q +/KH5Q/OH5o9dj882f+z6XPOH5g/NH5o/NH/sel7zx67vV5s/dr2v+UPzh+YP +zR+aP3Y+rvlj5+WaP3Z+frX5Y+fpmj92vq75Q/OH5o/dv9f8oflD84fmD80f +mj80f+x59rvNH5o/NH903uKPzlv80XmLPzpv8UfnLf7Q/NF5iz86b/GH5g/N +H5o/NH9o/tD8oflD84fmD80fmj80f+z98N3mD80fmj80f2j+0Pyh+UPzh+YP +zR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD80f3S/yh+aP7J/7Q/KH5 +Q/OH5g/NH91v8Yfmj5738Yfmj+7H+EPzR/dn/KH5o/s1/tD8oflD84fmD80f +3d/xh+YPzR+aPzR/dF7ij94/8UfnJf7ofRR/aP7o/RR/aP7offX///ira/7o +vMQfmj86L/GH5o/OS/zR+z/+0Pyh+UPzR+cl/uh9GH/s+X3NH3ueX/OH5g/N +H3veX/OH7t/HbF78fQXp/v/5zIt7d+6Ha/7Y++KaP7YfHvwc/tD8oflD84fm +j/36x/t58cd+/1ObPzR/aP7Q/KH5Y5/33OaPff7LPT/+0Pyh+UPzh+YPzR99 +H/HH/v6vbf7Yz3PNH/33DsQfdWj8oflD88d+/rc2f+z7uOaPrnf80X8OKf7o +XMYfmj80f2j+2Pf53uaPfb8f9++hjj80f2j+0Pyh+WPX47PNH7s+1/yh+UPz +h+YPzR+7ntf8sev71eaPXe9r/tD8oflD84fmj52Pa/7Yebnmj52fX/fv8Ys/ +dp6u+WPn65o/NH9o/tj9e80fmj80f2j+0Pyh+UPzx55nv9v8oflD80fnLf7o +vMUfnbf4o/MWf3Te4g/NH523+KPzFn9o/tD8oflD84fmD80fmj80f2j+0Pyh ++UPzx94P323+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8 +oflD84fmD80fmj80f3S/xB+aP7p/4g/NH5o/NH9o/tD80f0Wf2j+6Hkff2j+ +6H6MPzR/dH/GH5o/ul/jD80fmj80f2j+0PzR/R1/aP7Q/KH5Q/NH5yX+6P0T +f3Re4o/eR/GH5o/eT/GH5o/eV/GH5o/OS/yh+aPzEn9o/ui8xB+aPzR/aP7Q +/NF5iT96H8Yfe35f88ee59f8oflD//HHvxf3Dz/+PVV/9uOfG//5z3H85Y+/ +r+rn/87xNz/c8fNP/tj74po/th8e/mu+jz80f2j+0Pyh+WO//vF+Xvyx3//U +5g/NH5o/NH9o/tjnPbf5Y5//cs+PPzR/aP7Q/KH5Q/NH30f8sb//a5s/9vNc +84fmD80fmj80f+znf2vzx76Pa/7oescfmj80f2j+0Pyh+WPf53ubP/b9frT5 +Q/OH5g/NH5o/dj0+2/yx63PNH5o/NH9o/tD8set5zR+7vl9t/tj1vuYPzR+a +PzR/aP7Y+bjmj52Xa/7Y+fnV5o+dp2v+2Pm65g/NH5o/dv9e84fmD80fmj80 +f2j+0Pyx59nvNn9o/tD80XmLPzpv8UfnLf7ovMUfnbf4Q/NH5y3+6LzFH5o/ +NH9o/tD8oflD84fmD80fmj80f2j+0Pyx98N3mz80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f3S/xh+aP7p/4Q/OH +5g/NH5o/NH90v8Ufmj963scfmj+6H+MPzR/dn/GH5o/u1/hD84fmD80fmj80 +f3R/xx+aPzR/aP7Q/NF5iT96/8QfnZf4o/dR/KH5o/dT/KH5o/dV/KH5o/MS +f2j+6LzEH5o/Oi/xR+//+EPzh+YPzR+dl/ij92H8sef3NX/seX7NH5o/NH/s +eX/NH5o/Oi/xh+aPzkv8sffDNX/sfXHNH9sPD/86X8cfmj80f2j+0PyxX/94 +Py/+2O9/avOH5g/NH5o/NH/s857b/LHPf7nnxx+aPzR/aP7Q/KH5o+8j/tjf +/7XNH/t5rvlD84fmD80fmj/287+1+WPfxzV/dL3jD80fmj80f2j+0Pyx7/O9 +zR/7fj/a/KH5Q/OH5g/NH7sen23+2PW55g/NH5o/NH9o/tj1vOaPXd+vNn/s +el/zh+YPzR+aPzR/7Hxc88fOyzV/7Pz8avPHztM1f+x8XfOH5g/NH7t/r/lD +84fmD80fmj80f2j+2PPsd5s/NH9o/ui8xR+dt/ij8xZ/dN7ij85b/KH5o/MW +f3Te4g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+2Pvhu80fmj80f2j+0Pyh ++UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmj+6X+EPz +R/dP/KH5Q/OH5g/NH5o/ut/iD80fPe/jD80f3Y/xh+aP7s/4Q/NH92v8oflD +84fmD80fmj+6v+MPzR+aPzR/aP7ovMQfvX/ij85L/NH7KP7Q/NH7Kf7Q/NH7 +Kv7Q/NF5iT80f3Re4g/NH52X+KP3f/yh+UPzh+aPzkv80fsw/tjz+5o/9jy/ +5g/NH5o/9ry/5g/NH52X+EPzR+cl/tj74Zo/9r645o9t//e/6w/NH5o/NH9o +/tivf7yfF3/s9z+1+UPzh+YPzR+aP/Z5z23+2Oe/3PPjD80fmj80f2j+0PzR +9xF/7O//2uaP/TzX/KH5Q/OH5g/NH/v539r8se/jmj+63vGH5g/NH5o/NH9o +/tj3+d7mj32/H23+0Pyh+UPzh+aPXY/PNn/s+lzzh+YPzR+aPzR/7Hpe88eu +71ebP3a9r/lD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH +5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+aPzR/aP7Q +/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPzh+YPzR+a +PzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+0Pyh+UPz +h+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80fmj80f2j+ +0Pyh+UPzh+YPzR+aPzR/aP7Q/KH5Q/OH5g/NH5o/NH9o/tD8oflD84fmD80f +mj80f+g//vjvjP/hx3/3789+/Hc4fv57cf/yx7+n6uc/N/43P/45jp9/X9V/ ++/G/c/ybH+74+ef/A22DgGU= + "]], {}}, + Axes->{False, False}, + AxesLabel->{None, None}, + AxesOrigin->{Automatic, Automatic}, + DisplayFunction->Identity, + Frame->True, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + ImagePadding->All, + ImageSize->{759., Automatic}, + Method->{ + "GridLinesInFront" -> True, "ScalingFunctions" -> None, + "TransparentPolygonMesh" -> True, "AxesInFront" -> True}, + PlotRange->{All, All}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.02]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843297056651496*^9, 3.843297127776539*^9}, { + 3.843297184282814*^9, 3.84329724441464*^9}, 3.843297304799564*^9, { + 3.843297367596957*^9, 3.843297372568696*^9}, 3.843297463443678*^9, + 3.8432974990409603`*^9, 3.843297649201621*^9, {3.84329853373332*^9, + 3.843298540787211*^9}, 3.843298676395097*^9, 3.8432987369295473`*^9, { + 3.8432988781939793`*^9, 3.843298887412931*^9}, {3.843299047691544*^9, + 3.843299075084392*^9}, 3.843299107422085*^9}, + CellLabel->"Out[180]=",ImageCache->GraphicsData["CompressedBitmap", "\<\ +eJzsvQd0VdX2vp0fehWVawNpJiFA6L2G3gKh914EFBCwY6N3UIogIgpSRBAR +AQtKUem9pgelKyodCV7rHeMbY35zrrL33GuvfXISbPf+r2OsCElOErLPedez +53rnO9s++OyQQY8/+OzQAQ/GNH/6waeGDB3wTEzCk0/ju276v4iIXL9GRPzf +lzERN+OfISLC/ubfERE5fEdfenMzPPzwwxG35aO3+N8W9f9J+P+zuP6N66NB +gwaVwxXRxX3AzfoB+kG5xJ9u7o2fdxr/moir9uDBg7vY3y0/O/cW9kVs37Fj +qEffyh9tfkpE17Aeav1ndnX/mTd5fi8B/0b2+eH9Hpuy33/Ezbn79+/fEd/9 +/+Gn0J/V7+Zh+lfgf13w/xXwQ3fhehvXBVz3DhgwoLP90Td5H10HP/Qbfqgd +/n84vi8T/0w/Yyf5aUEfpg8+7Py6bgn6GdqLD9+V1VcJ9YNEdA7/awT9GBFd +wvpNBn2L8B4d9M3j5cXsTm/+ETNkyJCIf9w8YcIE/cRso75iRG7x15voK/+K +f29LX7at+6Cb9YP0A26Rv/pk/OMY9SPQf+vw7wvx723ch95ED3U+T/7YN+nP +k1/F8r3bh/76N4X+cOfQH7Z9Q/mKvMn5hYhfeugvY3vIrZYvra5Bv+DvUBr/ +3go/NRav2Hr88xlcdz7++ONdLA/5h3wIvcQfZz/UMvycVc5LJ/DDWXzD9qEf +fVPoD3cO69FB3zvEFQj6iiEeEvRdsrwY/6CX0jF83xu43sJVhF7Dtu+krgR9 +zjom9vrp0Sn0h0N9tw6hH3pT6A93DufR9m/cLfgXGvTlgq+B/Vt4tpebuuOn +PIofEg/9h/uw40rmaP2DPtbF8vlKhEg5aQejx43F/3t3kcAP3xziu3UI/dCb +Qn+4cziPtn/jroG/lsAvZ/vNhPpNei/AanzXT5aHETDotZ5dAM/nS7UTyHEK +/34EH1dr4MCBXezvDvXVO4Z6iO/dXe3vvjnEN+hq+fFvCfXVw//NqF/o7wG9 +vncUEu8Q347efEVv/k9+qKD8MYG9JiPyWN53t+V994T5vnAf+3t/3v9+lr// +z/JX/cziOf5/8l3/ew3872f5K3+W/70G/rN+b//7Wf57fuasXgPNLe/ra3lf +vz/hfQn/RT+ry6in/4LPVMpWGd/0VysC+us328Xfb+oQwf7L6nlyh3gbT08x +yJ07N1Qu1QyqlmgO1UokQPXYBKgZ2wzicNUu3hTqFI+HusXioX6xJtCgaBNo +WLQxNIppBE2KNIL4Ig2haXRDSIhqAM0j60OL++tDy8L1oHWhurjqQJtCtaBt +wThoV7AmtC9YHVc16FCgCnQsUBk6FaiEqwJ0zl8euuQvi6sMdM1fCroWKImr +BHQrGIurOHQrVAy6FyqKqwiuaOheOApXJK77cRWC7vcXhB6RuKILQI8iuIoW +gJ7FcZXAVbIA9CqNqwyucvmhV/n80LsCrkr3Qe/KuKrgqpoPelfLF5ELelXP +h7+nnjXyQY+auOLofd1r5Yu4GbrVzgdd6+SDLrTq5ssFnevli6gBnerng471 +80LHBnmhQ8O80J5Wo7zQrtG90LbxvdAGV+sm90LLJnmhBa7muBJwNcMV3yQf +NMHVqMl90DD+PmiAqz6uurjqxOeH2rjicNXEVQNXtfgCUBVXZVyV4gtCRVzl +cZXDVQZX6fhCUCq+MJSIvx9XJK5oiI0vgisGYpsWheJNi+EqDsWaxuIqgask +FG1aCldpKNqsNMQ0KwNFmpXFf3KRZuUi7oboZuVxVYAosSpCJK77m1XCVRkK +J9CqAoVwFUyoiqsaFMCVP6E63CdWjVz4pia9icNf6X0JtfBjtWnh18+fUAd/ +pfkT6uKqJ1aBhPq58E0DetMQP79AQiNcjWlF0PuaiPfF4+fSaiq+RjN6kxBx +K75tjt+gBVstIR+uvAmt8GF5E1rj23wJreG+Zm1o0YObtsHHFYhvAwXVKtSE +Vlso1LgtFG7cFj+ncKO2Ef+A+xu1hfsbtpOrQTuIbNAOPxZZvx2+qdcOv3Bk +vfYQWVcs+kCd9vi+qDrtIaq2WPi+qFrt8U1c+4hbIKomvrNmB4jEFVWjA0TT +qo4fLlK9Pf5GYqq1h6JqFauK7y5Wlb5F8SrtIFYu/FuJyvi9S1ZqmwtKVWyL +X7N0xTZQuoJcZWiVbwNly7fOBWXLtcYPlyvXGsqVlat82VZQvgyu0q1yQYXS +LSNyQ4VSLaFiqRZQsaRclUq0gMqoA1XESshFghBxG1RHWaiBclBTLZKGWigN +tcWKJ4nAfymKBP4r6impqF9UyEUu0gv8uRvFSNVoHNMIPxW1A787qUd8tFSQ +ZrRQRUhJEiKFmuQiOcGL0LKwFBVarYSw1MUvgfKC3611odooMnrVykVqg+9u +i3rTzln4ZETxoTfV8GMdSIMKVlU6VCUXiRH+MJ0cSaqoFkqTkCchUblQn8rR +m7L4JaRU0SpNKxfpFv4buxYsCT2KR0OvigXwB0RdySWE5WboVS0fiYteuUhj +SGlqKqWpSUpDenMzdI/LR5qjVy6SHnx3VyVASoRykQrhV+hSLx9JkZCjm0iO +8K0WJFz4VVGW8FeohUmLU7vG9+LHUKLw86VI5YVWTejzUarwaeMVq3zQFBcK +Vi5SLPxdNSbdivfqVj1Xu3KReEVEO/JFqzqTsCqOjBUQMlZByVhZR8oKopQV +gpIeOYsKlLTiQtIcWctFuhZxu0fZYpqVJXUjbUNVK8fVLRdJW0QeV9yaueJW +SIhbgMDlUwJHb2rhL1IqXB2hbyROdelNPXpTX+hXA1I3oXCkaY3wskiNa6KW +0DfxyKZM4G42BK4lfeOWEXeQvpG6OStfgtA3yE+raRsoQEvqG37Rgk3a4Ddk +GkcKh4s0BdUNvw3p2/3125G6eRQO1S2KVh2hZnVIzWqTXKGwka6p1SEXSRup +nytsEVLZbjGVjYSNZC0XaVoukjT80UpWbke6BqVoVWxLwoaPR1nDb6VEjTSN +Vi6Ss4hSTNBakp551KxSSVfJqqJ8CbJhMsYlTBMOl66GjHQaG7TTTBFPAhEP +o55WLvngj46SFHGXop84rUQuBaECdfSQUEUlN0RD5YTQkK6UjmjsUFG3gjYq +iglBRriIjKL8ZNSrlCKjspKKenEqQiLSkqU1inRJUFBth4KgEyqPQCCNP0pd +tKK0NHCHZKMhwxyOONUZ3mi0IT24K++dgmz/GRbRgiDaW3PfBlPafgfTE67C +S82uwMvxl2Buk4vwWuPzsKDhd7CowbfwZr2zsKzOV7Ci1ml4t+ZJWF39OLxf +9Rh8VOkL+KRCOmwsmwqflUqGLcUTYXvMIdgVtR/2RO2CfZFb4UDkZ3Aocj0c +ifwQkiLfg5TIFZAe+SYcjXwDvoh6FY5FzYYTUdPhZPRkOF1kHJwpNhK+KvEs +fF3mKThb/lH4pvJg+LbaQ/BdzQfgXK2ecL5uF7jQoD1caNQKLsY3g0vNG8Pl +tg3hSud6cLVXXfj+wTqQOaQWXH8iDn54tgb8a1R1+Gl8Vfh5amX4eUZF+OXl +8vDrvLLw2xul4LclJeC35cXg13di4JdVReCnNUXgXx9Eww/roiDzk0j4fuP9 +cPXTwnB5cyG4uLUgnN+eH77bdR98sycfnN2XF746cA+cPnQ3nDxyFxxP+icc +S7kDvki7DTLSc0Nqxq2QdPRWOIRrH65dR3PDdlybj94GmzJug09wfZhxO6zN +uANW4VqRkQfewrU4PQ8sSP8nzMM1J/1OeAnXNFxT0u6CCbjGpN0Nw1PvhmdS +74EncT2Ca1DKvfBgSl7ol1IA+qTcD71TikCvlFjomVwGeiRXgO7JVaBbcnXo +mhwHXZLrQOfk+tApuRF0TG4CHZKaQfukFtAuqRW0TWoLrZPaQ6ukTtAyqQs0 +T+wGCYk9oFliL4hP7ANNEvtBo8QHoUHiAKifOAjqJg6G2olDIe7Io1DjyONQ +9cgTUPnIU1DpyDNQ8chz+P/hUPnwKKhyaAxUPTQOqh2cCNUPTobqB16AGgem +Qc39M3HNwvUyxO2bi2se1Nr7Oq4FuBZCrT1LcC2F2ruX4Xob10qovWsVrtVQ +a+daXB9ArR3rcH2CawPEbd+E63OouW0Lrm1QY9sOqL51F649UG3Lfqi65SBU +//wQ1PzsMNTadATqbEiEeuuToMEnydBwXSo0/igN4j9Ig6bvp0OzNRnQ/L2j +0GLVF9By5ZfQasUxaP32cWiz7AS0fesktHvzFLRbfBraLzoDHd74Cjos+Bo6 +vn4WOr72DXR69VvoNPc76DTnHHR++Tx0nnUBusy8CF1mXIIu0y5DlxeuQJep +V6HrZFwTv4euE65B13GZ0G3sdeg+5jr0HHUdeo28Dn1GXIe+w69Dv+evw4PP +XoeHnrkOA56+DgOHXYeHn7oOg5+8DkMevw6PPHYdHn30Ojz2yHV4fMh1eGLw +dXjy4eswbOB1eHrAdXjmoevw7IOZ8Gz/THi+byYMfyCTDo1G9M6Ekb0yYVSP +TBjdPRPGdMuEsV0yYVxnXB0zYXyHTJjQLhMmts2ESa0zYXLLTJjS4hpMbX4N +Xkz4XkjGTJSMl5pehtm45ijpmNf4ArzW6DzMb3ROSMhClJDF9b+BJSgjS3G9 +VfdrWI5y8nbtM/AOSsrKuFNCVt6rcUJIy9pqx1BevoQPq3wJH1X+AtZVPIoy +kwHry6fDhnJpsKlMGnxaGiWnZAp8XiIZNhdPgq1FE2FbzBHYHn0YdkSTBB2A +3VH7cO0RUrQ3cgfK0TbYj5K0P3KzkKWDkZtQmjbA4chPUJ7WCYlKjHwfZWoN +JKNUJUe+i3L1jpCs1MjlkBb5lpCu9MjFkBG5UEjY0aj5KGOvwZcoZV9GvYJy +9jKuWXA86iVcM1DapuF6AeVtCpyKnoRrIpyOHi+lLmYMfFXqebjcuzP8PLsS +/LasOPz77WLw24qi8BtK0q/vkizFwM/vFYGfV6M8rY2GH9+PlhL1UZSQqesf +RwqpurbhfilXmwrDFZKszwrB5c8LwaUtBYV0XdhWQMjXuR1Swr7drWRsb174 +ej9J2b1w5qCUs1OHpaSdSLzTkbUvU28X0nYUpS0dpS3t6C2Qgosk7jCug0rm +9uDaqaRuC0rc5xlS7jag1H2MiyTvfVyrcb2LsvcOruUoeUvTpfQtRNmbr+Tv +FVyzcc1MQwnENRXlbxKu8SiBo3GNwPUcSuEwXE8oKXwY10Moh/1T8kFfjxwW +h54pJVESyypJrIySWA0lsQauWiiLdT2y2DGpKUpjgkca2whp7IjS2BlaJHWF +5kndUBp7QFNHHvuiPPZHeXwI5XEg1Et8GOqgRNY6MhRqCol8DKqhRFYREvk0 +SuSzuJ6HSodHokyORpkcizI5HqodmoQyOQWXlMkaB2bgkjJZc/8rKJOv4mIy +uXcxyuSbuN5CiVyO6x1c70KtXe/hWoMy+T6uD3E5UhkRsReV8lOpltu3oFIG +qOVmqZa1US3rbkyE+kotG61LgSYfpkq1XItquVqp5btfQst3jqFaHofWy0+g +Wp6EtktPQdslp1Etz0D7hVIpO8z3KmVnpZRdtFJOR6V8USpl1ymokpOUSo4n +lcx0VLI3U8n+z0mVHIAqOfBpv0IKdRyK6jhEquNTg7Q6SmV8rp9QRq8soiSO +QUkcqyWxPUoiyuFEksNWmTAV5fDF5q4UujJ4AWVQSuAbiqKWoAQurfc1LKvr +St+7NU8J2VujiIokb10lKXdS6oisUmBzLEpcMaKrw7Az+qCQtj1Ru4Ws7Y/c +oihrI0rZx4q0SMJWCelKi1yGkrVEEddrSqZmC3k6idJEsiTkqOgo+Cr2eZSk +Z5C+npT0VWkIfFtlIHxbvT8SWB84V7uHILDzgsBaw8UmzeES3oxdao0E1qE+ +XO1RF671RwIbLAnsX8/VgB/HVIOfJleBn6cr+nq9DPy2uAT8G8nrl5UxDnWR +lJGEXfmssJAskqpvd+eDr/ehNBFloRwdS84DR1GGiK6OoNTsV3KzBddGlJmP +UFbWKFkhmlqopGQ2ysd0XJNRPsbiGi5kQ0rGQFxl65bobyVnYYy93eDlie3P +wQu4CUpmviyY+VW84q/jFaervVhd6eV16CqfglW4udEV/kBdXdrI6MrS5kUb +l9yw9sIecTU348a0UWxISZFr8Qq+K65gRuQi+CLydbx6c/DKzcQrNxWv3Hh5 +1Uo8p3j5MbxiyMtVkZdr9GW83CGYlx9ivPwc8TJerQnIyy8gL8/EKzanHPz6 +Gl6xhcjLb8ZKXl4pN6UfcUP614e4Ca3DDWg9bkC0+eDGc2mz3HDEFVS8/LWH +l+XG8iVuLHJDyQ0peEUTxUaSG/bioqu6FVn5M1wb1ebxgbq6tHG8rTaNRbho +w3gV18vIyTNxvaiu9Hhco1MlLz+NV5k2iaF0xZ0NoiBuEJG4QRSFXsl6c6jI +eFlvDA3UxhAfBi/3xA2hN24ID0Bjxsv1rLz8pJeXD49QvIwbwcHxipenKF6e +ngUvLwqDlz9kvLzR3QHC5eWNnJdTkJdTb4yX598oL2dmn5efuA5DzR1B8/Kg +sHh5JG4Mo7pbNgfi5faMl1sxXlabxAwLL78aBi8vM3k5TsrK6uonHF7+IICX +N5ZVvFxK8bLYTJKQl3FDKXLE4WW6bd9NMiQ2lp3Iy9vD4OUPhEwlRa4WG05y +5EqDl5eKzYfkK4Px8heKl0nO5GZk8vJUURKQvDwBF25QRZCXSw6Hy72Ql1+u +BP9ehqyseJkk6RfOy2sYL3+oePljlCpk5cz1mpcLK14uJHj5EvLyReTlC1sL +uLy8U/NyPsbL93p5GVmZNqcTKGnHcYMK4uVkXImKlw8oXt6tNrBtipc/U7y8 +nvHyWsbLKwxefgNl73Ulf3MMXqaSwURc4xgvP6t4+XGDl/s5csh5uZSFl2uG +4OXmKIstURZbIytraeS83D0kL9c1eLm6ksgqR4Y5vFwJebnyfwcvJwheRrV8 +R6nl8uOMl5VaLjzDeBnXq9/YeZkppcPLE7283MNUySBefsKtKATy8oOSl0kd +R/RRvNxTlRG62nl5kpJDIqdpipdnNZX09IoqG7zeiKqO5xwJfJOVC1Yo6VtV +Q8qey8tfKF5Od3iZZG6LkDiUtyIHlbTtUWUAqkp+ruSMpOwjcdufLKqT7wjp +ott8cYsf9bqqUr6s5ImkCW/jkZdPFx0NZ4oPR0l6RtDX10hfZysOgW8MXj5H +vFy/g5+XOyIv90Re1hXLJ5GXn0deHqt4maqVryB9zS8tyOvfKHMkbyRrJGd0 +20/yRdXJC9sLyMrkXklZpw7LiuQXKENEVyQ9B4XcEFXlhk9RYj5W0rISJWVZ +BpHUP+E1oiiUjhlKPgQrp0nJeFRVGvum5IXSipdvdxg5vErzhI7nYEpLfA60 +kNvhLKTnOU0VPat7JUHPdM1xu6PrTfdHa6sdF9f6Y9za6Dp/WipVbGPbiuL9 +UBG6trtFecdfbX5bVZsX4HWcK0ozJ6JelNtKDF4/ut8p/TScLfc4fIPX7tuq +Axg5d7WTcxck59543Yich9aCH55S143ucyYiOb+I5PxSBXntXsdrt6gk/LY0 +Fn7FrYpKOlTKoW1JXMOP5VYkrqMq19C1pG2Hthzabr7aL7cauqaiJINbDG0v +tLWk4daS7GwpuWEPrh1qK/lUbSPrVMnlPbzOK9X28aYoteQRW8dcdX9E2waR +8ySxZdwFo1J1eeUeeAzXEFwD1FbxAG4VvVOicJsohlsEkXM5Rc5VcZuoYZBz +Y9wiZDmlHZKzuz10wK2hE24NRM60NUhybqLIuSGSc31FzmJbSPRuC5XFtoDk +fFiR86GckPMbBjnTdrDijyHnDYqcP74Bcl74x5HzA4qcqY7yUMC+4CPnIYyc +BwaTs9oiRvZk5Ky3iU6SnMeb5IwywcsrnJz1lvGqKrOQdCxo9B3Kx7ewqAGS +c/2zztYRmpxl2YXI+UMiZ7w5/7hihjjUCpecd2abnD9WW84HuDQ5u9XmVJQs +WbIxyZkkjLajeUjNcxU562rzTHGIRtJGhYGTUVPkNoXkfArJ+TSRcwki505I +zhVF6eW3t6nK7JLzz+9JaaJyjLix/8Al5+sfy+1G3ORrcv5U3uwL2dqsyBmp +meTr/A5Jzt9ayfme34Gcc4utbIfazjYrct7IJE+T83uMnJcp6VtkIedZuGYo +CTTJebhBzkOt5EyFhBh58BZIzrVvgJzdwoKdnIdkk5wn3AA56wLDfxc5C6Vk +5DxOkfNoVMlRTCVRIfur+gIp5CBDIflZ3JODFTkP9JPzcJTFEUoWHXLu4kqi +OHxrI8l5cktZSJiW8D1K4VWUwiswG2VwTryUwdd0tVmTM0rgW/UUOddG6avl +LRjoYgERFRUKtNQJci5OZEXkLOVNStsu2Be1XUnap0LOqAggCwCrRZ1SStdS +IVlSruahPM0RN/d0Yy8OwYrgzXzRMXCm2Ah5zl96GHyN9HW24lAk50HwbbUH +nbP+c3W6SnJu2CaYnJHArhOBDUcCG4cERuf8M5G+5paFXxeUEuRFMifqlUhd +olaJEkaHZCRZ53cUEIdhVJ88ravMKEMZKEEpSM4kOXvVgRbVIuncnmiKzuyX +03l9hqSoOUo+pih6GiFqjkjNKfp8Xh5Gla5Xyl5pDkXOt90G47qcg0lt5Lb4 +Am6JM4ieVRHJoWd1ykDb3tseej4mtje6S6LrTAenpleDThFomzrs1J1XigKO +qDtHvSa2GsenETMW73xGyJOCsk8gOQ+VpwQ1+sF3tXrhHQ9etwBy/r4PXrcB +deD6I4qch7M7nmmV4JdZFeDXV+nalZb+jGXF4Vd950Nb0wfu3c/36jrSFiT9 +GUTOut58r1Nvpm3mBPdn4LaSepT7M+R2sl1tJdKf4W4hq9j2sURsHXnEnRId +UM5Sd0tTcU1Ud0x07Wm7eAq3CLprGsy2igdSCuE2ES0LLMmlFDlXwm2iGm4T +eouoh1tEQ4ec2zvbQxvcHtrh1tDB3RpyTM64JRx+PofkPP9/5GyQc9/nQ5xA +BpGz2hscn4Yi5+cMcu6tyLmHl5zH4jYxroO/yEI+jaktpExMy4qcG5/DbcMl +58UWcl5hkrM+sKRthBVhPmZ1Z5IZpxhTUpKzKMgU5eR80EfOe5Gc9wly3qKK +NJ+qg8316nDzI1yanNdYyVn7NDIcn8YCVcix+TQ0OU8Th6GCnKM0OZNPA6WO +yJk8GnMUOWt/xkrDn7GG+TPoWOwj5s9Q5HzVR87cnyGPzL4TN/9Sxr7ZK61m +LjnfjZJ2l4+cvxTSRkUBaT9LFxLnkvMhRc57LeT8qSLnTxQ5f+CQsywYvE2H +qSHJ+U4fOY9FYh7FyPkpXI8pch6E60FWSPCTcznjGI7LYgNGzs2yTc7ukZxJ +zo+gRD4WQM7DGTmPs5DzdFwok4qc434Xct74dyVnm1JmRc7k03DqC+xkbhCd +zAWQM53KPaVdbDZy7u0WFBzrWifLMVxL5tNoJl2u8vjtovBpvObzaZy1+DSk +PW2NKhh8yI7ZHJ9GSebTKHIY5Y3oSvk0onaIgkBon8ZbFp/GLEld4rR/oiQv +4ZB9Dr4u9bSgr7MVHoFvKj8sXbKidtlLkXPH8Mh5RHX4cXxV+OmFypK+5iF9 +LSwFv75VXJ70o7TRKb92xJJsidN9s96MMkR0lYrycyTD9WZsxrVBuV3fE3KC +FJUhT+3nqOOqqcrWpamZpOJhQc334c31/eKEvlS9Mjki57Hdzss7qdbyLuoF +9TyYhXdQgp4bXxDXn84clqitbwVed9ruaKtznM7lkZ7LpCnXhtzCaOuiLYu2 +Kqo7J+I1pS0pVdSdl4jjTrqOwrERPRWv33gkZ7x+JfHOp+yT4ZFzu4Zwtasi +54FIzo8iOQ+riddN3fFMqcKczWWks/nNWPh1OV4/cecjzwy4U4O2IcepsVUW +bKSzOa/02whyvks5NWRhhnw3tK2Q7e+IsvxppwYdX36uthHt1NBHlrR96OPK +Bbjmqev+knNMeadyNt+lnM13C2fzo6rIQlvFA7hV9Ekp7G4TyaVxlcdtorJD +zuRs7pJc3yXnJE3OrRQ5G1uDIOdeuDXIo8jGif2RnLl1D7eFxEcCybmyIOfR +jJwnWch5Nq45fyA578a1948h5yXZIWdcM3BPmHbpryFn5uF7XpKzZ4vIipzb +uOQ8pUWml5zVdiHIOZ6Ts3fb4EUX2jrI/EXk/A4jZ231WxsGOX9aOgW3lGSU +myRRkHEczmJrOagcG8rhHLlLkfM2HzkfUuR8WJCz3eGcanU4L5IO58j58EWk +69iwOZxPOnVn6dg4pR3OJUa45Ez1ZsfZXEQ0XEhyjlbkHIXkHKXIOVKR8/0u +OW+ykXMBr7N5530+cvY6m+/yOpsdcpb1ZioMpClyTmIyt19J3S4LOW9Q5PyR +OGa7QxjUVikLoknOC9QR61wkZjKraXJ+QRnWJihyHonr+TQthXc7x28DlRz2 +9ZFzCSTn0hZyjjPIuTEzsYVPzk0MM1vW5MwbQMIj57gbJuePUSbX/+HkvDIb +5DzPT86dQ5HzBEXOYw1yHmGczDFyHmyS81Cz/yPTqSuoEzmPw1mTs5DEjl5y +nsTk0O9w5o6N7/yODVY40P7XIMfGp9qxUVxK3I6ow6qBYw+S806UNDrZ36zq +k65jI0k5NtK4YyNyvrjJ1w7nExaH89eibqkdzoPZqT+Rczck505Izm2RnFsg +OTeFS60aSXczJ2cisJFIzhPkif8vsysIn+yvi0vCr8uKiyM1kjWqVV5bHymk +65KoNytXM97QkySRFNGRF0lPUoa8Sd+Fa0uG62herfrD3lRe11fS72TUfJeQ +i6cdYsoL/ZGa+1CdMRmlIbkUknPZHJHzmJ7nnXMIej7I2vM1Rc+XffTs9AnW +Pg2r4pTnuaryPJNro7RybeA13hmt685bWd15jVt3jtL9gbOkS73IBLzzGe14 +nb+p8CiS8yDptIlTdzxB5PwAXrdBteH6Y3Hww9PqutEdz1TT41wS/r20uDAV +/qLufByP88eRzjZ0WRsFHafGfdKpcUA61XW92ePUUMWYw2o7cZwadK6gthHX +qXG7bIzBpZ0a83FJj/M/HafGZFzC44zreXXn9IRTZCGPc17cJvIrU19RuU04 +PYGV7T2BSU3Y9mAnZ29PoJecaVuojdtCXKLeFqjh5UncCjg5j2TkPIGR84sB +5PyaQc7cvMfJmW0HgpzX//nkvFSRM+t2+U8nZ3Y0aSXn1pyc5RHldG7waxoe +OVPRRZr9zggJodaJlRZy1tvIR1Zy5r2B4ZLzDg85m46Nw9bewFVCquy9gUHk +LL3OxwQ5m46Nyao/UHmdYxU5v1JRGP+cejOS88+r3Hqz9DhHCYkSTo11ipyR +mn09gcypYSfnfIqc84YgZ7tTQ5NziiJnb09gbrcnUBUKbOS82kLOSxz5k408 +mpypLXo6I+fxqjV6JErg86rd40l2/Ebk3F+Rs9gaU6JcSUwpo4oJ4ZJzCyaN +7YSRTbeAtEjqhuTcI0tyrh0WOY/CNeYGyXlpWOQch1IZ9yeQ8ztaLZGc30Jy +9nSFKHJ+PTvk7CplWOT8jCLnp8IkZ6WOzwf1Btq8zm2019mVw5mKmLgUirqz +JucGqnjA5M9xbNQ4bjg2MjyODSoSiBZoMqVFHxESp/sDpRFtiyoGbBBSZnNs +kGxJj+w8ZSzTjg2UpSLjpVe2+Aj4uuSzrNOM/LID4TsksHNxveFc7e5wvh6R +czu42LhlIDlrAhNeWTrxp7rlfCTnJSWER5YKA9KpESVP+D8rJOSKTvaJsqg2 +SXVJunknlwbdrB9SrcfbmLdZ9AGmS4JaoHyuJBsvqBvtkarW+JiToJDfqTX3 +EifzFaBkvfI5IOfbYVTv887zgtPzix7nBt5BNZH0zFM26LyBrvva6trzjHdK +jmsjSbo2oveKbcutO38gtiTaiujYkzs2ThWZJBw34s6n9DA4W+ExeV4gyLm3 +n5xbIDm3bwBXuyM598Vr9jCS8+M6TYO6A6vgHQ9eN+1xJn869XMuk0ej8s6n +iNOmrs8NaAu6pJM0dBu66gwU28zhu50jTU+ShtpS/EkauT1JGmuYU4N6P7lT +Q3icWbFFOzVGshZyp8iijib7pBRQ20Qx3CbM7kDD45xE5BzUHdhRdgcmmWka +fhOfJmezVdxPzuMYOU8NIOdX/98kZ0uvuOngs5Gz6eL7I8hZbxPtXHKeFETO +zeR2oY8piZz5UaXTJSiajVmbjCVVw0fOvi7BdF+qhiDnYpycDzFypmZl1+u8 +L8Dr7CXntShRqy3kvExtQczrTFtRZLDX+bjhdXbJeTyS80iHnH8zyZk7NYTH +2UvO2qnhIefPCvs8zueZx9nTHbjP0h14OLTHmZNzYhjkLLsDb2NpGpKcnTQN +ddxmkjMVjWarBulpyrA2SZNzajA5D8DVzzmCk+Y1RxIdcq7EWj+InOvkiJwT +QpKzbqBGiTwiJdLXChKSnFEmD74YBjmjTO5d8peT8xpFzqsMcl7OyHkJI+cF +jJxRLTu/gvT8MqrlLFTLly5kSc7dFDn3ZOTsU0nWR60V8lFbJ4hfHd0uwR7h +eJ2lY4N7nX3k7BQQvnEdG06rBx25nWTJGm57hz9ZQ2YwSFPaQeWH3SOkbb/T +KcgdG2t9yRoZhmPjuOPYmCBaLxzHBtGX6DQbqmqXD7JUjc5woYEi52bNfOQs +/LJIzj9SntkklaZBdcsFpeHXN2PhlxXFpFPjA7czkI7I6AbfrTfLuiR1Baah +9CQqmdmhapCfqPrjSiUhbyiT1yyVqTBBdYU94zg0qNacH6XhfikJyaVVbbEq +lKhfIUfkPKLveXFnJZ4bNnpWd1L0XNAJKyY9v0f0zDzPImsD75K2FcO7oyIH +xPWlgg/dFbl153ecTsEvI7VjY4ryOg+Hr0o9Le96RB7dg4qcuzFyxrudFnTN +kJx71FMpKLXhhydZCsok5XGeXR5+eVX505fIJBSZqRGD21IRp94snBqbZJjT +pS1upsZ3u6Xz5mvWGXiSdwYKp0Zu5dS4RRn/blWZGuRjz63qzbcpp4Y2+uWB +paoT1JdBh9eeZ9CNYMY+8rgPTqHuQMqgy+9sE7qJvIfjcbZ0ByY1VuQcojtQ +kXNwrsaNkvMMJOaX/jByrvE7knML3Ata/hnkzBx8nj2BJS0F9b9wcnacfCY5 +u26+sMm5Q7jkTMeULjm/qsj5dZavschCzk6XoLF9aHJ28jU8XYLp3i5BlJkt +tKUwr7MkZ7/X2U7OXq+zztdIVnXnFJ/XWZJzOvc6K3L2OzY0OauMDUHOk51M +ukByVk6Nnwxy1h7nzHWmx1ne7LvkXDCYnFm92dcdmANyPhBAzm534G2sO9Al +5xU3QM4jVKO0jhjyk/N9YZJzLUXOzMQWgpw98phE8tgT5TE8cq6G5FwlLHKe +nAU5z/tbkvPqnJNzp1eUWs7W5KyV8jKS8xV7audoI4XoeSNfY5g9X+MxVlvw +9lBft3qddUznGCWLQeSsm6Zfsjo2zvkdGyh/2rHxbhzLpKvGTWqs7lwq1cjY +cDsF90buUnXnzUrS1qtOQe3YWKlu/N9UnYLzxU2+L5MuGsmrKJGzzGjwep11 +l2APJxH4IlKYIOeWSGHtVRYdJ+fR1d1cDeoOfKOk8Dj/vLIo/ITUJZwaRr2Z +pInkSNSbqSsw/TZIQrI6qOqQm5WkfKC8rsuMzLkXVa3RQ82pshvwAWHe8lIz +3TyXqF8x2+R8C5Lz8P4XZAYLp+f2maJrkJ4XtE16as8s4Zm2weWanh3Ps+wM +1a4Nyh6kLUzeGW1WHaAfqrrzMrH9fBEpHRsnovEakte5mOwSFHc9lbzkTNka +Fx1y9nvTf3iqptvVOUWlN88p76bRUWfn20V9mRoU8XRto9kZaE9utnYGquRm +7dTgnYGfquv9EWuReYc1li9krTFuGt1dbhqdaol5Rm0Vj6ju0IecuNLCbnpz +Cj0vygd0B2pyNpvHLVtDOIl0iTKRrppKpKsoyJlyNZSB79AYRs6TA8j5FYOc +bVFLK8R2UBu3g/DJeeffk5zNfFJL70soch6gyPlhg5ypovJYNsjZPJZk7TBj +zSRnRs6TkZzNJOdZxnYhkunCJOcVDjnT9iEbzdewfI0gcvZ2CcotxSnIRFm6 +BKNMcrZ3CSaKYo3N6+ztEvSSszedzp7mrOrO0a7Xmcj5kiZnlakh05sVOfM0 +ug90vVnG/zjkLOrNjJw3m+nNBVynxq77PE4Nh5xR0ugYLYicRXcgyls60rLs +DrzV6A681ekO3Hb0NhFWb5LzB4qc37OQ82J15KYl0EbOE1WD/GhGzsO0HIqi +ko4Z0uRc2Emkc0M6K4Qk545Izh2SzQTnUOTMzWyygboBa6D2krMsLtwYOc/9 +25Hz+9klZ5Z5H4qcVZKzIGee5BwGOT+kyHmQjZyNfA23hxrJub+9S3A0J+eO +/i5BnU43XTg2WDqdrjtzclY1R37stpI1SFO0EHlfdcaGJ6FOdwoWdROdRadg +pE503qoKArLunMg6BVNVpyCd8uuMjS/NjA1qvyg6Gr7S6XRln1Kn/obXuW5X +N5muaTNZv2wXgpxfqijrlotKiu6yn1HihFMDZYxu+umIjGRK5GmgJMkUOtUV +mCGJao+qQW5kHYHL0930udnpjJrTvA4N2TBMtebi4gaaTuNltE6coKLY+pVy +RM7PDbjgucPS5xLi+cG7BhO8tWd6LpBzh84e3q4jrz9te8LzrJLqaGujLY3u +kHZH7xFb1wFRd/5Y1Z1XqK7P16VjI3oanCoyUXrVSz4LZylfg5w21N0Z1wfO +M3K+FB8Pl1siOXeqL7Kcrz1U27lmjjedujrxuv06Vzs1SsJveNdD25SceaI7 +A6VP/ftN8g6I15vF8aan3ny3t96sGs5TjuoMZ9YZqIYAbGRHlmvY1vEWCzJ1 +MjUcc58M/Pc6Ne4REUxD8Pkw0OkQZTnOytDHPc7d1JFkZ3yOdKLtwepxpq0h +nMZxvi1IcubHkBVF07gmZz37ZDyS80SHnGt4yPllg5xDh5TWNreDQHLe/vuS +s7MX5JCcjf3g9yLnwZycHzXI2TiPfMZCzmZ4aRA565aYVoyceTJdVuTsJNN9 +Y8/XUIUXf76GLZmOdQmWkl2Cgpy111kcZR5m5LxPHHmJOShRO0J0CX6iCjWu +1zmJeZ39XYLcsaHJeZ4q5vBcZ9frfEKR80lBzhOQnEchOXeBn+ZW9KTR/eJ4 +nHmOs0HO6/1zT67gDb+fnO1zTxxyPqjJmXI17vSR8xdK4jg5U4HgiCLn/Yqc +3e5ASc5uroZLzmsUOa/UkUIZPFcjD8vVUN2B6WYinRtp/yyTw6EpkpxlzBBv ++4hhUUNuIp0sKOijON3+0VCNhMopOes2kKzI+WlGziryPtvkzI7m/jxyrpdt +cpZqmW1yZjNQulpnoARMijJP5ni+xmN+r/NTDweQ8wPBzdNmQWGyJ9dZndA3 +s3idbbNQ2LGbKByE7BRMc7OddaegM+pprzpS22bUnT/y1J15pyCFafK684lo +S8YGOTbKU+1S5jR853hmu8l8DSLn+ASVcIYU1p2R8zNEzqp2OUt2B/6mPM50 +0q+dGjqJjo7EhL/54N2izYIak12XhsxY2KSkZLWagLFYScbL6oTepGbt0KCb +aJHVK8iokqLmWoqIGkFsg8o5IudnBl6Q7p7e7haqa88i0LWN2zU4Q+U903NC +TBdsJOmZGubfVnkba6q7Oc+0xX2Od0pbi+F1jtkvij/7o7aouvMHoqBDW5Fw +bFDGRvR0EXpKXnW6dmdFvsYQOQ2lpiLn+kTOLRU5NxDkTLkamQOpO7AW/OtZ +dbczSXnTZ6s8FJGpgddOOzWMDGdx7OnUm+WWQ4Wab3YH1JspK4W6Pi2dgboA +ozOceWegdmrogssidkzpzdS4y8nU4Gl09JwYLOrNtE3ch9tEYXxu6GNJ3CJS +gnKcdXegexzZFreGNgY5N3dMfP5cjQZ8JBYl0oVJzs7UQBZSGj45h9gOckDO +cXwvyAk5L8shOQfNxOLkbHPw2cjZMg3Fsy8MYeQ8MCQ5jzAznYOmoViOJ818 +jTk6mY6TcyM/OS81yPkd1mS+2nFsHJf5Gtkh52J6S5Fe5528SzCAnLXX+ZDF +65zk8zqbjg3X63zUkut83Emn804RPKmnCMaOdsj5V0+OcxFPjnN45CwzNS5v +LuiQs6432yagaHL2dgcSNVNRgI7TqDCgE+lccjYnBu5XbTu6pYPI+fOjvDtQ +HrVpcl7FjGpvZfBcDbfNQ3cHzlBHb1oKx6pj2OFMDjU5D/KQcwEfOfcwspzt +7R+cnINyNbIi54He4sIRfSzHyVlNDWTkLAoMhyb6yLnmDZPzB7g+ulFyrmOQ +c+O/gpwdpVTkrDtCRrKZUapLcODT/i7BR4IUUs+LYl5nnescjtd5Skt3oOqM +ZuE6NqhRWkYMvVPbJWen7lzlmCXbWcudqjsbnYJ7o7b7JglK89kaQVpSvlTd +WbRnsLpz9IvyOCxG1p3PxA53soHJsfFt5UHwXTXlda7T3U2mi28OFxOQnNsg +OXeTnllJzsovO1Ul0tEUDZqggTJHsqadGjqJzlNvTr4D0lF2yKVBR1o7M6T5 +S3cE0k33Ehs1G92A/YVDI0pJQBl86VdyZsIJGsKXPL3cizeokiNyHjb4opPJ +IopQugDFa8/q7oqmpcwIqD3rtDqRsUJTUhzPcxpsLpEE24vKFELavujaSr/z +anE9ZbbzPHENhde5iOwSPFtGJdN5yLm99KY3bQKXWzWQWc66O/AJNrlGp9HN +UZMeF8l686+sM/BHPWOb6s0bbTMDzXqzMfmEJdElqsk22t/Mk+g+YU4N3Vi+ +TF1/z/QTld3Nm8lHqzQ6nanxiPO8oCby/F6nRrJ0anR3jiR51H8DRs4JjJy9 +WwMnZ94d2NAMKqVcjUS39YVyNSr45geGIudwB2Nll5y3/oXkfBb3AuoYl/uB +IOfZOSdnWzUlR+SsspdUVcVKzmZxxQwwzYKc+RGl7BK8YORrfOPJ1xChpk6+ +hp2cPV2ClYKT6TaXsJBztI2cgyeiuOT8kW+WoCTnLLzODjnreYIv2+cJilzn +yS459yFyrsCcGjGux3ltEW+O8zo+AcWNAbpiptGp2YEuOd/HyDlvcHegQ87B +E1CyJGfPrG3a7m5TuRq3G4l0eZzm6CBynq6a5CdbyFmHcz7mI+d8ipxZlnOy +bv2oGDAFhZOzzHIOJmd5JJeQ1PN3J+dqgeQ8G6l5zt+FnPXE1SYf/jXk3BXJ +uVtQprOZTPeU/WSOzxIcxuZF+cjZOI7zkHNbf+SQLW7IM0+woWWeoMrY4E3S +77OiAe8UdBLqiunjtUPuTMEob935MKs7J1sT6njdebo4EqMT/9MxY+RUDU/G +xmDvTLp6nZ1kuovNiMTqi4Sza/2QnIdqcqYsZ52rUVrQF5EXFQOEU2OTTKIj +iTqr/M2i3oyyk4KScyhDRsRvVsOUSEJ0jsZ8dTQ1PV2ezHtqzan5kI4KSmpO +jhXHTZKaa+DLvTZ0TqqPL/XG4qVOftViDarmiJyfHHpR3HXR80bksugjXHWn +pZ8r9DyZ3IrNGjR8z4vVpJQVOm+jmsx5Xl8+Q3ie6YyBrrOoO0fKurPM2Vgp +vOu09dA1PBn9gkgX/ArvemQy3VB5zQQ5d5XedEoSpOul7nS+7y9nPsocZ5a/ +/RJuSXPLwm++zkCVRKdGClzzJNG59eZvhb/5XjZp+y5/noaYGZh1Ep3uDHQy +nDN0hrNui3GdGlPZ8eRIXDpT43FPpsa93mPJZG8anelx7oTbgzDyJTW1hJXK +xvEWIXI1zES6WiqRrhpLpJPkLLOcq+jJ24fYFBSHnGca5DwvZyGlOz42yHlz +9sh5/d+fnM0JWeGSM98XjNTSsMlZmfrMTGffNBQbORvHlDyWaSnPdDbI+b0Q +5KxbZpxthM0S9ORrIDlbZwlG8Yko3Ou8wcl19pOzrUvQ9Dq7k7glOc91JnEf +s3mdVTqdQ86vWsiZ15sNj/O1T/xODWpqDnJqeMg5VHfgEXt3YIZBzomMnPcp +cna6Axk5r1fk/CE7btPkvJyR88JskLPO1eDkPMQh57zqGI4b2HRBwT8FpYuP +nOPDJ2fL/MBwyLkSJ+fD4ZDzS38ncq7P1PKGydk8oZvNpqFMl/kaDjlPtpNz +T0bOnnyNpwPyNYK8zpycTa9zD8txHJPFyUY63YwEksQwHRuqgOBIIMrf6uos +oY7JnjNTsKRrThNRQtEH3Mkowu+s684bjMko73r9zp6EuplSntQ0bifb2Znm +rB0b/eBcXC8xzfk8ktiFxi3hYtN4UcO8SjXMfqrbjMh5rCJnql0igYkc51U6 +U0P6YkmmvtuVz6k3H6N6M7k0kJr3ZsgjrA2qI1DnaCxwqJn7mmWj8ECR11xQ +nMITNdPLXieMSWNWA3GD3F7kI7QUL++iDavliJwff+ySmuLudhFpp4+TBd7Z +pWdqE5qq7q64c0NP6X5LTWVfVfMErFVTUoTnuWSKzHguYqs7LxPHnlSsoS1G +5DrHjkByHibPCjzk3A4uxiM5N28ifek968nZgY9qd43OQlF3O6+59eZ/c3/z +B66/mSafXPbUm3Gr8fmb3aBTvaWIPI0Mt11mnxoHsF2Z/Tbh9dZhpmudbSOP +MPgtyXA7A3WA6UzjeFI4NXimBpsb2BdXH1FcUWl0rA2GB5b6jXxmzL+OXMJt +IVFOQAnqDnSaxo/4DXy0JXjJmY2U1VnO3LwnyHkOLrPtJdyQ0o8YOW/CfeCz +7JHzBn8V5Xch53l/ADkHpZVmh5zd7CUiZ15YMcl5TGcjmU5tERNb6XwNTs5X +XXJumhU5f4vkzLsEz8DbFnIWWwdrNPeSc4br2CitizApsLm46hKMkdtJ1uRs +n4jikrN9Ikqa43XW6XQmOc+zkDPPdX7BSac7HTvGJed32QQUPf1kLZuAQtNP +KFNDkLNOoyscmpyVU0OTszNxe9+9/u7AEFO3MyxTt73dge4x2xY1dTuInN9l +5Lw0g+dq5HEKCLo7ULd7uFnOkpyfU0dwLjnrtg9qmNbkHGXE2+um6azJOeQU +lECJNMl5iDFi9QnRRC3mBx5GctZjVkkmD48NIOcZPnKuRQOjwiLn1bjW/m3J +WXvb5ilyfoV729xM564vXvHma4y/li1yDuwSHKrImXmdn3VP5bLldfa0fyTY +MjbcsaravOarOxvHbrJwEDqhzsnZiNY5GxRdL+vOB7LI2XDqzo7fWSfUTZEJ +dU7dWU5F+UY4NlTGRlwf6XWup73OTWXHWZf68vR/CCPnFyU5//JGKeGTpaIA +HZ99L5waMvNX5GnQ1BOUnqNUb1YTT3ZkyNzmD1WOBt1oL1ASMT3NpOZ7RdIY +NQdTPm9PpCF5+l5FvdTrKgKKFy9vedreTrysizasngNyvgMeefKSm3CoPJH0 +/OH0PJrRM22jk1tT7fmap2tQ0zMlFtKdFCUV6rwN6hgU8wXxem8velB0C+6P +2qrqzu+rhLrFImODCjS0rZwpPkre8fjIuS3e5TSHSy0bi1yN73vXhcxB3KlR +FX6eUhl+mYnb0Svl3GnbVG9+1+5vFluPGrrl+Jt99WY5WOtLy6Rts968VTWX +b8Br/rGv3pzH6Qxc4HQGui0xujNwnHpePJ/mxi/pJnIqrvRV0UvS41ycHUna +0ujqO6Nlze7A1nzqdmLw1G1Pd+ARezGlgiqmUK5GOOTsLaRkt2FckrPcDv5m +5KzOIDvPyTk59/qDydlWWFHHTGPMTGdNzq05Oattopn/eNIkZydfwym2WPI1 +WKYzkbPTJRiKnPXxZckUf75GtG4+5+Tsn4giydnNdfZ2Ca5VXYLv+roE/bnO +eiJKUK7zDM8kbk3OF/t0dcjZPzcQl5PjHOmZuB2anAt4yNnsDjTJWdebnYj6 +ZO5x9k/d9ncH3uqZHejmatzOEulu9ybSofwtZQ3SfOr2bDUEbJo6ftOJdKNV +y8dzzLz2qGr7GOCQc342BaVYCHKOU+RcLwQ56xYQPzn7s5xtI1bt5FzpsDtm +tYoeFvU3JudP3X7qrMjZ1k/d1lTLN8In5y4vUobnFW+GJ5EzKeUYf0eI8Dob +XYJBKvmkpY9ae52Hh5PrrIxsXlmUBQUec89bP2Qh4duAbGc3oU4UD3S8kJlQ +Z6s784ncnrrzRiVr/pwNZyJ35GtsMsqLIjhTzBQsNgrOxOpOQT0VRTk2KNfZ +cWwkyHyNzg3EPDpBzk8zcp5bDn5ZVErkONNRGhUASLZIpkiaSIpIfoisUpCs +Dinz12fqtP49lUJGTcScmkeqJuFHRKzOfdBP+VZ7ppRU9UNvJ6A8bZc5vJJ6 +OokjpJiGNbJPzrffAUOGXRLPJ/1cGqbrzyyjZaTROagzn+nYdnpzeYflo+c6 +kp7pDoquv/A8k2sjNhF2iIznXWLroq2KridtRTJjY5bwqp8uPlpmOtMcQbpe +cb1lkiD50pslwOU2jVyP85Da0qlBU2v09JPZatq2qDfHSn/ze7zezPKbP1d5 +GttlqiAda9rmBR7z5WnIbYTnN2t/8yY2PIv7m5c7SXTSrfMqO56cxhrJ9ZjZ +51hnIB1L6uKKtw2mBPM46xaYII+zG1bq8zgnurMDm4aIW6rlIecnHXKuSFnO +aqRsFT5S9qAl3t9KztnbDuL+THJe8XuTs66kuHNlbXlLNnI200pzSs62ZDob +Obdj5KyayadayNmT6WyQ8xuMnN80yVnNEhSZzma+hi+ZziDn0oyci9vI+YBo +oXG8zh5ypsKMdyLKEeZ1TjS8zqkWrzOfiHI00ut1PhbK60wSFztWkPOP8yq4 +mRrC4xztTaMjcl4Xgpw/s8wOzO4EFHJqiCM1szuQigS5PbMDvVO3b7VO3d6o +jtvcRLrbPYl0OpBzMcqfSc6z0uQgKF+uBmuWHsbaPh5W5NxfyKJu/WBZzh5y +dqegdHGmoDRkMfdBU1CyJucGvlaQrMh5pJ+cdXjnwWl/D3I+BLU+PeyS8/pg +cm7O1LKVSc5vnoZ2jJw7zP9aqaVJzuezJmeer4Fq2XsU6wjRXYLPeLsEs+wG +MSeihOvYaOcvKExTM+TIsTHbGBBlzXa2JNQJ05ql7izpmU/kTmJN0QdUkWAX +8zvb5gquFDJGx2ZyFOp8NclZ5TtHM79zMbfuLLKdnYnOvWU6XYN2cKFxc+kA +6NgQrlId82GVSkc1zGkyke6XxSXhl3eKimM0kanxWSFRo/xmbz4hQXTMRdnN +R1Bq9ojZcZKeVgtiuiOAmu/Gl39eQc19xUu+qMrkrahujmuLmqH0qDZT7ow2 +gnjcjq6eUKRRzWyT8z+QnAc9c8l5Tjl5Lfq5pN0b6u5rNPM+68znqS3puSLp +mc4m5ml6VlnPFDm1pvoJcf3pukvXRqIoBtH1lXXntapT8A3RWkMZKaeLjZGZ +zuU5OdNdTmu42LwZXG7fUOagPFRHZGr88CyS8xiVg0LTtimJbkEp+PebsXLS +NtWb16iZjx/JI09KE7z8WWHHpXE+jKknwqVhmReo85u3qNmQXn/z7Y6/2a03 +53GT6NLcmYFOgcVohXlUbREPqeknD3gKK+bcwOpGjnND4Ynn3YHtfEeRXXBL +CO1xdoopR/iWwOP9OTmzqKWDZtSSzHJ2yfm1MMx7qwLIecMfS87v/jnk7OwH +uBf0CCDnoJx/vifoZLrfi5xZO4yVnEWBhYZnudvEK4qc+VZhI2cnX8OIZlrN +JqIQOZN0kOFvnSJns0vQR85qK6FBW74uQYectwpy1l2CBy1e50TD65zq8zpr +cuYTUXSus5lONwPXNE86nSDnBxQ5r+I5zmr6CSPn6yY5o3R9j9R8lU9A2VLI +muOcPXK2dQfmFsdrfnKW21w45LxGkfNKJoNvqkDW8Mj5bhFrz8n5cUbODznk +XMBCzjrLuXIW5BycSOe0gYjigiTneAs51/O0gthlstJhN/LeIeeDsh2kmmoH +yTk5r7RK5Z9Nzkwts0/ORvq9lZztE1ezImfu2DCnrRqp94Hy6Gmg9mRseKei +uNnOF2XdmRvYnIj7gLozyWB1/0RuGS+kXRtuzsY2NldQdAsKmdNzBTeJBmiz +W1C7No6KlDo9V/AlIVNCnoqMhzMxo9yJziKhjjKCB8ipKDSJm3KdG7cSU7gv +d2goJ2sMknVMytYgcv6VyHlJCTEBhZqdSb6IuEiavqJ6M9LVF6m3CXnZr07s +1x+VDcUkFQs1NStfM3V+0ct/iBh+JCeciNQ5cYNcOcDTLOuERDr0Mk4Qubu9 +hNkqulFcjsh5wHOXnOeWM4vM495QSYcB7g3aUvW8wZkOPV9was+CnimtDu+g +6PpvKJsu7pYoa2N3tKw7H8ZrSkeitBV9gVsO5TqfLjZOZjo75NxLTkynXI2W +TeFKpwbSqfEwXqen4kRn4E8T2JT018uI7O3flhWHX/Gaia7A92WTOndpuFO2 +CzhDtngKnXZpmF2B2qVxgA3Q0pFMG1W9+UOPv1ma+/Tkk/lsq3gpzRv5r1th +eGfgUGfyOpGzO/2EnBq98E6rZ7ItjY55nFXkkt3j3MlDzrppvLEzdXuAtzvw +iOwODDVS1iXniQY5zzDI+dUsyHlF4HbwtyFnff74ejbIOaiSkgU523L+debS +o4Hk7MldspKzOTDLkunsI+fmjJzNZLowyXm5Qc6rTHIOyNdwuwRTnCncm40p +3Dt8+RpBswQ/FeMD/OTsep15l2BqUJegZyKKP9f5uJPrLNPpTseOQ3Lu5pKz +b+J2tG/itkijW+/vDrz0ueHUyA45a49zIDlLp4Z/6nZu69TtT5VRTR+5aXJ2 +czW85KxNa7I7MJicybzmJtKZsqhDOvVRnJqCEkjOtRQ5188mOfPign9+4I2R +8yRJzgeQnA/khJyDpfLPImdSy5WKnN/+a8i5/3NuL/VAlq8xJIicjVznZ02v +cxYZGxPa6skXunladwrKuvPLTBLNTkE3oc5bd/blbFSx1J1LpziujW1FSfL0 +ACidUmdOR/nEM5U71TOVm6fUud2Cp4vQROeRMmdD1Z1pGjdlO9NUFOnYUC6A +do3hanfqOqst5tE55DwPyfnNEvATShxJGckWSRTJEcnPl0hXqcqlsUNNO6Ej +KpIJit2Zq2rNE1TX11MiJyGfmqYdJbIR3E7AOOlpFuYr7s5o77gz9HRkXReM +alTLR8630J9vFn++OR/D5y3451ziTzf3HjRo0Gn8ayKu2oMHD745DNjuP+KS +M5BBN65yw4cuWYu4Dm74MICbojpebCFDEMkQRN7IBR7glgF32ia9uUQi7IjZ +J7p8qEZEuxrtYLRbHY+eAaeKTcBL/Jww5QjYpvgUin9u0hwut24CV7vWlzEc +FDj4rAwc/HmqiuCgITcLS8GvS5WxAy/zT3wwN4/f0FmphrHDG7+Rx5kikC7i +N251ajV7VZ1mmzqW+JR5At9XE2p55uliY3chH6DeWXj3zIhUfzPhAJVxahuY +0tM6apBHP9ts0UafeWLw0JT6fFC3A9tP4C5iztKy9JirEDtP7UXA9itZwPby +vyVsa7Mf3z46LrDBtmwy98D2tCxge0z4sB1q9KC5jTydA9julDVsi8ZC1kXz +sg22G32n/IDuqaaIgXYiOc5YIjlOuDHQAbBNMrKJRXJQzWaLD7a99mjqurE1 +Fnph2ztEJTnAHp3VEJUge7SE7alwSsP2axX9sP2+AdtOiVrWCTywrQLsfLBt +tUXnFbUhJ4oji4bCo4Yt2juoWyZNmYO6NykJ/FjB9vsGbC9XsL2E1R04bFPt +YTrL85yg/G6iU0Sd3AXDdkHme4uVqfge2NbyKLtGJGw3wt25SQ5gu48Ftgf5 +EoukTGYF2xNuALbfylIq/wLYbo2w3Uao5UmEbVTMxae9sO2o5XeqBZupJR81 +NdXSSGLAthlmxw/0shw/aIFtM9DONoJwXAfWOWJIo2vysMVB0/CMcywZn2V7 +GlLoL1VbGgxR+raygSpeo8d2XzyHNxZa26R1LPSrKr1+hgy2owbDomNEJPTX +pVQ0h7ZIU6mamgspIC2+JVJZPFzpXF8OUHkiTgxPEbD9Wln4eWkJ+HF1jChz +Um2A6gDCEo339+mpd8Dh9NsERRE9kVys0KCdJkF7vIrzfUKcSuXDl3shcRIl +mwcrsIEo9cV9cwcWt6Ebv8jQIU+X+ji2Vbo3jmxU2w7bETfn7t+/f0eE6v8P +30l/vkm8O/fDyNb0hzr4od/wQ+3w/8PxfZn4Z0Lzm8Lg7gdGXRYHKrzViRe8 +Pe2Kapy8LSpPm62nqFZFOit+1WHvb8QGS85JMtp/XOGouEXbWoxGge+F/VGb +RWWJzl+PRr2B3D0LThabCGdKPq+4+yFpgqfw6CbN4HLbRvB9z3py3Aq1IpKh +eiIFeFBgtBpQuESOWZG2kGgZFv2xDIt2I++8zO3aQu52wqJ1fw45DOU5qdx8 +dE/ObmPTMQcUrjKchHqjmafCXF5S4eE6+k6kpOJ63um7uVuegeJ6UIR45FU9 +N9HiUCQoxMMz4jvZ5ho08526MVN1FmO+rZO4nnW4myLwqrIOdScCz+FuPUtA +cnctGruSg83kr+DuNoq72+aEu5ldMCR3s6EC1GwTirtt5Rtfw4036Ilz9/De +3o0lO9z9AuNuz5xbwd0XjRDpb2UXu7HRyECPM55udtGTQx3t1W0h0kd9bYk+ +7i4aDnebI1g2BrQlrjHM1Zq7bebq+WIaGHH3F4FB0irUQ3H3BeTufxF3v8dG +rqwNg7s33e+1hoTL3arArblbzGA9onLxde+IHlaYZmtHvIW1I7rcvc2QQG87 +4h1GBJ7Ms1piyCHn7mkGd49RfSZaFp9UabF27o40uFue//VAeQzibvcM0HXP +kUS2QYmkqVTB3C3Do/8a7l6M682/krvjw+DuNktVoEcgd8tCt6OWsyxVCh6F +p9tQxrhtKDxuv//zjLstbYn6OFCPd9XHgb4RLNkxV7OU0ClWiwjP1nfP/hZZ +RrGIQjed+fFCN8s1kuNYLOxdLEn1lLBQ6Uidme+arN1wj9VsJIs2Wb8ubAZU +Kjihwz3IJkKxeCWed9oTKdhDFrp7CuvBxcat4VKLpnClfQPR6iaG4BF3I5GR +3eCnZbHwr7VFpDUEZYpo6/Shu/AW/3ZIRrLag2T1OcoHycU7rLhNMjA+VdLQ +Y6rySOdYvZNj2DgVFdaR1FAVtps7R/gtfYXtB5xje3qp0sv0/sZ1fNz9j5gh +Q4ZE3HzzhAkT6L1tFHtLHL8lGf84RrE3/bcO/74Q/x4Ob/cae9k1MrGoc7Pm +zW3azoCX3qzm3ckdjSgs2gnfi2caPcPm00hE0dx4RjyLaBP9BJ8xNG1he8wh +2BO9HQ5GbRD99ulRS+DL6DnI25PhTInh8upWe1CYf8TxRdMmcKUDXdW6bo17 +XDU5EHy2MpPQMHBibTKTrOaDWWSBiDYpZxD4Tm3Bzue1YLPIj6MqKM9vJrlV +mUnks8UczqL9h3qD4bEfosbt2LDvYgNa7maBed4Gxv4qHsYd0lIcebsUM5SE +Cs2zxU3beZsXcOhukE++rRVqCoFKhKJNpKrTnTPJ4O2ZAbzNgvPC3ERM3q75 +B/K22EH+ZN52mtt/T97uH8zbWQxtcTeVa94APWNjmdPkolPU8cSAZJO39Ymq +tmSLZsYg3mYjD7cxS7aXtyldyhYDYmtm/NDazOg1lgQNbnGNJV8almw3RI94 +e7yXt33jDWVS0Q/rQvG2O6jl0lbk7W0hmhj3unHTeji4x4rNerV1jVuMOMyC +t3c4x3zBvM2bGIN4m5KQvPEfbneKjbcfZSn8/R3eLuQNzvP47apYerz5MaDm +7ZaWbhV9FGjn7YZh8nZFK2+PC+bt/V7/nbc08cfz9ueKtzcF83bTtYq33yO1 +VAF6Qby9SPG2ip7u9Fq4vB267dsz3iqLGBB9Iui0fGuyMQe3hGnJHs+MJZNa +GhHUza6EbGj02bLrfuWTw9U1ZK1bNDUKc8kX8ElFlMAQkSDamr2HDXKxBeol +2wL1aJBL5CuKubU1e5wcHk423zIqTK/qQFHrPl+7m4w0bpog46d7STL710hV +555fGn5aXhx+QEmjSic1MFIK0XGUnTSUmQMZMnNznYqWXqTs1y+q4y061npE +EFAB6Cus18XVEJaqgnQ6J9VjA1haOYM07LVt2TGhSYaqhoUsvH2rJGfN2eJv +/5CwTUaSxxlsL8PPWaWL27fQw3MzxL4ZEbvb+MuO80lPLtZPTmeikNq+fX5u +deAyQpe3VZaI8HK30p2Ql0WNi55UtL+SrYQwm/bN9bhP0rzEnUV1WftDsXfR +/nSi6AuI2CPgbDlC7P4iS1x461s0ktN3BtSG60/VhH+NkvMPhYWEpoYvKgW/ +0uxDPjF8nRwkJuceFhatQXruoYvXeb0djkl53EQ9i1dbN8nrveVTFcjqTg2/ +3dlT+AgD3trj+rV5OVvvI95kvQFqbEEfZ+BXUU9GiD1dT2WEJIdqglee7SSv +Z9ve7TjYMSOaOSGVROiqu29U1Ql7hywJez7Efv2GEbumQuwafxpinwofsWfl +HLH7KMTmcxEHWqa7mIjNizVGAqtAbBUlFZRRbU53majrNq3dus0Lnq5Hhtjx +ErHNvBATsZ28EDXhxZO0Z0FsOebgqCUvJFV2PZZw9xlnwottqrjR9WgitvZu +H/EhNvduL/d5tzNYTvUXRk71cUtO9anYCQqxK/gmiMtydrQz0YV3O3IbiWcW +oup2vMA82986E13yhZ7oIhDbbyPhiJ3kS9gzuh2VDOq2FTcn5A6n2rBCjYM1 +E/bCReznDMQezBC7r2oENxFbmjorhjjx8zrtQiN294CmcMvJXyI/+ZPDr/yI +PcaD2NUVYosBWPs5Yt9YNeIPRuxma45KxXQQ+5gfsXVG9cKvsonYlw21ZIit +80IMxA6ag2WdjTjY6HB5MDOknWRMt+AgUmf4lU0aLZNegnJDnO5Hz7Arb7Vh +vZJBfqrnTd6zebg/Z5j9UQjMlh2QxwVmvyhDQWPGiszqr0s+A2fLPqG6Hx+U +k150R11CIzFrj7rp/jW8OvxEiL2gNPy4ojhkfhglbCQ0afoUSk863s0fTpeG +gE8YXhMZTVXFxmHi1Oo+eFDMOCwiTqh6pMgsECoiUgGxQ1J8GP5sWSjUd781 +E2U7BdFLwcb1BGLndhg5JGK/hX9fx4rW4dazCbY7T7osonT1U1afV/Pa2cNP ++j0lolamnE60c1MAJJk/6amo/SQy+lp6ucm5RIfJ9LSi7qhVNU7i0+ZLsWNu +jaWGyZ1it6OxirRjHY+ZBmdiR0rYrt4PztfvDBebtYQr7WRzJA2IFxHWFMQn +4kQQtCly/K1Y+GUFa4qk7qL1sgDkAe2d+eXBKqtjk4lRR4nwpsg0NSrxyFF3 +dpieuLvF6Qry+0Zo8ItojMxwu4HciQfeYePO8JdUI4wv9R426SA/847gXR0z +JcpAPjOrtaH0jiTbDYnS0aTHJ3o9202Ud8Sf0/qI0fmjYdtbpKnKd5ADU3Ep +2N6vQ/leltEi++f9B8O2O+Kg44KvQ8P2S2HA9rg/FrbVNkKwHeqAdLQxEMYO +28E7ioBt265C86JsESMGbK8KA7Yd73ZZNU6RN0o6sH3EDttRO5xB5F7Y9jZK +urCtGyVND4nFu22Fbde7fYJFWzuw/XoFAdp8CIwcOu7CtgPa1HKysbBRy9aB +fEa0iPKOUN93IGxn4dnOcMYn3uoZPL5P9YY7g8fVkZ6WQm+D5B2eaBGC7Tet +cdYq2V+1sXDY1sd8um9c2+rcdhYN24VVyn8xBtvlxUGzrEW4EtnZaq8LB7Z7 +olT2Dg3bR0ybXfiwXUPBdk0Htm/86O+vhG0ea30jsO14t1EpzXA+s8vlWUuj +pK5pPyY9JLrDRSul206e6R9GHu5gGKMW4dS0RfoS92+fh9dES4tumDRq2saA +GB3UFxRzzX0kckhMoqg1uB7u3b7IkcOqrp0kgHu1D7h55IjTNCmAW3u4H4Nv +KlPDJEVcd5PDRuKbwpXODcV4vh+eqwE/vShh+1/vFINrH0eKIdVf770XjuG9 +fWLqHbBTycUqDdqqL5ruq+klPkg0Q6oadkpZNdwlTgXuNYH2yTo6pJ0bksai +QxozrwiVCvX9rz5eoiEc+ZvUD/Bt39QdIfpRfIcAbmUeIbP2v/HvXfBjY/H/ +4Zq1BWxPvQTdcLMX8yvGZHpmGfVjtifTUPKYzVDCJzZ2kWWxiW2vwdRWMi2b +nm70NKN7ORe4v4BNpVNge8xBfCpsEbtbetRiOBYzU8J2+Ufguxp94Hyj9nCp +dQJc7V4frg2sLRPKx6ucRcrte0NWtClr0QHtjyLFdExZ0S7kGkZwJ6KSz1kT +tNXQXg3aR9N1/KucrniQdQbtMNyJn6gZQdw0wmfF6F2FD+XlqdfjmEn7GR7x +qiYt9hdZj9yJaDsZtRhHrEkk9l0kqEGynmVWebADcZTYQUzYrqFgu+Z+loC9 +TyZg1zKnLuIOUht3kNqeHUTNjtml5pXvXIc7xyf/tbBtPRK1pFT9XrDNTkdH +h0jCDoJt3ykpg23npJQN7PWkkrDZ5e/6ZpdTKok8Nf2o8o3CdujZ5WYqyRFP +oyQ3kLiG7fQcpWHL6rYJ2wTaPxlzyn8wq9phwXZ+C2wHzCoPE7aTlQS6DZIm +bMswJl53cBskZe3ByfFTsL1IeemCYHuKCmgap2Bbp5FQuetxQx77CRenTMDu +I4KaVI5fSpnfHbZdmbQdAPIRW1nB9qgsYFsPqEXY3oewve9vBNspCNtKMdem +Zwu22y+0zy0XavnyOVRLnkpyWTRKds1Go6RnAiM/ozcM2+bMgGGOgSTMTD+V +TGI2S062xp3aq9tBqdg828+fjG1LKElzZNAzlVGllOxmFe59vgq3NpK4wJ3G +jSRRykgSPQ1OFlHAHTscvio1TObF0Sxzmu5HScuNWsHl9vHwfd96cP0phO2p +lQRs/7CyGFxF6aKZ1qcO3QnpablhT7qM/Fyl8vpmqZf7c8KYnQ8GphZG4onB +l3IpcW6vM/oobYSIxtsEaUJ2P/WS1JZX/lIchtTyDC45wqlAMGyvxr/8pGFb +VrtFEskp/PsRhO1aAwcOzCqJ5Obb80DnaRdUr4EcHtodn7qe8UemezsgsYRb +SuhpqQO26b5vAj4NJ7eRSSW0E5NPiVqkaBQ6PX0+qnAUPiuRAjtjdsPB6E8g +NWo5fBkzG07HjoKzFYbCd3E98V6pNVzpFA/X+teF60/oUJlK8Msr5eC3haqS +7Tiyo5xKtr/7UVayz7JQ7NOH3Z2GA3Y6A+xDBmDLEs5tHlc2n+GruyDd+b3e +nUTP7nXPR+/ylGwe19VsXPJs9D5RrvHPUJDVbNnqYwxmTLInkJjWkRaJ3YwR +M/7hjPJMdIhzT2imkMidY4QB2SqFxAfZs3HHYJC91xxtziFbzk4IhuyNuD7F +7cK7ZdTYtssH2TX+QMjuEBKyzW0jGLLNwb0+yH7Wfyj6R0G20fYzgY2b8c7z +9VsRzZ1kPoNsczdZbqTF2iHb24rvg+xStug/gmyvS3s3dUVaxpwf8EC216Wd +5HNpr3CCs13IXuwNzhbnrxqyvaPOjzuQPREhuzv84IPsKKcT0h0xc78L2ZsC +IHsbg2ybO3ufG/eXnbHmqQyyDzHI9qaQ3OZM2OKHe1oSPZF/yk23iDWshIJs +4apL1ZB9jzjsMyG7rwPZ97M0VBtk12B1CDtkuzJpTOJKcsOy3TEzXsiuq112 +WUL2iCwge/p/FmRnWCD7OKrliUDI7jDfgOxXFGRb0kiskK26In39LMMt538B +uU2mS9sZL2BaSFgjy+juYXZGOk3j8kzf69T2NrAIaaxvm8TlrT2I+bXare0b +QZPmSKEE7UR3DE30ARe01Qh0t0tSg7YeRWN2Sc53RqA7ju2iqrJNHZJqjPa5 +OJkVd6lVc7jaoyFkPoqUNklC9vVVReESStbXe/LC0cQ8QkY+U5VsKj+SY2yc +cGPnRerJDw+mROFLuQT0SCmvUkbwnjipgc8q4riwk4Jd2DUTJa1ouK50xH35 +kcm1YJMGPsjOKjCbyLnTzO+Ytcl78+cQtD5loefjM+EQNBtRo3oftT9kait8 +HjWX3pD5Dc+JMK9V1U+KpqXNpQ7C3qKfQ1LUe/BFzFwk59HwTcXBcK52V7jU +PAGu9qTrUUtmh7ygqbkk/Ht5UTEfmFyLnpw+29hy3Eq+3iuDrCgzRFLzP63+ +jxSWG6K3CoeaWVjVOnboKbND8sCyjDxODWY+LscDYqTBegKq0vRoGekqFNsC +LtoW+jjbQgz0So5l48zN0jSru/jGy3jJuaXRIN/U17DzkMVN+KgnR8TdDp43 +yHm8Qc5Gs46NnPcswiXz+2rv9k4dyxk578G1T+wF1f5Acm6vyLkDFVzM7viX +wyfnroqcgzp2+odBzjrH748gZ+4u9JGzkeNnNPJkm5xVcPbaat48kZDkXFLN +XnDyRPz9jS45e/sbJTnz/kY3ODspwHzt5olYgrPDIOeTSM7niZznVxDSpX0g +VnJ2BjNmQc68r1GXpj3kfI+HnH05IqbpGuXPRs57GTlvU5Y4N78viJylNc5G +zvzUzs3vu1PJo52ch4Yg514ecrYVFzQ5N2TkHJzfF0zOXstcMDmzObaGVHrJ +2X+S959IzmzEuZ2cv1Kh2WrE+as5JGc15ryXqZaMnAc87e8EH2oknj5hkrNZ +ojb9IEFjZ1iPo0cim1nilgQ5q0w/VaIWfY71dF8KP7ljNjlVUHift3xzX4g+ +rWP0LMK0o+Up3Z7IPRZ63qBO5T6wjEHn+X6zhXyJvP9o6neU9PxNhaGiPY4S +3y42bQtXOjSBawNqwY/jKgtyzlwdA+e3FIAT+++BwygzdLBPrWk0cI8O6Yl+ +HkdqHpRKp0tFxcu2m/B9SGLuKIi5uZM8HETM9TUxHxlqpJ2phGHnZafjeyYj +OTfMGTm//K0b7M6bAyZ+D92yqkFbDB9OgohIIsDnHz33GEGP73QNJrXF51PL +qzCrmRzq+Gbds/BuDaLnVNgeuwcORa2H9KLz4XSJ0WKg5vmGHcQIoGsD67jU +TK5pGpq5vDgzcujkD8PIwZM/cPs444yRucuZr8ALLWlGwrWXmuUJ5ibWomjO +UqDtgffkeLYGox9Hn1qOME4tZbzUPWK0TN+UfPh8Kqh6cYqJcPVQM3v9po6E +LE0dodoVvduBt12xotoOKjrbgTuv1yXnF7IgZ9XmHkTOu5Ccd/0XkrOxF4RD +zvrsJ8gb6JCzLdv1odDkHFRQUckgJjmLokoCL6qESc4NkJzr8+3BcoppkLM7 +5vwLe9siI2faLrYiOW/zkXPwmPMDvmQQc1ijbeSMv21RkzP1/GSVgn0ydpKX +nM2R5nrETBA5IzVf3qxHzBimDk7OPgf13ZZ2xdDknKTk0G1X9I81dxNBbmOJ +IO4xnCbnpRneozi3sYST810s+VqSs/S83eM0mLgSea8qLhRAedTJ18VlAl9K +2RDkXD8b5Ky7urNDzt7wpEo+qTTJeXJ45Lz3DybnfUjOB5CcD/5x5PyGIufX +/eRMp3SdTXK2JPF15W2LAfMCrHWGx90QBn5C95TH3BEiHcQydsbWuuiOPFfh +SRY3taDnRv72RU3PZiK209HNj+OCas8leRvjEdbGKBP6ZECSm44tj9zcYY5J +Hmf1UiMx5CUR4n8qZgKcKT5Kuqqp9kwtjPW6wsVmzeFqz3pIa1UFOV9FWTu7 +Kx+kotxsSckj5ICoaBK+1J9DYn4Eibk/EnOflDKiDtgFSaYTvjzdGnMbWetL +7CxohUhFJur1U7NRlUvaQsyVD0sDRxWHTNybVOrtKhjfKPvkfEce6Dj3rO+5 +2vVFP0HTHV4PskyPdp+j2nwUqk/xyUGZ4i5OW6aFi6Mr2aWvwZS2eDfW4grM +jb8gnjMr8TmxoWwy7C62HZJjl8CpkqPh25oPwcXWreH7/rXhh+dkIuIv88qI +sT80MPPH1UXk4EUR5nG/JGYaV75VjSv3DF705+Ud02Gt+mjS12uYO2xq1jZo +s5PdPIo0ew5HpvKgKDnKfHCKbKxxZ/beb2ToeTOreSirt4M99GDGrOfF6O3A +O9a8mhMQxbeDkWGSs7sVxImtIAQ57/qryRn3gne+/B3J2aiiePaC7JGzb1Bj +0AiyQcHkbNkSgjL1rOSsjyPVkISgsFZ9JLmwgRn44ZLzCr49WMj5Q4Oc3WGN +bKuItQR+ZGPMuSRnPqwxZ/NjtC36i0BbdBbkbAn54ORMrdScnC84udX53aAP +lVsdkpzD6j28xdN7uF9FidrHmkvzmhv0cTvL0nPJWcqjn5xnsjh/bmRzJfIe +IZFuzKgk5wcccrZnV3e3ZFe75Jz1zBieh5Q1Oes5tt42bZLKCoHkPDE8ct77 +F5EzKaYm52RotC6AnFdlQc6LTXI+y8j5Ow85d2Y9iIKcpyq1nOSSc9cAcvbZ +oi1q+ajFFu09oVNZ1n29fYijsuhDdExtLW3zbLU1+nKYx3JfOcMbTXrmZjZz +rJZTe/aFgBizZSJ3MyncLI7e3Przh6JooMehS3Pam0LinIGO0TPgZJHJcLrY +WDnlr9zjSM/9kJ47weWOTeH6szXhl9fLwHmUtC/33QM7iZpT5cn7OCTmJ1IL +4ks4Bl++pcXNbRd8acoAD2557mgdrijDOwZ5PKSynqdcGYKYNZGM8xBzDTUu +muLhC8Y3zhk5v/ZV1tPhprBTZdrdx3oHi/YNkWTtULQ6D9FeaHoO0jZNW/OU +Nt/DzOZXYH6D7+Dd6idhU/kjcLDc23CyzCg417AvXO3bXIwv/3lGBfh1cQn4 +5e1i8NPqGNHaLoh5k7fGrIn5G4OYneNJo8Ai6szO/F59JJnblwP1eYaNmu/w +Ng+GKKjobcFsIAw9s1dmP/Xm2U/JOvvJbt7r5CmkBHucWxjbgevUMCctDmbb +gXeseUXnCFK1oh9mKdRiO2C5Twf8W8H/s+RsraJkn5z1GWSW5Oy2yUREjKe0 +PBG4Y+ZAeci5SxbkrAsq1jEHlwLTqBcZ5OxMXmRbAx9z/j5rKAxJznybEMeU +3q3CS847Pekdesz5IeZ1Pux4nTk5mw2Fb7FE6kVer3PgqPMckLNDzfereDx3 +4svFQHLOp8jZbSREGYzIRdyMd09ZkXM6I+fEGyTnldzEliGdGm+o1NBwyFkH +Gmly1sUFIuf+gpzzimM5RyaTpUx6ybm6L4VaTFtM5kdz7mCsYHL2toPcGDmP +xTX+zyTnbGOzKZeNuFya2PyuwuYVAdi8yIvNWi492DxLYbPovb6EUslz8oKx +OZzgfnP8izOg1sTmrEbAdA+hka29eXlmdYGfy1kz8yxp/b6ptNVVZyFH54qU +1J8hkvo3lk03Uvrd/o8dUYcjIpp6Q/qdgH7eaKgnNLoj0eVx23JVKJAETUWB +E9HT4VTMRDEh5uuyT4ja88WWHSHziQbw89xycPr9KNh78C54L/0O4Wl+kpwZ +KUWgj0iUrgZdkuqKOp/ryNBJ0ry+3N9TXxaxBone+XOCRI4Md2Y+i5cWzXw+ +pF5abPacDsQpGN+kfw7AucOCM67ZCDf7TnPUM5ievTw5gN/0URkaIbo7JXeQ +mUNb9Ef4zRwDLWMY6Zkq0jvo2dnvunhGjul+DSZ3wJ034SI+e07C5tor4GT1 +J+Bixw6QObIW/LSgFPxIQeBri0Am7irfr5c7CNVc5Lhxd7fgswo8p5J8Jhjv +gLGYM/ZYPHwbfbbm2z1ZTnr+rte/d6cwacxMd7OknR0h9W5nRxjG86Sd1vK8 +/nKzEXPnraPYxraEMmp4d4PgmQSDnRHl3qMQaXGWBiINzqo58JAZmDr9xsF5 +J4LzzhyC82Y/ONe37QThgjNLTPWAs6/lhXYCdqSTDXAOGleeE3AexsEZ/OCs +pzH1CAHO1lwnPzjPFhnTlzwZ03pk+UI1spw6YZZqqzPz860kP1+cF5zX/m7g +HM7Icp4zbYKzf5SL3eq8gI0tt41ykTnTJ2Mnw/m+PRxwJmj+kY9JzC44hxrd +sk93dkhJDNUcSNNhMzLs4OwmcNzqGVH+OUv+9Mbd+UOJdPeHBmcdtz8rDHDm +MumCM8lkvhyAc4MAcHbHJUqpNGsMfnB2awzZB+eqfy44hy45775hdm6RA3bu +qFqqO80Jh52ZvS3coVfcsGFRy8etB3ROIn/o0znT12ZMmHXszkwmfWNdmnib +BZ1UDh8/n/aOMtcSaU66qsjl0Q0q4hH822MOq/GK3prCviieBiprCYcNE4c8 +eaPagbJBRy0QUneMhl0XnQKnS4yCb2oNgcu92sIPY6rCzrdKwNzdBeD51EIw +JKUk9Bblvjpi9Eo7hOU2CMutEjtC88Qu+PKyjzfk0y00gVTBl1QVfElVwZdU +FVW244aMauZkC/FyktNHdf5Xwfj4HJWc2y0+pcZ/nvXcA/IydGdbGdpzdHJN +xDdKS3Qm9BqdCb0t5Whfoytvch2cCU8OzISn+12DUX3Owvz+b8H6gf3g0NgG +8NWSMnB1QyG4/CluE9qIsd0dPcAnewkL88HgkQNHHStzbseYYXa+7MJl1lJs +W8Iqg5rfDKBmb9eL690bybx7uo4i4zTuVd49YzsIzKzzbgdyGguP1ODk7Ha8 +CKNGkkw/DQ5kepgNPbR3vHis94qcqx3iveLmcze75LwKF24FO/VW8BeT81tZ +kPM8Ozl3ztK4d90z0kvUUYKOH0PNHGB7Aa+lhEHOo2zk3JGRc1s/OZsnkXJL +sAQ14Vpoy7AzO2Es8Rp68tdHNnIua5BzrI2cZX1ll24SNIaO7xdNguaElnVh +NgmaVmdOzsGh0Zqcry9Acl5rJ2dpcbaRc6Gsydlj1GAnb4ycfUPG07zkrIce ++mM1/OQsYzVuN7LrmEym5zFkMo/TAaLJeUb6nWLqGT+VGx2CnAd4ZsIWcpOH +km1Dq4ic4yxSGe+RSk3OoYoMoRKIckLO1f7zydkzcNxDzieQnE9CO1RMDzkv +MMh5riLnl/3kHNQkyA/AfUPHNTmHG0ZkNWxk+gwbI3uFaBQMjPt0w6OD8uyE +aYNHbTB6fstHz4ZMVtMFhmNKJiU9m90gfE4sDSffpuzPO3QDYbSZqb/NU1hw +T+R4UWG1pxydFoVSGPUGpJd/GVJaj4UjQx+DBa92hUFbG+BLqy6+rBKgRWIH +pOTO+FLSJeU+nlHLdfmoZYokSHwcX0pPInkME7RcGYmD5sCZ9lB6GTmjlg17 +aM39LnHEOfNDF0HBptkh5xiHnNu+ddx36NzRFxfjpWjzOU0k3WWKW492Q7Yy +nRpaj1HyrlCdquSiJ3jEPwUU0FOdAIGAu8eE76Hb9NPQ85150HtXa5h4uBxs +SCwoaiInj/wTTh26C/9B8rBRqD8CsqidCEj27gI+y55lNtcB5mS2t4nbd4J3 +2E6wxLoT3GndCcb6/BmsTTxFhzzbnM0lWamZYhCr+ppdZE9guM0uXSEhye5u +bqjqJ+5kFe5u9p6MVDzyfAhonsqgWfYF1mSBz3E88HmPzKKjXaD2brUL7Frp +h+YduAvs+A+EZtOzFwTNam5AVlGmWUGzL1njIUvSUihi7qyIuYOdmKeaxKyL +KLZoU0bM2r+3VBDz1z4Pn42YPxCpz6yYUiGd4N8HzSUVNBdPlC7nQGj29gf6 +oZm7nPlYQ+1yDoJm1+X8RZQ3/PlL5XI+prwaJ2OnIDT3FND8o4JmOVlFl5pl +44YXmmmMYSHlbi7o6Qt0ofk+16bmCXu2QHMSDZSiRg+yrd1hlcpkyxhDbxad +H5rdvsDbVV+gVyoXqoFTbtv0P0Xqqwwc8kMzD3z2TnnVUikDn11oLum0T/vt +bBQ8VBelsoGK7AwHmnV9IczYzhDQrK2XfktbzqDZn4+fU59GDoj5/SyIeRkS +81uSmNspYm7/hjf1ueM8CzHTSffMS06NwUsXRBbXIiIecvoDgxI8c9RNHTTa +0NJKPcosORsJG5N4CDTrE5xp9Am+0oSBs2fyCqsvWMH5ZEB9wR5C5DmZM6VS +p28IqeQlaJWTryBan9BJyRSymYus0RF5xKwq+tDeqO34rQ7CwsbHYFyv89Bv ++mfQaNMsqI6cW+PwMKiBrwx8deSil0lEUTUe+THGFnqYxNO4VFHuyHDlV2ap +XYfki8brBQ3IH/BMcXOLcwWbNs0GMt/vIHPrt4+JJ7bDAebt4HzGAvwwxfTw +z2DF6BdZQXrK1YhcdLySC7pNvhqRD7pPvorrCnSfcgW6TUWAIO6eeQE6zP0G +WiK7N303EZp/9hq0PPQADEUYfDW1CHySlge2pd0BB1HZk3Cl4cqgynHq7TeR +1OMGKorI2nqhcjGomJyixnebBj1T/Ld6zhpvd+bY+hvDZWaGzZJhDyO9y5P4 +r7Oan1YDUfgsW5mfoacPev3M3gJzNZ9Rz9sJaLNm+NPn3A2gr2cD8Jw3Jvo9 +zZU8vKxu9w6rDeCQuQHM+J15mW0AFl6urni52n8oL9ui/0NNJbxhXraMAAg8 +dORTUninuOJlX+mEtQSavGwOvOW8LDvGzTANXT7BHaB8Gi+fED87eRpWXmab +QKS3K3C/4W0+HGIyob0rkA9LkYXm8Hi5h+Jlb46Gk6FhDkdRvMwLzL5JhMbZ +W8ix3441w87LKQFjv3WT9DY19tssLdh4eXm6bJYO4mWKGbLmaChefiagtNDP +KS0UVtnNfl7uZm3/yBkv+8M6H/ScIdt5eRjj5aAWkGzy8h4z6v7342WfXG4M +wctrA3j5bZeXhVwuNqakzDd52dsSGJKXRYuVmpQiYTkionuwoY0pprWHOlT6 +EMvUyHJCIT+TM0aCO2dyCWG62dQQV3+FwWt1fjcsxTTDO73cvJmfzVEMEbFz +jCo+FzlIBWikt11R+yPuExIqyw57YVc0riJ7YWfMPthebD9sjT2IapwI6yqk +4c94Al7scA53IdzZZqVB/U82QPXdy6AGPo1r4lO8Jj7dax4cDzUOjcWvXf3Q +6FwIDaMjovD1MFp1yo5VrXzjld/CfY1QFbm6wmP37Hq2Z/aaRAtdTV7snl/v +WebgRcGmzbKBy4UcXG658gvR8uoigelBUqM331DPc+fe0K1Ai+c7R+jZF+he +EX8ZeLeYi2ghoqC4cRTsgDeRnfCTiLnpSKY9voDa4jdrvvIoNFyHL9LNW6D+ +7rnQ+PBQFL0EZMsS8HLaP2FZ+h2iD48C9km0DyghPyJZ+CaC4lz0J9w8jzA2 +1qeJOm50FxN87sfjluUPWT3ZbWa5wxegFIqTvb68u8VsSj048EkxPscboiTF +v6A/fhTFv2dyBUvWnJ7WbQvuzypvzjaKlic1P+z0p9oGCer7vtCsPO0PZOVN +QvxtrFwtbFZORfFP+5NZGdcUnVDjT1K6YVa2pjZnzcq+aoli5fHtLA0uLYiV +rzFWth8zvmbGZxisbI7PIvUX8RnVbcFzLivz2bWflk6Bz9RRozLrETs7Yf9R +hwJYeTtj5c8trBzcB5jKBgum2WaeRPE+wFccO7NObj6BrHxOs7IwY+ik5kjG +ymrGicPJheGyz4xREM6L1ucwWPmQDKn3s7L9GC6IlT39f2pq90blWVsXJivz +FumsWPl5xsquXN6LrJwX5fI+kZzhmXOS7B0FZWflhizUvpk6hguWS/cYrpeK +ifXLpZeVzXbpZ5CVn8sGK/vl0g23/71YWc5frb51Z9asvCFMVl6pYjqzy8qv +mKx8MTQrB00VHCFAOSKiU7CdOaeKGRByn2V1oZVbXXBz6Lxt03OaXPTwMm8L +zCroXlQY4tzQIbd12g27X8dMGtQm6IntVNxMCipGRsVKdpZdIUm5SE5zkcNN +/AmBmT5ASUWU97mhbLroP1yFcr20ztcwp/k5GNXnivhld579FTRekwy1P98O +tbZ/KLb2OGTXmvh8pud2DbwfrI7PdeRepNPqB14Ub6cpZJiO3IjgEJGf3TfO +Zu6Kua6n0zOT2C0d10IuriXia9VrQ3VFFWyWEH7jXz4HkZu/l+FJom319nFn +cKaDy2+e9iBze4XMwrovsTkXPe/xWwlylsVn/Bu9vV/8nV4RFMlILun2+FA6 +lWmz9CS0WPklxK9Nh4afHIS6mz+H2jvfg3r7p0NDVJs2qFwPJJcWQEmhxpRP +9C6CMhkiqIqxWRWDd6pKx56jufG3i1qeS/wRHCLeqaR9O3NZ6FhRTsem2+I9 +VkUmi/IyNTd2saU0MocdJfIGP3/MKCuPpOjyyD1qfux9/mqyz65cTdmVa3mr +yap7JauQ/hZGSH+8pe1bW5blPBP/eCtOyVr2w6NkbiC6QUreTpQsR27/bSmZ +t7BYKZkZloJaWLIYva01X58pPm6tkWTqnLmsKblrdijZjWfWlDyHJSjlhJJX +KUpe46HkL30df1LnjaGzgpJp8GwitYTbKXm3Jy3DT8kbjMmA/qa/UJScEUZK +84nYqUjJPe2UrKrJ2abkne64bRslnz50dy5CZFTF7ECyrjPwVmjn/E1Bsr3X +73ZnPqqGZJ2+6c0RklPBtGK6kKwmPjk1BRUvx87eJCRTTUHGy/WmeLlkb1t0 +aEgOUy19XrXQkGxrjQ6G5P+fvfcAkqNK3n0rqntGGkkI4WERHoSVAXmDAFmE +EDLIIQnvnfBGeO+F996D8CxWeCOBvIf1hmV3YRdk7n3x7nvx7r3RLzNPnnPy +mKqunhkZ9n8VUR2tnp6edpX1qzxffp8eTgrXkbMh+bH1CskDoFoOrALJw3Ui +YDVIvufv2YNSGpK9Qak8SHaSoM6Ly5YlJcerZcbQn5Yu+9USKVnGcAvpsp9z +oilZ2nLGhv8kJT8mu8p9VFeZrDm9OWlSY6A9p2cyhKT8RpdvkJaTpIUA5uUE +zDolCqAZiA3KaRkRONmaKiuSNP4Q74y/iIOF2L1GFcjD8Lzw+V89cmXl3GNX +0Xs94eZ/ANb9Ho6oiyr7v/cFfe9wVB+/owSvCLKzH0ACSBEFyvgNp2t4cW+y +OQf4PMBs8JCQHD0mGEEnOMhvvnTUeq2y1eChxXF4M4PDg15dppRFM9wvtsLj +3zrdZDXR6iIyfNmBQeHrXsLve7IjtZdH6u2RvxD44h3xl/ABkLeHwh8ZBH/0 +wDcWwx43t9L7w48rvT97jbio75xrK/svOLUyFE7dx0IlOx4q3YVLt6rcDFUS +2ROVDagKfpX4VVVjrMofKMYtI+ymeA2XXQXzvrdChZ+/7aknXlthFRQvOt3h +1t7UtqrkMoFEd4jDar4xVXMrpxNqiiVSTaHH9dpbq31R0SeS0YWt6OOgotsu +cd7IXt4Et++v7Fof2Uw/126/s8mYvMhNw8YlkHnXhCL6ObcZj5aYiF6e3tl0 +khwG/tRn4I8zGBir+jyo6vOhqi8oxsAv1cDAfs5rUQaOJWNXYWBZ1U+I+O5n +MvCJVVKrqjFwZJ3wejGQ4hgtCw/+KAOzHdLj/VwGftZnYN/1AhgYZ/fedBh4 +ucvAxvViEc/uza98th3JKrBzLI0vUFqnGFhm/MXG9zQDx8f3luYokbXfchEG +/u8xBjb+yhEG9hQV2YYXmyrDC+EBlJuG7WT6qXhT1/CiKAS3djyBnuLS6VvQ +xyA4trB2biYEbykgeCeG4CwBmjuwUQyCx9YIwTqdpJo/kIbgq2qEYDnl3DQI +7tEUCH5dQfCgohD8WA0QPJ0h2HhuwRYrlyIaOzcO9bw1STKUOgeFOThifuF4 +LsdG+LK6xYHJpjfGxyZyWpF8tzfKZ1n4b7aD0M/OcGgWRiZVFfQPjtJCdo7J +HEMzcedvdAcZkA1qaj0W1WS7yltQWt/aZwW5z2G5RejFVgS2JbA8Y8nGJ3PX +oB8qNwz/maIzpp6wmg5NR1z/I7VFD4bD5oFvLKz0/mBWpdfHH8Ih+y3lWYWY +ijAMCKsO948h3ib1CAHJFh7qPsHf76f4O66R9zn4/RfgsQT2fi6/72pIacvB +B9egmrAMjBE8A1+z32+jtJfBZc9zy1gHmGkuVup7+AvwzU+xg5zsTDsBATPs +EHgnlB3hL+IDDZnxLf2hA+GP9gMu6TPzq0rPjz+t9MCd9sun4Vzg7kqfuVdU ++gEDD4ZCNGrRgZXJwIKnAh9etGzTynVQNO9cthEpFp5crgruS1yE3+Ce7tu0 +AQu/gyz8NrHwWxzCKrlX931f4kk7v/f7hFERq96vtvt0+He5TeHT/BtTE8ti +rpb9NqWOhpXI8UjJkt1YJbEPFfSJjoORb5pfg1IimMX2VcUneKpiN51Pq+RN +WOs8y8BdAwZm64o504F/a2XgF9Y9A7/CDDyjBgZ+qIkMfHXjGDiwAs2t6IKB +M+yMAgYeIxj4sJhWzjLwrREGvifHMpkYWGdRsWWyHMx+yZ8viTAwtjAC57dd +mYG1u75iYNhoFA9Z2B8vUaMlbubIPGLgWM61O41nnZMfD9TFLgPfJRj4NsPA +qx8SDCwzrZ2MEY+BI+l8cgqPGFj2gIXpm2Rgx7qCI5diDDwvg4E/YgZ+jxn4 +14aBWxMD5zn8uAzc1mFgPYRxWaRsnu4xsB7CmCKWzfIZuK9g4GI5I9UZ2Fs2 +izBw5ygDX7HeGLinYODuTWZgL6bPZ2AnbOTPNLA0mgOuR4thJYeBb/vR8coc +G/WvsHP+R0RCHCLS4iQZkGsAF85jrMnXmInUvmhEk9cPtjMZ2d0DfzJPV8+H +DhAcTKZwUj3xZ9NJeM4zn1csrKup4mGoqCkCMbDa6110m/hb+N+bnb9Nsc4m +O9KN+EPkZkRgXJXDx8LHxr+Ffx+fFz7fm4f9VLlq9MrKRfC+nHGyOkpNvgY+ +tjv/XjkUPvpBcDTd/61FlT7vf13p+dHnlZ6ffAhfwXdopl6h8Uv01VVfYUTk +Z8uodEjxWrIZXD4numKaCmYQGfSmzu8rwhZWzeoTdouv/ZZDakHijQ0S94fn +rdIpl1LGDq2BSLEQIzK1jeF1wukgnFMA38LjosRB4TL+Dy5T/C9gMeIv8jTu +N7j/4APhPoV/CPe1XjPnVbrB/oj7KO278KJRadLz61srvedNAyQ+pTIIahI6 +502A2nY01L1Tlm5GLVbsIaAcAfH0EUbjZxlpZ3BtfkUhb4rsm9QZCtYkDD+G +1wA8DIdL3Q1+QXSEn2EDfEnFsiusSrs1cIt1hn13IhkldapjgbwplfkjnTK/ +q2OD7HeHx8ru8CI7b1ek1A+lUh8bIvHaHQuyh647ZdHxXGGJzHTco0l0PEN9 +/wvRMZT6Tz5fT3SM5Z4NEu/+vpF0XHzdr9noeEoGHY/NsCzy6FhOkhSfvo7Q +sehx5E1fu8afXpD1bjrIWmRPbTdf0rFvX4S0/FVAx9rFyKfjlxtBx/eJRD5r +j/z7XW+o/ONoRcf/3TF1c2fuiI51bjXbIReh4yyPCoeOMyQSlo5bBN6Xjp0P +ztwxHb/NdKzGL1qbkvrcirhrvKLjtoVGlINFtaXW2E2OKE+qOqIcp2M7opxV +MscDHU+M0nF/R1R2SkE6viTuIF8zHfMc0Sx/sXg90bGJ4mM6Fk7yUTqO+cne +kUHHzqjySjt7F6HjIuMXXDKTpJsNbMjyq+CJZSfANNYljnlWjBRdYuPyI62S +XY2ZnsPLJmSRdU1VVFonUyVNsZQmLWzD2ECyAWUEn66/A1x7hX3hYEvKiMAp +Xd2ZfoDVF38BfxmVGM9AhUYax7+Nzwef583w3K8dsRJe+6rK+fD+nH6qerun +XAkfFxopwEd8CHwFBr/8TaU/gF/P9+dXun8I0AdfuR6ffKS+jvjVhK+oItvX +mHJfwa9wGbu/8DzVt5k2eO5wh6StBeHP3yQgsDD8jvimz4TtQ/pTWwwZVlwy +0ZbYuNSmTaXPuzrBnfPH4FVgBpniBt4RdDv51eUp7hFlbCqniM1JB+ovI1Tg +noJ3QrjGX8QHwQdEGEFHmJ4ffF3p8dEXsEd+RP1t3It7wh7eHfb+7lAdegNs +7Q9QNgiq0KGLhhP8TVq8D8DjtpXTlm5ZuXDpplQ5cSLjrmWqwj7CPQlcn0On +oOcYcAF067A8p3gNjoDPU6VuQ6lPBL7sKvSEqNoPR9rCWL2xt3GbcBmS0mCq +4iL/Q8qDTwvMJnQOSHt3iG7JnoGjsVzvG6vbw4uKtodZIiH6HbF0KNPvWOA6 +G8vpEF3RaZhu3qVRu85uejqkWSCYTwA/ezMOwZ80HwSrNZGmQfAYhOA7MyA4 +w7NTQnDmul8jIPjsDAg2vp2xoNUsCB5eHYJlapRe8ItZEMnyHTNseykHgsmA +IguCd/EhOJKst91nCoB1Rkg+BDdqqM7JCJEQPL0qBK+SxhOZECzC9CIy4b9m +Gk+wu3EVCF7Ec8p2mK6FYzyBM80zv2nIhGDr09NaGLVlm8BXg2BdOk/KhGB/ +Va2zKJs9A5+eEIKHOxAcqsrCyQpfVaZ9LV0IPldA8MXND8GBYnJ9Q7Arp9QQ +fJgezPcM23Ih+OZ8CM4MCPHCFSKObUnSx1ZNmbHnxZEGtj2ewGxapH1AsmFZ +Odm8zbYQVovqubJy6xAfhH+s3B0ZS37QG7qQldQaxsPW22kZp1hWAXNf6KGK +64vdcft9ilU2xdU4+Bl2kXFdbobaUrwj4DDWY6zL+Gj46PjX8K8jmmONv3XI +T5Xrhq2C48Qqeifw3TrtNEbhy3+ujL/xX5XR8OEeBh88HkcHMQ73fXdBpdfM +OcB9swmJcXlXNbPwC/ous+zbypT187fKyMkp/I8u3gII7imBlyfrFQywCOjT +j+Ebzu6t8Ae2GHJIcQje2EAw7gTkWvj+gkqf9xYSFGM4ez8OaHfBmOA4aYm7 +CPAv/h9/hvdB9xbckXq/h483r9IDmLc7MAo+vR7I6sy9yD/IRd2AmboCT/Wa +fy4UmJOoYTkcChOyHp7VY5P0eODFM5ZuQTqyK5ZuTAUUefQuLrAPMgdj4X2C +Q5+fWtEmxYqcYm+CrsFR8Cn+4RMMzY+xoE3rgSX8yu7vdF7cuzkCwJcLALbz +ce0CAFZj0ZvzjJyrj5hEM3IyDE9qhF2v+nDBr7pGwu0CuxoJ09ZYELY1OheF +4DkaglknPIctUr4WjhJfPdhICH6LVlkMBH/iQ/CX6xWCVeTTPypjmgjBMU8h +U9FFayPPU+gsA8FrHAi+IMtTyI9NjZRy48PpRz8VhGCrePsudGHj6Q+jdCMI +znBh8yG4g4DgnTEGytpKhBD8eRyC3YRpf2ZuUQDBblDeCtYLf2Oci/2gvDsY +gm8ECJ5UWf1wBIIJgH2nYjcYrxgEbyogOD8Yz4fgJQzBrmGlhGCcVZbZoQ3C +UaK1GTPWYxZPCAh+IAOC/SQkhOCLPAh2F9AQgq20TEFwBw+CcyI+FoWjFTYc +L8us0odgKyvrnQvBcXP3JkNwdGxow4Zg37VYuVJ9H0JwVrqYGDFGCPb1wgUX +z5KkX9xdIugGR6YsMipnzMHYmbTQ1VNOW5gKGps6FiAsFGcPeY4TsiuMFfVJ +qKjSfeJZBcQpltgSgm0ZBWnExnRbshPdBe+OpIsPgw+Lfwb/ND4VLPA3DFtJ +BwF8ifjyz4G3BgdUUIly5GUryVEXP71R8KmOePxPlWFw4MQmMH5j+gEx9gKS +7PnB3EqPD7+qdP/oS/rmEf1+gsD6YZIiwiLlziyhtWpSJ/q5M/l7q+71UdKK +Bz4/MYd9FVTwJYcVzK5sPmR4FHvLeL0VwW4bht2NiBPQqrsbsQJA6sz5tAP0 +hKeMT7u3AuAU4QG4uR+7eMMG/wOYSPahfQT5GPeXPsS7C1XrGx6OHhr2r24f +Afd+/Bm3wQFfsI+NeDPraRoLxP2969zryYmu5/yzyQh3INQdrEdYq9BEDJHw +qMU7A0JuVTl9ySZUHy9nAQLW0enMwfcyuiLCPsw8/CjXYUDdEl5J8RY4Dupe +r0ZeXaN97JV9XwwzvYEd5i36tlPNi4g1xGlaGrxUB3NInZseeLauQLKREcuC +jsmDRxoXzciK3kJrppaHvzboNKzliL8dq+BvN8ZfbT5sTLS9dEZrom2tInoZ +TXxT8Xc2bF9vkPibpXtrTvwNRG/Ha9HbmiC7KcDf8R7+xmzoh61iy4ja8Nd0 +LyL4+6zB3z/Sup22jIgloAb4u4e204zg7/YSf91ZOWBfxGA3zsmOyy3IHJd7 +nkNS9bjcE8643DdecMe3nlS4Mfjrjslt5RgPZ43JSfzVZmqZY3LL47nQGn9n +UQgoG6qx2441VFP4+1oO/j5aI/6iu85FontgDNWWav/JzcJcoyXWfDiOv/0K +4q9YNqvq1R7ibzcomftByewipirWLv6ijvKFXyb+3sv4K4zVxuaG67oh0QZ/ +L7XLZkVyjowYolc+/rIgwqyeAQJfFAnwuNSXkR3uVk/fcMcN8lBV1LendBHY +jUB6iE2KCYP3/5vXE3YMeVIssWWssXWIuckeVG6xUfwUAa+6I/4iPgg+IHK2 +At9/KfA9eGXlmkNX0QvCwwSeA5x94mqa0MbD0ZGXrKpMuPpn+rzQXBc/XzxM +4qETvxsDX1tuJLC9CYIRCefQdw4P1fj9Q3xVGPtZCb+YKcItXnyalLiVS+1c +tCz++IukQX1pqc01W9lCIcIyxm6m2DdpZYA3r+dbNj1fZucUYRVN3uBh8Xl2 +/xBdmLt/MBceC/eN7rBv9FBbGXeUFK/B+9pjJrZ3MfBmDkA+/N5HCPqzyOQb +9zUCfWr5vq1whkYHn6n0nP0I7eM4UYV58V0ArXouOJNqy0A45R4G9Qg7m2MA ++6gFvHgPQMf2gMBbQF3clGQGiJ1XE5IqPEUMRqkC1tl7Vc1NsfiWEXBLeA0O +fPd7nHu3cbp0WVe2ea/lhborhQ2E5F2pWtOjcHbRbgsyDZ5sXDB3DtRrSvTb +NdLuLToSF+td5M8567QlP6JUj8V19Av4vEgB5+CYHl+HzNurKvO6zn9NZV48 +jesBzNtrJq5d1Mi8YtajNublYJoamHfc5ULNlsG8sQJeiHlN9NKa/KA6KWMb +I4adR2bZpK2M2qTdJW3SRGZpdeb9U9wiYt/fmvG4MH5pqbGIIO3DLiLeeXs3 +qc5lXjMbh9ybz7zVx+OWCwGwYl4dvhEaCiPz/l0z7+vbE+/+Nwqny2fef33g +t3szmDdD+FuEeWWis+uPZhOdizCvnqPQMxTKGqKN8EdTZfVWnijGWm2tITau +TFsa+qO5zBvJ8lyye8ZKWS/jj5bnuR4yr2oThLMSWcwbzkr8kphXd74082K3 +q49gXjsjtIw4ZhDPBgXM+6zHvI8L5n04kuWlmZdL5tjpGcx73cpovLPJ9sxg +3lyvtNNJBCwD6+KV09M+aBFwVvWMJT7HuNcblbvVRBhp+zQhBtbBHLr9e6Aa +mdPtX8u+36XIsEBo2L7V+AtbC8XAcA0I7Qkm3cf41/BhHjzgH4DV/6Q/p4kX +i/y1h6yigwDiPOqe8a3At8hQ78WrKxOuXEUHNnS5w0Y+nt3gp0/kC98ODAI/ +6PWl8C1arOgXDsbYFO1JpIiU+DUQ4teqOfrxVykexUuItXQtwcbsbPqaEopa +HEUYpVxFRE79td2CqbeY0qFejcIB9fagmNvPeLf4AgH7S6RfbiTDnwfcBsRO +9uY9ZjbdrprNX3BKrivisBOAsHei5wMADwo/cQ/H5iACVJf559H6OlYURblj +aIJrNFQqBD+saLiodfSSX1VOWrIlNVGxMqKBzpUMojcynN7GlRWJ9y4Fskk9 +Im1KVyt045283c4CBj3CJulWdnOv4LJ8iRhn0+2IqaIlcRITrjU8k6ty7lib +G43hq3pd47PsKebsFsVAkScqZWooaujLk8y9Ir7vknK7FKJckZGEyt6v76mB +cl+sQrnvV6XcbpJy31eU269myv2totyn/+BGMNZKubdUodwrsik3r00RUK4c +Z86yt4zp1DIiM66Qy3MRjZpjAqEjmZly7/GW5OSAhhxhluPL1SgXTSB+7Ru/ +CxOID3dZTGNuyghNixt8yv1CUi4iLpIuUq5rhFYtkjkWMSdjM2Lihtsrv9v1 +JqLcVUUoN1fU0HjKdcwfcihXmz98KSj3I/aZtAZoDY4BmjV/UJPCjwvKvV8s +lknKvT6DcuVk8CmCco8yyULCM3KJ7wKMnuku5VJivaFcWTazk4UGe4thB0ZS +OHvnpHA2jnLvWH+U+6FLuX1ZzIiUiyXTUO6rHuW+ICn3dyoy44k/2BwBn3Lv +z6PcH5wxt0ALlpHIaUpmhsL3xLgTWgLwUm3UDdAuSbF2AiJG45m9+QjHFC2m +9D3Yl4gJ0h3kka6uqKJ3cP9BqPr9eyB2ANpNscymWGcB0bCngDj7OMBtinCb +dCL8fdxs39F9NO7iY6BdDz7u/dTk/YE6zPh88LmhGOM6xN4RiuTxNeN7gT0U +POog+uIRCj+CiZetpo8MT1iwcY8nNvgVwKMoLgCQFRgccfHoO4AQeAl91cgS +DDXAiMLYRP2ANAJAnR/MK+OXNMUDe9IG/08Lt6EWQQly9crEloPjQoco9bY0 +1Nvzk494fugjVmCgEoP6zp/hxefUdwa8/Zg2bADDZUk0qrEXDXdO9uTf/ZgR +eKaSJZNE+U21u5KhxfO0WxMVASVhGcCygPEK++I6OpSUngtOJWIbRDh8OJWu +UYsHUv8Tjc9xLmwKEOWxS7ahIDYsneey1PYyptZrWZeA+oRbWBcMtAvPFYpy +GVu7dC15jRh4+jK3y3tThIVlt/dSLuAXBTysOr5aoaZ42M8ItTYPR5AJsF6q +kzPLYdc3zEDy2xehxXssNg7tHg4UxT02vxyLWe5MTMzRcaR0KMDEOjdUm18X +YmL8lrzSdCY2Bb6xTPw7cv0LYslrZeKbM5jYi5GrZY4ZintK63Y3xmeY85D4 +yAwkFg4/tSOx9EWLIHF/q04j54ciSOznIUWykEx+6K6MxDu5SKycH4TeNxq5 +HDdFc8W+2Ty83ARkFOHhfSheWakcmIeJhX0e3iafh78AHv4SeHgWmqFl8PCC +jaHYAQ0n/03xsC/y9Xh4gbB7kDz8ieBha4bWIPKEXB5+coU0Q2vjJG1qHlaT +bm1NSY3xsDFDg+0Yh4e3Yx7etQAP75/Dw1IcVisPcwuhJh7GGFkZIXuj9Y/k +cmmjsjYUHlZqBwQX6aMuQwTiPJxhDJzBw6pc/uBMvNmEemEOfEXcKSe2WJZl +/aDbCLLtK0feokn10jFHDgvHamfMJdjU0FXe4PBKky1ngHiQAuI7JRAPwFhe +qKoAXsITAm4DLE6xxJbQPa1eQTFcS/ZSkAsbtomxXYy/g3VZ9Xd/pDaG6vH+ +TEI2UjaMUq0RPC7gIiGK5TTw4vuI7+9EeM/ps8AEE/jMUOmAh0EDvXDIRANd +PF9CVQx+ZwZq4wMeBsNDMR6S+76zMMUvXFKu9H5XIS1vKQpnkzq4Wclo+7y7 +SI+dwatGqW0dr0uos7YtBzWi6du6TaUX7SFmei7F3QUeWodv2e1jeI6wCynZ +cUe+wwe0KScLjbpvKTkv6RpeVkBDGSFP0oQTTjxhoxDPgtEWkazC519I1QM9 +t7DKYOU5GKrRoVChRi0eTFUMqxoCIsoEjoQKiI66Jy7ZjND3HK6cl7AEWOPv +9dQZVgh88zI8GgDelnBgja6lSLpJhX58I9OyVjZI3jX9XzHMdj67VqKq12fe +E5h5lavDlsS8tmjv4ikdsHBnTWj4MjU1quwW8KwQDOloKeOS3aaGawKc4dvD +YXCWe/0wOJ0BmsG9s4XLA3EvZx163BsUcnY2yeReXcjXB/feL7j3bo97b2te +7nWW76ZyKAY3NmoG3ykZM8sx8GXLs2uCeeXQ3j3PEPhRBN/9pduDtjyz4Ota +noVBoLngu4sLvp9tPzccdGv/eczqQfWEfbcHOegWKn2XBUrfPPa9Q7HvMcC+ +j0j2ZaszT+2Qyb5BEIbr8vAX3+VBD7hpI+CCkcnW6qyFcXnQeZrW5aHBJM27 +VmeKfR/LYF/toR66PLgukTI/6Pglin2PpOU0Nz9zUmZ+ptsyUOxbLBEuzr4x +kVgW+54XYd/La2BfjojVJfOXwr7P/M7EJwfsq/3T7zcBspZ979Al84fQ7eH6 +n6PBGDGDnBj7VkuIA/ZNks4ZejEvVtMfdsvrB/u26qKFQKFxYuhNV1OrfmAH +CEBTrfyN94VJAQxAA7U2JRIuUYMYWfeh/t8DwAH5Jl2oBNNtB6gmMjaT7xcc +jA+MDWj8o7doFoYnd83wVfTkdfMXXzC+EXhYwUPNaczD+H7jMuaEK1ZVxsNn +hb18/DyxFYSfN37++F1Ao2j8nmCIiuLib+i7ZEzB4Dt2IPCxMUygbXE9HrdT +/B+8PrU2QRu8cDRYKBvH3q0G1dD7rTPw2/vTtxVhfPZ2ggN378D9FW3g7vNe +0pIuu/D/33E8KXoZyH2V4+lm8Ozp06qzN/thgt0eQELdv76Nln+wW7gfkFTX ++ecRbfVZcBKVFwW8Y4nmRi4eQsSHZQzL2kQ4vUf5A5a/Y4EmT1q6GZVHJM8L +mEgv5VJ6FZPrtdy1vV4JgUtYc1O8Boe769mo9zqPdK/yaNfv8EriPTODeK2N +w9bGy8xoe02rwu/0KuWDjXzzWxa+vjejbeGZ9AzwNL77exrfngvjGl9VvrOp +t1tAvVqwJqh3tk+9IuFbOFb2KkS9H/DaxCdQvjcA6r3Pp17YpnPAp6TeG/Kp +t6hhT2w5z6fes2qh3ok51Jtl6J4TanQPKdN+IOlYYO/gUe8zvf8U9TjLsneo +Rr3kcbajpl7f3kHlIc+21Ku0vjNZBeHaO2RTb1YcHFOvsXe416Pemy316uiL +N0X8sRN9sY1DvWju++Mnknq3COfauNsbpd4MWwc51yapV9s6mLk2Qb3W1qGB +bR1aed5mlnofFtTrJwfdyCX3arGAdrFJzVTUe9oSS71HO9TrO+K4lpBx6i0a +fzFeUK/fLNCisROD1HiferFkdlrAo8AiNb75qXdGE6hXjQhp6u1RC/W+lEG9 +T3vUi5nIZPQrqJftHQ6/W1Dv7R713iQzkb2RYNkskNTLZdOn3hPi1Jskbd0Z +t5NDr7Pz/Mavot4UsTfZ0eRpxlIxAiHEod7amQTfoRHwHRwBXxd+qQVMHWAi +X6C1BxhsVTMYLkvIuElHRbk8v6ZI90d6VKTd24h2f6Zngc8InyE2PPDZI8bj +K8RDBg764WFFEy++p/g+43t/xGVwJnLVSlKtkPTh9n/SIRE/b/S3Q803JQE/ +9Qf6ngzjVDQ87Gr6JWtc6g5ThzhpQN0NvCiVQUG3w4uCewDpooIYf2frgTWQ +bsmS7mdvkHvw63jxBl68iRe/rkPWgIcn6iAj4jf1HV5P9jF+xGpJ+kXeC5/h +bu5jtLeSnldDLkDRfnOvUZLR+RdClTibDGT7LTiRpKiDTWcXQXcoTSMoUUM3 +qmgIidgmPWrJrwAot6ChsdN4Pexcbr1i1cRhMwwQuoKh9SpVWVOkWXg1mmt5 +S/FncOyTiHs5PwI+0jSvsXse1+SzBOqeQgJfVZuPNbY7OqJzW67RQuS7RIoa +upgG77iahL5KsYZzGbEcC3ceObTx7Stji0y3Yqozn9FJdysWqG5Fl/mXwacn +046zcFcr1/6L4e4dIs8+hrsmz34Dwt0JkUqdm1/kDiJLO4e7eQj5fjHa5uLu +dzXh7utFcNekH+No2wKVfmxwV4h+De5+4ng5AOsmcBYf4u5rETuHgunH7RF3 +7zF2DlHc/XUG7hrUDdOOUdzw9zjupsi6yf9UJmZAu9rFtyjtWheHlkC7LXNo +t5UwMfNoVxijKxeHNk5OJrk4LG9LS2luRvzGJiPeGOEY2t2UaVf7P+4gaDc0 +MStGu3lOvm7Y2wCqmvm02xVod1+omp3XC+2+rJpMjabdOVVp96AY7c5g2n0+ +pF1dMQ8ztPsXUzGblXY9jUNO+JshXl01szLjXdGvqp6Y3xCY4eQlIUtTHL+S +Cq3Y9XrZjIaFmXerNHvv4r7sPaR5gFrbGqkXr/0z2ct0bbH1gDUZazM+EvHs +kJVUv3FiDZ8N1ng0oKAO7hHq1Z3vMS2+Y3j8wXd20iWrqZNLcl78dODTMlwL +nyqqWEY+ojq6im1/T98KDD2hzi7yrYo9S/ErBEw65GVG3ZdXwP8GvbKihEfk +pMw3fqOy1V6C+8PXDe6Bv77NgFqkDGXLuApUUxwoQuXEF/jfV7lB+ypFZ5Rx +L1IpGvvSD9WdXmbAnaHWoTGBmZKYGXQpyRmlCw+SMAnRp/scBbu4s2N3EBd7 +9l1wHgXp9lp4CnUbsfuIhWYYAe9hUJQOpo6mkjP0pNP3I5bsTcg4BfDxKEDJ +Y+GUn6B3iSqSiKHnS/DFbu9Sha6AsPAFuQL5Fqi2pK7hjRX68aWCbi/y6PZc +biBP5bkLMiVbsgmJia1k1xo1uIS7izCY3JsT2jpHpLuScOPS3dxxtgwZw0GZ +MgYp350a6NFMYhvr0VzKLTKF7FHuLJ9yVc3Opty3eSHhl0a5GS7sGZQbC65Y +p5Q7NqJD8yn34JByp/uUOyBOuThEEXj29haevULHq9LpOZnemJYpAwdpWjZz +d6bcXYtQrtTxOpSLOl5s8loDhzDfeElUyisT3B6yCW5VKHdNQcr9sTjlUkP3 +L3M3qfx5Hnr1blwIc2WescTcWYy5nzLm6pE2ZdzQShg3tHLNypa3EWZlGxnj +BoO5y7RZWYi5vt/jqUt040CblVnMzfbqjVucW8yVHo8jagisCDG3VzXMnf/L +wdxu1TD3DbVcHMNcBJhDBObKbGPC3KzgCiyZxrSMMffWCObGpoEjzYEY5uak +uNn0ClU+UdJAFTSLdLOMHLizG+Rg5qh6/ckI2d21ZmZuh9cQrxh7Q5a9m7Yf +ktbEvftQBb6bifhO4lylUsDHwsel3i2y7jBV2UmtMFo9ZzwSoFMD8i42s/E9 +QJkHnhHgW4dHIXx7p0zj8TX8OOCIRke4W5l5UcFw7/fUw0c3B/z4Lff+gVS+ +xL5wlD3kud9S8q/JBX7xW8XBLzLSpnhTiX5aR1807AYjNTcWdftQL/Z5RN0X +4K52kn4GJcbNgD+jEGQGb/jzF+meLySdeL97llu5T4l2LjbvHlQiXdh/1Wza +rbSPK9K9gtbEsWmI6+U9Fp5ONQRn1bABiTXH0u4wqlWHL+pPdQzRUAl496DT ++8lL2pNvuSJeVSfPEK1eTb0XMflOW9YuRZ4t49IZXYMD3TROCMLNZ9xzuAif +5QkWTs7k3K1Yqru9kOp2YKnuXg7n5hqTRROJpTlZ1piaNVcf4BVsqT3LMuU1 ++rP5FxDron3Dvv4scmHWvZ++CDSqNsvLpXBYN7Ia939YV7GuKNqxgn2mZ7kT +rdRFDHoPz2Bd4bBu+g8FWdePF3LMymDTZmWZrNvlG+rqSrOyd/fSrGuD2kLW +lTYOszJY10h3rY3Dm56Nw8uCdZ8vyLr3Cda9k1j3+yzWZcluc7Kutm/4/cKN +DOv+Zmlr4NxWwLkNlRUr4qyrxtUU6xr7BsG67zDrWvuGVq5JmWFdG/J+L/s/ +3r4szro0riZY15iUcWk9zrDuloJ1d4EyGjPmlWXUXRCLeTuqxTB/2iErlyKP +dae6rDtfJxRPg5L5S2DdueT6VI11B+aw7iHaoPdJaVbmsu6oB3TJ1LmWUDZl +yXScb36y0w7Xhrqv8QXH1qpKd08Dzt0tI+tdVdDcrAqva1BNwntVMMVm18q0 +jFfx7kqcMRr8M4CW7fD+hCP3g/4Nt2nmvXPgj3Ab1N4U0Tep1/ArNoJiuk/S +UekWuEs8nfu9mJNhOPhgxcFXSx3D4eo1kZZhsnoPkIXPliw8VXVj8G3HjwGP +Zvgx4cc2Fj5Cl4f/Qac7pG/APvDDlolR+4JTj/g1wp4wHIXh9cHxuIzfshRJ +t6SuDX/2d/ByyRdah2TD9quDGqfe/eJZMhXr/eXT8OdgH8OLZ+twT6NrdFvS +hRW5TLkEMTh3/yjP4WvavZfUmwhCCEXdubeLFUAti19ICTZYNVD4jxUFKww2 +JV3qPQTgbzBBoBI29FB9Xqh4mOiADVRspqJ0AAcbTliipAWnM6SexeNs5zHI +XrAUqBfKbAnxFt66i5mINeyyMjfFX4ADYox5deCEFuqq0AkcT9MKs62cEbXJ +PKJms9dCFUPcrszt8aomRS2jaq7LpFYyaPbtk2vOq/LYOssiPs8bV5t3bRX2 +vSfCvsqmobcTNy/Z1yvkuez7GZmIbPDsS02Lfwun9XgRj85fFGTffKPejKjN +IuyL5juHuka9N8TYd1CcfR8UVuqSfZ/iSE0dUuz7Nbwa8Wt4y/NreF9amBVh +XxVSTGNr6Ncwp/2Hmn3Zr8GZWUOFgxtS/IIYW6sSUkzsqwS8in1vAfadXFn1 +aMcmsO+Wln2jVg1eKPHCtimCbzKL0deLY/PQ106rtaQ4tqLoa50aFPo+LtDX +Opcp9L11mXYu29h1Loug7ykO+kp/3h0F+uougm9tHmrB8tHXH3mYRM6ZAyKR +bP3k6tjC02mB0sb4nCs6Bv+p6Lvcy6ZQnbdGo68Y9B17a6xj8JNSNFztTq2N +9yJ9JnvDvrHK6RjddMhcJPNdzHL9ev2cigwRrzO95qEvuZpp9B26KkX2BVS7 +ebDu9v4MOAaVNsVSCz+43Yoc4AcAwfVIwcl+lTuZaW8fpHQMlm1/IqUEMjUa +BGu+xSeATwYVF6bXy9oGPErgC8Y3ABkXjyx4pMFhaVxtxPcWj1B4xMLuzfgr +lc4Bj3J0xEOtA04iwudLegcc7oYjJh458QhKvPuo5l3YnvgjfW9GPPnHFA+7 +LbArnLSsjHiKTUSfZMt8/G7B9qsDa0gfFoz7JesPZj2Ond1ZT9QjxtI1eAMV +yT5mFAo0c4aSXNgZe87WVHs376y30Q6M+IOOVYpsL6U5J1QuIEohVmGx6A+F +AwsJnk8jniGuabodRXR7EBUspWLYT0l34bwelQx4ro84qQh3c2qznsStV0m5 +Z7O64Vym17Lk2HNZgatZdir/otYqnMr5wScKizHrkaMH0LTV2I4mGV755YS6 +hepBE1JfNjSaFp83jKZ0ZtJ67DhRnVlrFtjxng1Me67KGTbaBY9r59ZSoWNc ++ySdHskq/Z/Ltf9irv0pnCuuxrUYunZ+Fa7NTc9cEw4UeytvWcmZV3l2DNo+ +3fiQ8QqbGxf0Aw+mNY5rrR3D7yJc+02cazsIrt3Jt+b1c4ddrtU+ZKTUrQi0 +dQfTFgd2DE9HcocftsFr7d3BNIdr3xQ2DJJrAxsGj2uFDYPl2s3yuFb1c7Ul +byRn2FqQxcH2EwZbbcOgLMhaBRZkzzHYPrlcW5C5YIuu59PZ5fHGAGyVpfoF +POdrLMiWbEJdimN5mUy1C9obCzLl4CiDJ2Jgy2V0cTyvJwTbCVXi2V33GiX7 +8sH2/KAdsJ/jXqNaAcXA1pbMAGw5q6fZwPYDBtv31gLYPuyB7b0e2JIdAwev +BXYMYdmMudjEsoePjYBtZl93z3hfF4fTZAbxMaqK5il2Y33dmF2vBNxrNODG ++7t6ag1AFmouINltHFoxffC/EwLeloiyKf2/s6VZrVqAR7ghRrSHqWd1BXdt +8dnjq3Go9kSXavFNxEY5vsH4huNxawIGKRmy/cmQLfot44dLHzR84ES3+AWA +LwJ9IVDhQB1d7uo++uekDR5tkzqVXsIJJtsqiq1dmvsltlsfKuGelOI5Yhn3 +J/zvIynelnRiWeWDtL/Zjuw93JW9g/ZN0iLMuYlwB5eycVkbT1gxKA339/1g +3ycF7oJTCa0OIF3CUVRHMB3B5dghgHQHsRq3N4+gdSE0xDG0SaRR2J5Zditi +WTy5P3HppoSezLMpcim8+qkGa9vBe3Y2UWyMXk8XBCu7sq4aQS+daeWtpNhd +M9W3uvRaEzG3rzCG5GMyJjjmjB7OmenuLM6ZudFpYTnunaHC7aSDJaQKV5Ps +3FpJVqtwBcl+qUn22QySfT1CsjP/c0j26nivoQjJYhS8MRZrDMmyo+7FWSET +MgxIa8ciJOtGCPNEhLFY4NBLY4Mugi6rkOxLTLK+sZhPsiZkosMSM3P2CVss +fIoWC9v5FgsuySpjsQ9gex+7sa6xmCTZVyIk+0wuyZJCQUQIS5JdI0gWVbjZ +hmJMsp/EDcUkyf5lzqYpYmzyfQiyi9FPLATZbGcFBbLGT0yA7NsMsspPrLXn +J+aC7IMmMTgEWesnxsHrDLIyhPJkL4ByCgVQthdW5NJZoYuYZdDrXNaYZtTi +mJduJFsiCrK2cvaT2RJiXSsEWa6a82wcT1dPz9Vt7k2NB9kv1jLIvlsLyCqH +hUP89GCRMeGA7P0MsvdkgGyQphaP5hlXcOasqhhXVE+9xiUp9lyPYnUV9Un2 +Ej9abRyGU0A9TRpySZZVuXBfqK9JC0eZG1ErDEG1wi3Mqci01LtFsuWOLDZx +dSv3Nu7Q3kb3w3t3MWx7k+Db63y+HcHTaKJrS8qESeroge+DZFx8//D9xPcW +32t834/i7i1+PuiBPE5yLp6koAgF1QpoRoRHzTv/ro6i92je/Y47upp5/4rK +3lZ4CE7xWlI2nf9tD6ihW6sxtzXsUmSHkCLAYruWQrDQ9P8BFOTCZQ/4icbb ++2mvtJh7N+2tuBKtRAi3sRDhJm7ZXkvrMUqCewkhbxeoDMhWyFk9WYqLXUUl +TDiSuG3ownHEcy72DiAmxNNzJVAQLdzFuznoi91UZNJjuXqeyJ3XU5ljT1dc +W4+Am+I14F7NuFp5cDKPQOgIYK1AOJqD0pB1j1yyDbGuVSEI1l2yR4R1s5QI +WoUbdm1HFpk4WzTRmzizSlwpJ/Oz3mX7IZt3eepsrgqNUC2I5uDd59kN0udd +FRyxofCuysFk3hVjFKPv1WMUtfLuqlzezczBPMs10m0S70pLMb/PIHl3uMe7 +QwXvRi3FBO8aI92Ypdifox4Lmnddj4Vv3Okz4N33gXd1fLC2FPskk3dVfPBs +x0iXeNdOn3WKWIpJ3rXxwcuEIneFFx+seNfGBwe8a4LU9NSZ4F1g3X8LbwXF +u1vmG+hq3p3bCN5tQbw7l3l3lvBWKMK71klM8e5jYuisKO9etEw7ibVT3goi +jhIbF8qpRvvnhmHrrn9uL9dbASrpqKLZEYtU3E7WvG4W7+4HlVM60hTn3Vvo +SKkNGNcO72LVjNiO18q7rzPvvpLBu7Hk4Gq8e3cG7wpBbjxXzfKuVCXkWZCL +uV1gPyDeZPOgemZpujBHwZclEO+KTAmopCmW0qSdGeXVBZXy2IXlgi/M9fx1 +U2ReIN/rrUjBUC9S6s2KeYf8jGLdn8pYg7Vs9xb1Y7qLEjbsTb8eQO1wBdym +cTtGPVtq3B7Bklu2W8DDB8L/WQy2ZLsw1coSqIF7kTp04YdBTdyr2IYBZbg3 +iEYufMAKcP9JH7xu6NKX4d7v4TnDATXFI2sJm7tw8eB3ZbxGt8ELxG8P022N +WRGIt/cxo95d6fn13Q2Y9pp0o/+qmzW+3sE75G2ENC7GXsed2yup9YdY1Jm7 +t/sCNiFCYVHANiIWC+zgWiXCRMI0LDh4so0rSBZnD6CihatOWMgwUwE1t1jk +lCphJyp+WAQRNxE7j2EUxfbriWxGcwpS7KnIs6fxNeBZybAn8OTYcUZ1oPq1 +doJMc+z2AcdOWpynqPXVBzL8Qapqw4myqJM5VuKAZcP8dqmslZa4LsueZ6qy +tMTdFzViDsveQO15UtYSy7KyNsqyWieWxbIvNY1lP1pLLPuEx7IPF2TZW4uz +bLWA4Dyfx2Zj2XGi9Hp+YcSyh/jRlsrZJpdlpZNCfx0SHGfZF3JY9g2PZd+R +TgpRll3ALOuGBM9yWPYjn2URZFGNoJwUYn5hMZZ9QkyXPZzBsrcWYtmf3leh +wJZlt/KUtVtWvg9Y1oagKQeF6ra4FmZbEMzOz4DZjxlm33dscbVRmIXZZxlm +izkouDB7iYDZsxlmT/Vg9shCMKuMwsZGYbaILW5tMNszArOdmgSzd6rD7AYE +s/19mH2tCsw+Y2F2hIbZR8NQCAdm79KGYRkwe0N8uiwKs74SwYfZ6g3cJNmq +SVLbmBpBdAnQvmn06qQ+q4+bUiO3tRk405ZiGmxxtIFGe4falm5LYtcyiRUI +eNV9UvwFgNnrCWRXG5C9JgKyZnaMYfYinh/DV4rJcPgO4DuCxxh8w/DNwzdS +d2rxzcY3fhIDrerWqphg/PDG6o4tHg8Jall7e7vu3MJ2F8ZbwFcixe9GK4Tb +El4DRN22v8JX/Nemxg5tz9nIqNNT3MlKSKqY+EC8SluCP7iDfooX05OuCmRo +z7yZx4Zu5KadJtmruCl7KcER7u2duDHblTS1Z1CTsC81Z4+nRqJqzk6iCoPA +hpXHJ9oxRLT96HQcq5nKguhEVW4S6RN2IcCcwlQ7hZqom5lm7XFUMRWwIt2e +BChbj63blK5W6MaQZmVXVnt//YoFX9tHVAgu0cb1tG53lubEONJMdWe1ICx7 +Vsw4lTvraVZXK30SpPNtL8f59kz6QBTVnmvjzeaHQQ/7kRrBjfVVVHsbfF1u +hw2p9m7u3t8f9QWrjWrf4RS+HKrNNLppItVSieYM91qodroszyLDPYdqJ0am +HxpDtVNjVBvxB7s4y8PRp1oR0lOMan8MXHAfzqJajjvTVPuiccGtQrV7N4Vq +Xc+EKNWGUb+uP9gzxjNhmYn6zaba3zLVroxRLRGtS7VajfBDJtVu7lHtJg7V +al+w3wmq/Rao9hug2hXC/nYxUOzCDKo1vmCCat9iqn2NqVb6gj0lqNb1SlDR +vpJqrS9YPtUeZ6hWp6NvL6hW+4L5VOv5ggV2MzlhD4smNpJqz2pGqtVl80GP +akXJXAdU24+p9oAY1b4co9rfiqizP7oLW5pqH/wu4g+GUMNUO92nWo76dcZt +tSzBo9osfW2GFW6Main2rG3YqY0l/7ohEEi1KWItMJMQJwAzoTShbGS2XFxT +5MmkRai0tWpbpVFQ7dp6U3gl2d44ZGVLni+7cYi6CX4Evwd3TPZRJJtFs6w3 +IE2t0BxoXW1AtCep4wu+UVp7gG8mvrHHwpt89EWqG4MfwoRL2SkBPyQ8zl0r +WrWGbP9VORz1CNSyJbpN8dwmRb6F16Pat7QlddjITfXVOxmB4UC77f6NEdwi +56Ja9uYUd7+W2IWla0k3D2NvsCg791rSYKq5zyvINUo1Zy/mOKzzTIO2+0KF +tFpvoJu0WE5Ic7BoPGPtSIrrUlg7mHqYWK4U1vZm7UFXokZq1i7Zk6hyMqOt +atj+ikYOkEaPIrxVlMqImyK+lrGFS9dSvA0ObpZlN+XurOZZ26FV7YMdHKZV +U7qSaXU8r1TXysAyOSemDRrDTq3vR+7mrctZsUmB6sANLxNDDwvjXrcm0UFM +9O4XmRfrzlzbI5druUDPzpjq/cKL7v3itRyuVQVac223dca1fwwGIBrFtddF +uDYn3aHRXFsljqc5uFZ7kTteYOzCeK+I8XW51vreotrWcC373r7Y4/eVGd1/ +Z2J8Xd/bDK5lPwTje7vTAp4bC/0QVIyv5Fo7N6aNwABqk+T/iXLtYubaJY3h +2mOBax8ryrVbZ3DtFoprq82LsQfY7xa1sVwbNUKwXKs8wFo4Rggff9MAXNsQ +5Vo9L/a84NrHBddaIwTFtbcKrtXzYpprL1ym/W7bKQ+wpUro5RohWA+wuN9t +N2oVjPU9wByuVWUUDyjSQjFbuBWGmPUNRm2nFuNaXuTakLm25/vzK73fWwBc +uxC4dlGUa3WIlA4zG/ZCjGs939uHhe8tz42NuTeDa03y+b8E14ZDCnk2ilXa +AoB+KEDYnEqox7XRwbFzikluFdiWHXOES8fBzYCOwFpC1pVkgS0VWgbbkgJb +YFO4imYJDLZJa7rszH3YVZZeJcFqxWykJ4vPrSrFnmKFBrI3i3Mf+LYeJUhW +CQ7W0KkGNdPxY7qW7Yo1zd4kerW3oncZfNDwumiqTJFt0kCXdcoCGb8KsG27 +/7BGwWuPr26pdJtzfYqttxYYs0DXUqSWpCvvhNfyqvPVtAKt5QQ4UaSptTOl +Wp1PTIQ9PyJX2OmxH4jkigUBFZ1YJJC3kL2UxEApZpFeR0ToFXkP+5lKatCN +VvFtY3YP9hnYmdlyO1YDbM0N2s2JRY9WJAvvJFBqHeIqXQNytcS6Gd1bUeuW +xtHAJVedxuB3Y6VW1nftis2GaZeDgaLc+npZ1ZENFsmMc23YUvAtGHX0bi/W +GWjNbJxebVKDca916JXzyEhnsHbotWeUXj8V9DrLyZTc4On1Wkuv/qJZ0Foo +kil5eujmFczrxujVd/OSM7q+z7gxnlnFpjMiSUfQq3I9+JHcvHx6Rb2B73qg +6fU5olff9cB1ss2l1w7V6PWrCL1+HKNXldwQ78pmux48ZuJ4v4nE8Sp6naLo +9dfbsduBR680I1aFXrkjG6fXdsXp1bgdKHqdl0GvHyG9CrcDpNc3IvT6jKDX +Rzx6vVPQq7Lxahu4HSC9KrcDRa+nML2qUVwZfqPpVbkdHGFsvKyDbTa9qlIq +x2zz6TWW1nCSoNfTPXo9pyZ67T7XLmb1yCub64Fe+2h6faup9PrHfHrlYbHD +2c7L0qsdFht3Q/zEvwi9ZolnafQgy/lgq8AT3NHQaojlanqB0NDG5sYucTUH +PDtWkrNjiLIjVwO1GTGtdUNISHyQOhzLxl/Ye22Jlyn+F2BWt2EdiBUga8QF +o1WLAos9jrQRzB4Rwux5DLM0DsYwK9uyDtBeKIBWK2jR4OsKFh2wkpYOfvhJ +ovjAgC06ksHnneLxshW2a0v4FUCRQb9hjRYZdPsKha5Xp7jX1SGxlPAa/vfq +pBstkuw37yrbaSVuvQx2WS0guIimhhQJnUe7N+7muAiD1ITmBpZfj2d+PYqm +89XE13iiMyWTPYzKDvYh8xl2P+pzTiTJ7J5k6qKocmfTiZ1MHLsNd2O3ZDLd +PEVUhROno7jdyluJbq6QJEG5zG5BBKw4VndgrapACXS182wHdp+VHgdaLxt2 +YsfGOrGLZCfW9zqIz3/pLHTfUxETIt3UMeHcteBUs0zmWtDoCJ08nr0m5Nmv +1QwYilJINxvl2Udr5tmehXn2K9hUYe72wS+fZ6f4PCuWynJ5litxLs9mudNG +vMSdFbBqPKtdvAa6PPug4NlHfRcv2OIuXr8PvA98nlXJDDEXrwWVT3ZQs2Bx +nv086uI1TxkfJMn/Njy7IJdntfeBm7drXLy2u1/w7G2Vv8V49p0CPBt4HhTk +WaMw0IkMIc/qIbAYz5J7FwfsWveuhsC9S/Psk4JnH/R4dvpyNQQW41lteqB5 +VseWn2AWwzTPWvcuzbMTozzr2dI61jE+z46GUhqO0Ya2tHGe1YkMlmetOIvS +xzJNDxXPFlrEWis8+0V1nn2HefbXa4NnvwOe/ZvHs/8Ang3ND6I8KwwQqw6D +xaxjQqUBEBWwWtJWLWxJmC0QSQZAm2JNTTZyNbQT4hpaPRjm8GyKxRaIxOvL +Ms8Co5YQVlFvsLpMZLuv04HV4Eo6Ag9edSf28nFCT8DjXsjhEmDxJZ59olXK +ni46so62gBWzEmLxI5h4GWsMrlhjQfbqVW6H9noliQagxX7sjf9O8ZNugUdK ++m8TQbbr19fgXpeiwLUOx31KeA3/e3nSXY2zk0zgUrYkmKbkAgSwF6jxdwZY +24A9k/Z3LR/QEItohbZS2D40jdiF4xx17AjAt2yQ7UV1awLNftmGrHKl7cAz +YBpmt6caqNqp2zCS4irVFvAFAqwtKa6Fa3ixJVCs+rHswLZ39ANKsKXpdfdI +J9bSq/KV6SZ0BErMNZboVTt1HeQtfvmes1lTX77vrMxT8LPEJMGeHmhktTs4 +fpRhCKQkWJ2pkEWw97DThU+wuhTHzBT/AwjWzOUKgpULY2uDYGPuXU7ZzSFY +YQ0eJdiRUBNFvhgRLOcr3Mhjs0UI9qEmEOxr2r2rk+3KGveuPa2bwYe7Lq58 +tDNrZQ3BziMf2myC9bN0yborSf6XmACrjWBXFCFYoNfVb7ePu3YJF4O1RbC+ +jYG17Wrh2BjkEay0MXhmRRsgWGVjoAnW2hhYglU2Bm158mtjSjW/eKkl2KlM +sCezxbey996CjWK0bddujjmM6z/rx9OE8ePVCdaXY1mCdUYMnCjGWgkWyubc +m9cRwcasu5qJYGcwwT6fQbCybGYR7N1/N8pHmnL37buwZ5dDsM6YQY5W1s8Y +011ZLqEKYLcI52jzAPaYeNaYVGpdQmJZ4EbALkGxOAU2BuWyo7EVK0fBuC0L +d4CiC79kFAa6PVtCaq1HfKVral6so+29yv7rSNGDPZx7sDkYiy/ofMZY7V6g +e7HYKDlViAskymqBwZG+yEDirOzNKqSF1wMHwxQ/2hS10CVk2xIeKOka/QBp +tm/jaXbfr6+EvXBaiosj9bg/lvBa0oPBVcGr2l0vZOvo81U+CpGQgljbiT2D ++n64nt3X6cYeS6VCKQqUaYHSw471VAWHUBlSMDtAwGxfhlnUxuqxL21msBcb +GsSAdjuG2m012KaIrMiyWyHGbo3/3YZY9ld8L9193UF0YPVs125s7L0H/9VY +FzZPVSCyExbLOa8hTifWd+IKx29lsGOYDSbduHQubug+a30UZchjGGielY0r +WFaP46KrW2GWhaL8+SuRoryOWfa5giz7gGDZexrBslcVYNmYE+3ZrjNXdZbN +yQrT62AZmQrSzeAaz5nrRuFEO1040SLL+s5cPss+EWHZ53NYVjlzfRs6c+3J +HVnNsmbua6Fy5to+4swlWDac+3q7uptBU1h2H0cX67LsNsyyW1uWjTrQbu46 +cn29qdHF1uZiEFpy+Sz7IbPsu8aSq4EtuSTLtqk8LVj2YdikJdcdBVlWW9Ce +xmbfx5uxBM2y2zksO9Gz5HIXuWQkjWbZmIm3F0VTA8v28FgWD3wdmWU7FWFZ +LcqaM72ZWJYFWRsAyx4qWZatuUY+nMOyngnM4T7LYuvuunDua/wVa6KjBVl2 +tI7CIC9bYcvQpcuRydpJ2sCpS/Js6Gzgz4BdoRqzCmrLFmpV3U2RSglqS1h+ +64zSgK8BvyadwibsyLARe9lYTxbrU+zR6uWcd7x6ifhyp3JD1pDsmeqgo1UF +eEDSUlnZmJ2sG7OXqrMMTbSkNDBEC08fjoKAovSB4knKNSvpfytL+DmX9f+v +XlnZtk+GPraM11sppFX42qp1pcucS+H3gE3rEWHKeC3pCrvnBUYpoDbFqkg9 +uPt2dXhVN15PoV0ed33JrAOZWdUM1wQ22xrDDdjDjBpWcesgY1Pgc6tWFEyk +RmxHKmlWVdBBKAt2YgsD4tcECbU9XmxbQkqla3QbUet2GbQq9a863Wsvbv52 +ZFcCn1i7e8SqtQOy+yqnuGJaWH+SC9sG1jRGU+sAzkuwetjjQz2s0Q/4LQRP +1KXzGef5+YzxVNueX91JvsJIrb0MtbJLQTVq/Xw9U+uLjaDW+xtJrXLkNqPs +ZsaUe6KuQtSKfQO/X5DjwUXFVNoeOn6yyg7mRs6nwTQw7VZAU11MrfdKaj3A +UutjTK1PMrXGPbhqpNbdbX6CSrldKFJu5zhuBZpatQfX14ZahS72fznUulBQ +62Ki1udqoNZ7HGo16V/kvfUrk2q7rqh1uUet8zOo9WOmVtd7q4FdCkJqfcKj +Vsd7S1CrdSnYuHIJ54qfz+GLZwpqPY6pVYUr/ortDYtQa19DrRScAIeNkVGX +gkhwwqIjBLUetXaodc71OGDiUesdzUitb61Taj0YqHWYoFaZBDbCp9aHdNnM +odbpTK23eNRq3ApcH64sajVTXRcW0hGkJCRoUJVUEmuoiwVqAGZN2uXmKZjK +muXHNXZ1II81k15l249VNFpCNCVKJXwtcyd2OIkOtHpAwavSIuxJjxDtwGbx +6xTBryQoWGMFBSepw4o2KyBRgVTGnqMOTcfJjuyFtiOLnwl+NkfIzuxl+EbC +p4doqln2SnwH4LIB1yfpGnZg+9gObIOB1QIdWEDYfefgWNa5Jeyq1iOuJiXR +YD0X/hpgT4p91qQz9e7QSk/LBkKCPZlkRNqBIEaxGF6L5QTLCpYX5Ugwgk6W +0W9qJJltDaK0AJdke7M2VksK9mWS1F3YPdmEazemT6TQnZhMd4AXAqyKF9uV +UCtA1wBiLcBmQawWv7og6xrGxkDWimD1elcYgiBDv3zz2NhgV0xKcGQgJZCV +2IVZVwzbUZjIBoEI0bDxxsKssNxqZpjtjlV5bcCsY/P9F6jKLCmgqtw0mK0W +BtYsMCsCGXNhNmJRcJUc8ioMsz+woayCWd9668m+f1FDXhgI5sMsD3m9qoe8 +GgWzMYuCLyuz2rvWWwJmk6S9cCmIway1KFhqhrzihrLfbqeGvKrAbIokm/y1 +8lNVlt0iwrKbZrLs7zyW/WZ5Q2XFimyW/Yoct1oIZwKXZd8SLPsybDOMM4Fl +WeVM0EY4blmWVc4EG0dZVjkTbGIdtxyW3VKw7M4cNbOnqLYxxy0dAjYgh2U9 +UdZC2xJwHbd8MVY4Gts8LKtFWEVYVo0QrCuWpapZjWWfK8iy7Lw15r4cljUO +BT7LeoqCnAUso4nNCAXTXViHZdtFnbllB1ZNGFSRxZakLDZReQklibMJORb4 +MGttC0pSXJDoRuyI1W2YaRlZ1WDYrtn9Vm96K86sq0lwpie5zhJ919OFgkBz +64kRbtX9V1RxwHuf4oeQ1Dn4ShvhK3xY8PrQzWC82uAMQiEt3pbZbY1Ca52B +1m5zLmAWPTshNi1jc7VElNqddlBJqt1oO9MlVtQJLAipVQlftQOBJNdJRjcQ +78FqIewQJYSlGNs4vY439NqZerGujsAnWOrJEr3uiBc7lDTH7kD0qol1J5Ye +hNRqx7a0+NU3hrXkGgpg+zkjXGMWhZYEh3ltWN9Qa1hkjCtLPNDfEw+4zjBS +CGvrb2y+dj92PcyOr61Gr48VoFcRYRvQ6wfNRq8Dmp1exVyCplfpEnNTjvuh +UHT5Mwl5aq4sej1TOsPk0atvsOUbdxeg15sEvRqDLdiiBlsH6DhbQa994wZb +Ab2SwVbT6fVLotcvIvQ6U9Frhen1bc84No9enxL0+mit9GqFsICv/56pRrkk +vv4T8PUfAl//hvg6e3MhhM3G199m4OtSxlffWGuWY6zVkqwJLL62EtYELr4+ +taKNMNZqQ6NcGl/JmmA5jnJtXLketmsYXy+DbRpg64WMr2dxcrgy1lL4epTB +1225mbCLh6+dBb4qa4LDpWFsIXwdSyMYFl9DYy2LrycLfD3DO/k/V5RP1l79 +AvC164eibAb4usjB1wHV8NXL9moavrp5tq4gdqWDr+bknxGWymc1EUHYjk2S +zUKvLdGSDUUEa0ITWVtdATCAFwHOprni2FhbNrAtkCh7pZUUINgepgC2pUHZ +Ek+Ced1X0tsmOwZAS0+DgRYTydCrxpHDHsvWBLIR60GtnPDCVsqJnqhAgG2K +H0NSr9mWzi+oN6v6syke9OBlHXGp4Vx4y/BS3da+98E18KzVEfSccx68gd0X +nNECKaeE+yr9F7MOAFZ78kbQyq1W7O1pkasE1wOM2PUor+1q4RXt+w9x4HU4 +LaZb8WsRgNVigk60qK8W9/dieaoWFTggmyC67lTSJLsT/X9ntpbdBd4AuC8c +1NyOq8+uHQ27TmR2neCwa09+plL06g5wuTOzMTPYkRlDXK6MYCDLCA5kGYHv +C9OHo2it+PVMR/zaMZhGyHLtrsav93Ks8YMevz4B21M18qssxM3HrxiyOKgK +vx5aM7/+3RRi4ldnrpb59bq1x69n+PxqDGIFvx4dMYMRlgRF+fUGnSYz2LXY +0vx6z0GeJQFHeimD2GL8ihZbrwK/vhbh13c8fv2gUfyq42mlxZaE19cxqjZm +ENsofn18HzXI5fHrT3qQy7Ei2LryT8Gv2hg25NdNAn6lwIPFbVKE12Qu46vv +C1vvOWu1dPD1I8ZXNcfVyuDrqx6+PgO4inG01lmrjXAi2Ii0rz6+Xinw1ToR +KHw9hXNojmV8RTOYyYSvO1pnrSXaiSD0hXUCER181RUVuwHuOhaGn2Mllc5a +ri9sHF919bT46pm4mHWrqxx87Z6Lr/dR+LvCV2tIuP7wldO8Xl+qzvoz8HWY +H037ZBxfR0l8vTcLX71Qb+7A2vGBlfHqWVCMFVETwJEeAbatqqaSXWM2W6EI +NkV4BXgI8bU+nvCltbAlq4W1I146FoG6sNRoLWEJLnPflYk1VT9Fxq2jzFvH +emCsTlwoSWhNlIHt1qH6VdgRmE6sUBBIcEUlrOzI4ukAwavtyqb4psOf1o1Z +RNgUERZuExCLuDVtTZ3iWDjwlfjG7Rhaa53fqmvVqtJn7tm4h5bQwbWM9vd0 +LcXbUkTVFPdhKOp9iVZPIjbyiVWJBI4xI1rKb4Alr0itCzW1+oIBt+1K8tfF +emyrfy65Kj+CzlzZ9jG+BLYFS/RKSFrCWogXO+N/d6Hb8GI3YtWQU9Vj7eOw +qrV87ZqpEtCsanqtJrgg1mvV1VU1B4YHg1pZSgFdaSO2WcCqeTawquJmTc/G +3LhzeHW2bhqsLV5VvoZri1eHC14dkcersnHg8KrvA5PBq2LqQFZcahgU4FVc +z/EtYX1e9YPAM3l1vMerozJ49WDBq4ElLPOqb6EleNUMbXFYl29AkMWrb1Ko +gWuh9Z7gVbTQ+gh4FYe2YpawNLRVgFfZPytJOkcMCKrz6gptCdteBRo0mVeN +7FVFzoa8Ko0HDK8mtQDrbADUL6XxgAesvxbA+hJsL2rjAQdYN/Kss+LASsNa +sF3sAevpDKwnGGDdjDxgJhvrLB9YQ+usIsCqZK8SWP1SKsuoC6w2sbsYsAae +LTLXMNOzJcw1zARWp2yuRWB9Y6ldpioKrBxooONnR/pBXcISdsyd7tCWk9zt +A+s1K6uWTyMZyAjs0oNbUWCN5MMEJfV4diZU0ErAmiL+AU6JPNokHt11acir +xpIAu65KCWvVsMSmrZlcmVJVim2DQlRfKOD2VvlZbBvH1GOt0FVnGVB/tRqq +6j4rCwio12r7rQkxa4pvPryio1xwvWhNCzzAlfEa/HT7XrXyacnw6f5zz4R7 +AN3UIYiW8VqKe2rSxcNRi6T9uYmqsXRAgKWTrI41iqaHkZup0rOKpurigR6e +9mObLImn3QyeKu/XTqwQ2NtoXBlRkUA7IJXuVmeAlG4jKtWr/tlUOkFQ6YQI +lWYqABbn61etCsDvohaxEJAaVmGHFagApnJkbDxeKz4Lm0WmUF6/0jYCtZBp +rMRuOGR6aJPJ9Ecqr4FDYUEy9d22CyR7B2EFPpleGLHVDshUWGNd5Zi7CjId +GrMT+JdjJ2CssZhMHTsB2LLtBDSZCmssJtO3OgoyZWssbe760S4LTYzsZ541 +lkumn+aRqbITeMMjU2snUIRMv2kOMv2sVjLlkAKjAkBLLEumywSZLqhCpjM9 +Mn09h0wfE2R6n0emaiBr48p1sF2dQaZTmUxPNmSqvbW3ZjfCnTJMXV1LLEWm +2p3FNXU9zLMRCMnUnWv1I7b6CDLtHiHTwA/7l0amM6uQ6euNINPHmUyxncrW +WKN8MkVXQVE6DZnekkOmReO2qlkKxEMLMEbW76gGNlle+hZXV8WnbV2nLOn3 +GnZVg1mtkjEYKBkwbWnap2ULpnUGSgWYshHXTu6iv1SyHskxC2Lh3++hak8s +B04zeqknssJV91MFpMJzQUwt0WTcMWqD2+Co1qB0AqrlukOvoY1m0wPmngaP +CDtpK9xL6Rq8L/sTgh4v1/PhXrBLJ7s76/oaRwcZHJ1IsiAceLdr/KO5O+gj +qeyYDqSio0etDifm68MM2FOYB3RltWgXgaW6c7oXPEcgzaTEcVvIn7vTin6H +MpIp3t4hpRvhqsVS+rW94Zg1MUDTfQ2a2gV+i6bjzGiV2zR1MwZk2mvM3WpU +2DRdhEtTE51F/gGiaRpVWHHeQPconvKIFdTXLqa+Zhtn2xjDanjKLle5ePoS +j1hVs2xZe3iKJtoHr0s8xeUqEwizOnrmHzPPdmwHc/DUWZry8TTLuVWMWjl4 +GnW78vB0MOPpIDtq5bhd6TTYKniqRq3+EPgGxPHU5mkVx1Pf7Urj6fuUPSAa +pz6bJsn/aASe3gt4Oj2Op+82E57SIv/GGXjaKsBTNWNV7zm2unj6IeOp8gvI +xtOnAUufWK79AjZyHFvvgO02Tn/NwtPzPZcrxFPl2LoZ+wVsY/F08W7cKdB+ +ATE83T8DTw8J8DQM0ZZ4GmZoFcHTzr80PP2oVjxdVhn8ynIomyugbH5jDALz +8dR1uxqFpfM+pZEaHcPTSGxLHE/d8inzByajLjIPT90z/ZS6pw3RJJcz3cV+ +IAGoqUAHGk2hssJtUFvhNjFzlaihq5aO85VhU8/9KsWaS0mx1DwtIZlSe7SO +QwlGribFKpFpUu8u7NvFfX4shNNNSRSbBae6c+oCqhq3wqbG2RZQU3wT4KWJ +Biq3mUuyiQq3AaXCbZpTYYP/wSErxTe9tVr/P45QdceeQxq9kH/Q3FOQb0q4 +Z9ZjD5SupXibos+OZo0eTzEH0BZrik6kxqhSmuohf6U2tc1RPeyv1u5HEokq +1eloIlF3/b44jTpESjyKeLkHEufudQyf1kJ1D8OsYqYfboPHIiB1J6TcHmmP +yOp9Y/ukdlrqEDMt5Ye4+j6B/gq+a1yVbbfKilMHRuNhrvFMbQmj91WBUTav ++vKFOIx+JgJd1yWMcqKLlP87MPoow+hDNcDoLQyjQRCWlf7LahqDUWcJ6hzX +erUQjAqNVMzEqhYYvUaaWDGM3gIwehvD6B0Mo3cLE6sHMmD0SYbR0MRKwejL +AYx+G48R2GMpwKhvvdo4GOUYAdiijdIkWcMwak2slrOJ1QrPxOqb9vfHYdRY +rmbAqJ6Y+sQ3r2osjDZkwqg2r0LJ6Rdy4N+D0TcZRl8hyWkrMq96NgKj7sC/ +hdFg4B82bV51NmzGvApAVMHo5jwx5cPonrnmVVkw6udiZ8Oob17lW6acilI2 +r4Se00gYlYGuvzAYfZlh9EUJo1A6nw5jBBwYfYhh9P6CMComp5QIKqd8Zq3k +6zKqYDRFGoUju4jIThSMtotWU79Xek5j1Kctgl6pGKBS+QIEpEnJBGXBlmgJ +6ujVbU2DlLWpasxKxwLYFumvFIWyOZWk0It8Cs1olRKJirX8M3S71F3PV+9Z +iY5CJ5+l30d4R1MEUn6DNZa2xjc9ZULdqWYYtZ3RgXNPBBiH3RPR82i6Br8h +uBOew0C43MPrgnoMulAxqFyglxw6wnRENYfq6Sdto3ogd0X70yq41ZL2IgJ0 +F+z3FZpS0x1V3c2yWLvfUwPpHtQqTerEIv2euV1R9dBdokA63gFS3/O/P70M +rXlyJaVudzRuPmUr6NBgBCrLgOpE59TeN6DyK6qCUp0BEKuq/hi/jcoOoVRX +Vg2ljzcZSntEIrObG0rNqf4zcSgd+YiC0lExKL2r6VB6RBVDa9/Muli2lYDS +YzwPQJkj6EGpHIcycYEelN5koNTmW1WDUjvOb6H02SpQ+nqX32RA6TILpXoc +aqdF1ll1O5kHMDuSB/Chl22lnKjmKycqXMiPLeBbZ9WnhbPqYwJKH/Sg9Mhi +UOqM8W/puVDFoVQv3teWA1DvOKrGoPR9D0pfM1DaOoDSRyNQensulEoXqnZm +jF9B6WYCSn/F3oA7CyjdxyxOqdLb0+ikVKll1f5iWV79Mf7RngtVESg9RUDp +mR6UnmfKp4kDrAlK74btXhGh8kuD0t84UKrcqFxD6lwovasKlN74k1s+hQZq +fAF3VX1urxeagkX8qfFcANUfbOPaUp1YdaZfreI3hHlX4So+s2TZruSPE66r +ZSfI9YrRqnnaCgm1XIBQt3EJ9Qj1LHJ7pQUolRb17cJ+kqpoMHjvqGlawgNT +ifH07DVlZNQ6vEbI2kQoHTz3eMLOKWVkzxS5h66V8LYUcSjZ3eNQuSo/nlfm +JY/KFXo50zRczDUN5aXsQSwg9fujrojUcmlXn0ups9kJPld35mlvan+2wPqG +1LmXQs8WrBXV+OnETKGt1+L94PikV4lUV1bqRvtka0cX6wjVYj3RYR6Cyp4o +1ky9QI918wDhImURVLpInW4W6N36yQKoBSKGKqOGunYoGkHtZFM2gj4J29M1 +I2gPqKU9CEE/gjr6CWw4ib/uEfQwRlAthooi6J1ergrPla4rBD3j1PAsPhdB +J7uOUpdqOdMYb8JJIKhxlIItcJSKIeiBFkG1o9TjjKBRP9TIhFM1BJ2pEXRX +nMj3EdT1Q529HSDodoign5hIqjiCvhHkUQln/yT578YPdVkBBP252RB0s6oI +ik5SvxEISpNNgJ12sikfQT9gBH3Hc5J6BbBTIuhTy6WTVIigty7DySaLoGoU +v11l2jLrJCUR9ERA0OMAQY+mBfqthJMUImgHD0HtKL5F0P4WQXmy6bAqCOqO +4hdH0FDj5COom+JXFUHJxCQLQWUWitQ2rT0E3b8agr7ECPqCQNBnXAQdkYeg +TpqfKJ0RM76xTvn0ZfihjlQv1Ge6SkmTf1ykP5l6fCLgD47wyKBld8jpJLgr +rtSXDICeexx5/R+L6/FaBeV4/dtCS0NGKc0blayOlCj0Mid99TJtMEVi0jrT +HK0z6FnKR8+NDHpeckRGg9QbcjqfpAYYWVAy+HkOSRLgKJLUG03pVBdBKZOW +ELSEh6I6RNDWVvZQquzco/G4OXTOMXAP2DVTBMoy8k4Zr6X6tonwY2p1LkK8 +nABPFiAz2dlBTLVZzDzUtD7tErwrCNXtT4uaVhjaL1iOz8FNRZslQZv70Er7 +3i2RMukavLM+YfKsfEqYWckZme8dLLyPMX1OafNkR+f9UL5Yn7Pxi+8nOXZP +MbvSTho051/o5p1mVkrXuAT7nNmgqatlEdBkw/1fCmg+IFT3RUAzw7wET9bR +c1hWySzjEt+0pFlBc0IGaI70RunNwBKD5hAfNK116f3OKH0GaHrWT1mgqRWh +xvoJFaECNO0o/SJr/bTd/AhofgGg+ZkDmu7AUi5oJsn/NL3OIqD5Gw80VzUa +NNlxP2JZWhtotggsS7/0LUsFaP7a8XxqTUpQ8nyKgKYdoVd5pxo0Xc8nFzTP +YtA8JRc0d2HQ3MsDTT1C39tkmYxZpMT2o3JB04rrY6B5IJ+z+6BJ6VE1gmZX +kVXSPQs0v2osaOqyuXZAs38ENLX3kwOazzcTaErXZ2+Ufq2BJpfS/H5nQzix +5C0lWVloXQw1eSm+ZBqeMU9+pEQ9Yc/jS9bXtA7psrVpcbJ61FWBNljElGvw +LmYmqhfbSmKm6nDqeXq305ni6wbmNs1OtSyfStQ8HVAT3rWN1Nr8qWcoyhzc +aMocNhfX0ocuHA/3U9BDG+A8Xtar3iUt/PKmepgpEmaKyJTsZPqYbi9TQ+ah +HmgOFXpPvc6ue5oHZPQ1pfYzp7dJ0k3sX+5DeFlv2pkpKTvrBGUa0kwUajYU +0XjCfQE2AUudJXUvzynfFV8OcPrOonkz8a5bk9F6Ou6iUz3VPNTK+Rcwbiqx +UqET8yK4Oasabs5Yh7hp7UcK4+aTjcTN6S5umpyn65sXN2Pz8TXj5qQIbh4e +wU0xH3+dh5vGuQm2qHPTgTq49G/GuenJftK56U+V54zTaAQ3vQEk69y0LOLc +tKjycQQ3vyDcnEW4OXu7qFN+Dm76tk1VWRO1oRo3v8vCTULNWnBzs7WOmx8x +br6Xg5vPMW5Ki1E7F9/W4KYOKtWDRxI3rWNTO+XYtBTn4jeluXiFm1tjejQ7 +Nu2KynwzFx8fPOqnzuxN8MhggZvDM3BzfICbvvGdPmvvrS1GF57uzG52LoCb +3Qxu5kXjbQC4+b7Czb4ZuDnwVddq1MFNadDcFNy8/QehTBK4eYOHmyK41I/K +q2o36gc+xWfkVW+zTTT0SQqXzj1udaj7bOtGkuSssDvm+eNXW/mncc/XMdJj +2NupJRbnsmluljzorFfpTmFvUyOv0H8m6tm28VbX11j21BNJcpUdGRToEx5K +L7Yjk6eGQuEHWh+KTeI26t08nX6wS80UquKc6oFCh8+dxDx0eEJo2RLRqKQh +cyz8uu5kwgZ3AYhKcZdPdmDazCPOgx3qtC6hLnWONdTZl4bLsQCNd6izm0+e +RJ2dqcfZSXcx6bZ9WlnqrHgCTi3idIWcoYe9u5J+eCDmzGty4mp6nDxdJ6bx +XpNzsrOajuWyvyfo7Bv4hJ5hVtOdec352idUk+dlAXl2yyBPk/X8VSzruQh5 +6mWhDYw8n/6DycqriTzviASNxDKf1xF5nqNrY54zkxfoXJQ8bxSeobeRM5Mi +z7sGeKPvnNPkeIbCpkbfLXk+z+Q5oxby3LM4edK0kSDPr7IympoFPtcLeVI2 +05I25MiUR57zIuRpHJmYPN9m8nw9Qp5PM3mqKaM2YuQ9mzzVyHu7ysVMnmrk +XZHnyUyeOPJ+lJgymsSOTO7I+75Mnj088jzAI099Yi+9QrVIKUaevjZeDmva +MtrNy2aSgqTq5KnN7GLkef/6Ic8PBXm+1wzk+VRTyNPTxDs5TXLaiMmziG+o +mDbKcWdKeJabK2pCXbyk7NuHpkhfSYOBT1VghbzTFlruKZZ9lyZtJGqSmybS +avgExE6ehS8Z9qwzC+t1WbBJvwtP6dKJGSvpVsipnpKeijrf8ib8APUBJdPn +xPl+UhCUlJYAXnrJjMKXkDJb4ltTx5Pxu3YfVCNRlg1RHjp3Is76ECnCXdWZ +oaLHFnSJRDlaty0VUcJP1HjQKF4XluPqI+A+AFRJeyPPVHUghMtRi5TPp7t+ +LtuafZ3W5njH+1OPthvITM1iOrU3ETb3qVezRRPVRUdDoJ25F4psWqk6KOTa +KFm+dCfYBwq1ZuhBf2iQmeQzZqyz6S+kH+ctpOsRTDe4Tis2O+kCOV+H1/Eo +5nyRAJK5GuR5g3wVC7GzjNkb1e+zhK0SMGYvnQSyLhnz9fXMmNdl29c57p++ +6t0rjJnun7HkD+1R56XV5TJmzP1T2Ctdn8eYvr2SYEwaHnIYM+7++VJjGZMn +2l3G1MNDPmPG3D8dwEyS/y/CmM87KfYbAmMaP3rBmN+iH32EMV1bJZ8xG4Ax +Gwxjykn2l1ixqRnziQzG1NH1McakoaFl1lbpTNhOY8Y8PpMxfVulGGPun8mY +IyK2SsNo+W28V1Jjtkon2kiPaHzyuUKLdDFsenHIls84Y2pHulj03MPrnDF7 +6LLZXIz5pDdzWYgx41qkbMYMDZSdU/VpNS2oa63hqYF6M9LhjM0PeR1OaVN/ +kbGpvxi7jACAaiW97Dc3GRwvGxt61sPlRi5l4sSRFW2q3ig8Fj00/REepL9Y +x326qs1Eo6YYbxfqTTVABJsylCrhi04Vb55FvIm8DW9KHR5z6vBaiXuetfNm +iXmzoXLY3HHw94CE4H6HMjzClrTGS7zpMPzpCMWQ9dyglE1KalSmuPMnWxgp +pp36kTjZPxcnx3k9S8ZJXucuiSl17lxarCx7WNkir21JD9gVHkJoM+E2eArw ++Y5zZ9Bx3bw/EGmYwhl2Lg8L5oD8NXNOk6/qI++TpUjkXBiWRE2WHR2ynAZU +eWmQKp+78KONPr7SRh8xsnwiQpYv1kCWHzYvWb7WzGR5TxWyvKkYWU6MkaWM +6/BOufN85aNk6XklYbWRxp0BWY6OGHceIshSeiUVIMuHM8jymUyyjBt3Rsmy +QxZZzssgy08jZOkad9p4+ZqxMkn+nUmWK32yBKr894fVyfK72UXJUhp2umS5 +KIMslWFnQ+VDJst3c8jyWUGWjzJZ6lkgSZZqHL1tdBzdeiQpsjxpqUqVP9qQ +pRpHn4SzQJgqH5BlNyZLO46eTZYZHkmL3HH0XLJcICM5XJu5TvPPd2RH7om5 +Xz5jURwxsnxULPqsO7Lsrcny7caR5XBJlk/4bh5/dUrnaOErf7jnKx8ny4yY +zlrJ8nyHLNWwdNk/V1fNy5a52UdQXFNirzrXXd5pXtYbtrxosrN87hCm0GpW +J8zWtIhedkzlhWxzrJkvMuJPbG+WfRVnalbTlcloydgpWe6sd22VrKSTRoe0 +ialZWqdxKUJQeI9a4lGorLSe6ie7dRvYaOYcOfdwakoOL+Me3ULzpYLMEv4g +RcDEi2FJO+5Vyn6llmHKmZ943/Lwxf1SUkC25LXx3lnr42o0vGTEmWaZ3LIm +6jD3qVNaTT2R3pmz5Akv3fHyPIej2PSPlmTaxmU1H3jZuLQTQOMpfDR0O8qX +ZerIYusfZ82MXcTU9XGa8INvbsSEOjnrmUYg5swNFzHvr4KYtzYBMSO10SAm +n3FnIqZcJI8g5gWePJMQc2IcMfXY+dUjBGIOWyWCNbMR046d8zSQh5gx56MX +e/zRcT56pRbE3K05EfNNjZg4Xo6UuaiJzctVAjF/zkTMrQxi+jac33nj5oSY +HPxuEdP3hG+gIE3reNTCseFExPyCEfNjRsz3GTHfYsR8LUDMNpWnVljHo4cE +Yt4FaHm7CdKMIOZSHzF53Fwg5pE0BaQRcxdGzD15PrMzryOFjkdxxIxNAVVH +TH223pcRU8ZqBKZxEcR0y6dKe2sexIwp2mtFzFn5iPnuoow1n3zEPERGasQQ +8+G/OqXTrv18n69sDxDz50KI6S+SU8ijCtIRQXBJLmKWpCtnohWJ4vw9SRVq +Ycuv3gjg6UTeDgQRwWUOA3HH0dFl1mERbsEzP2PUfwkezajQeDk+BOzIk+yX +HCFyNPWfvJi7ljgIVDIr5CXVZz0PM0FVx1KHayI1t8RXV4/wWGdRulTp0ARI +HDV3VIIEeHAJ8YeAsA7VgWW8Vla3mc5jnQOG1H1cNAh+HfZu+NkYoZpUqNWf +JmL2hw/S60SqPmBdrBnJ5kFlSYhmnZsgsZVBQ6WvbOG1Hp1VbXg4eHB4OA8Q +Fa5WBB/6tuwDnXEd34ZoRDAhPtptPzoT4nZhW/HhMeSfEY+ylG6YsbCL83L4 +kMWTmQUuiw8fEHyoixzw4Ze6yNXCh+9tGHz4eMiHozw+VJ7DNfLhVfnZbOuK +D+W0eOCMGQteH+YGr+fZEt2XwYePMx8GtkSwOdPiwhnzDWFLhIyYy4eeXbvl +Q7YlCvjQzw5602lBxuHwKdgoOChJ/rWe+ZBt2heFjpg+H87P40NgQu2IiXyo +7YheZkfM5wUfPl6AD6+HzU6Jb1yZtlTaEW1ip8SX2qD1I8WUuMuHHXPtiKjk +munILEdMlw9DO6Jj4lPiGXyIp9id56MGvSl8mJFw0Sg+VGWzOh9+RYzYFD40 +ZbMKHx4W5cPv4nyYVT5vFJbtwfjOGjO+MwFK6MRsPuSkxYZQRBnqhVhBubkx +4dC+7VPFDI9c4Q5yLo8R5dYO8qRWQ1l2bTInKikkiSJLpgdpebHO50Uro1S2 +RgSMxupIzaEbNaUDjU7P8UihrSypdXkiR3ghyIyrUVu5eiN8fXStxDTZoWvj +UXH0XBQ5AhSVcFdtg1iE/x1K/6UfJCUNhYSECIYDUkQq+EFIha09yyB3jVpZ +Bxk0NMvJ7nyNA4YNeqU6AoaBLzqDYSVzpCbmjz6G/dGzh7lji9N+CRvvGftK +OjzaocP9xSSib1QZPe3liUTn1HeeN1oz71pR3m7KoMO7PTrU+vDG0OGvYeNT +4LVCh0uBDlWZ0+a/hejwMabDh2N0qM1//1EZQ+XNT+/l8uYkS1p9eGE6LDBi +E6fDNYXo0Hine8PdV0boEL3Tg+HuwYoO7zR06Iafu6aVIR0+x3ToD3e/tu9v +DR2+KejwHZEsOdPxTbdhPp9tH/FND+jwgww6tGE+QIc4fuMC4jMSEJkOHw7p +8Dimw7ebmw7bWTrM8UuXdLjQo8NZTIfkIQQ0+EEBOnxG0OEjTIfaQyikw42j +dHgu0OBZHHZOQ92wHQN0eKShw/aCDuVQd2cowdZDaJyRBfU3gb3SQ0hNLo4o +QIduMK97oq3pMCyjWEI7R5TjcTq8aR3S4cwNkA71wstfC9Ch551u6DBeQqmD +qOkwFoB+YaGESSqn6FwpSyrHTAL8nHmqUvslrYIY9HOOd0yF3DHvsjPm7RJi +SRKichXC9WoZiS4xsd54WZZdTGTzIWteGQJjyWgiSwYTS+rpUIsTl6Xr6Oig +nTfZUR2eELyWjRUucoYmoO/uXQcQHm5U0zhNQ+XwuYfgjlpCOELqG0Qg2DJg +wkGJuYsCQ7w4EJ6MWkw2C8qpXVEuSTIkAWFPEhVqMuxOINeNyNAMxigyLJnR +GNsyNLexh2QLb8TaWU1mvWLFnLKqNmY4BuNzYWwURqpqbKCjmy5ePT8nLlrs +HfhKSlM0Eew4383QUaobNkfL5MLpgRMvrig3Gxd+iksja5ELX7WOvENf5Ohc +hwt/H3Lho9W4EDZU3xgu/MHk6Tixudf87Jn+rD0uPFtOBh67Rp3KFuVC7ByO +4kydYPQ6xoU/uaY/B7mmP9pj8lHkwv3Z9CeDC+Xo9Wv7/dYZi7Eek6pz6HhM +7gJsSHk6CyqfNi8XSihURuaSCx83ieO1cuG/m8CFOtixMVz4FXOhMfthLrRm +Pw3C7MflwidXSLOfNoG35C3Lbcq45kKdMn4Bc+HUDC5Es5/J0uxniWv2Mz7D +7Gd0lAuHGy7EU24c57Ra8Im5XOiY/Zh8XKX93k/Y83YWuu8uUVGOLJ86FzfG +hQ9scFx4wK+XVA6EsnlQDhcerEMec7mQ83Ef/EuNXPhjFS7M9pmcfEmGePEC +R7yoMgnLfkUlh260rrHLMYmCwpKcjOEA8pba2JxWZv2+Ia3j6tVlXOVl9SJs +CXPaJdwyLAnZItBeS9UtdAiwZFaXub+ox2CoRUi0WTKdQWoM0h+n5WRyv2zA +J9ZCju1owos2AMt4vZXHdmPnDkU8KxOplTijhbakAQWBdJte+VWdPoV1CHEH +0GiH6vfVi1Xgvtxm621Xg1t4q8HSUodXhfWMykQxo1KO8h12B+u8ll/xtl+I +eAPUnI3X9nNFg27bb7g3kzJUqFss4k3xHHXkonBkLkUsCvuIZ2ISsxDPZHfn +1KivrfKlaYjHdWq9Ip7wwn3ay/2S43sPxnK/vreIZ3K/iiGePm+NuescFTtf +zXPXOTVr8lkgXmzy+YgMxPNiE33E0/Mpt3Jsou+uQ+LBg7Svo518fpxjE0Nf +xyYiHmZ5axvx7Rcw4kXyagjx3LyaHMRLkv8RWRvOQ7wHAPHuy0W8nwTi/QsQ +70dAvB8+5vzuZkY8m1NTG+JpV52XYLN+jgrxHhOIpyae44hnXXUU4qmJZ4V4 +NPG8RE88I+Jt7mZ3E+LJuRSNeKGrzujF8YlnhXgjXcRjLXYtiGdddVxtTRzx +9BlyFuLpiK9YyuwjHuI9XR3xPD1NsyLem4x4XDYHC01NMcT703pDvKi3Yw2I +1yoa6T01HH62MyoB4SUapC60vT/jKX6xkBFa0Et1vPd4p5nHyEdrwwm5OurE +72C1eKxeWtYQWMpaKOYnwa4/+MSmCDrc1PApvDjRvKzssd9BRIWtDAUW6/uN +mzcIgamEfIcX/cvITMSAmpwUMx5IN1kiLKku31jb5dM8CJtqu6lWX1lkyBAR +pmaKxJ1YVj7fODbSqbUnEKzPEgiqzmF9Vkah1gjGYgrHOEnZtuOnBSzhmPKo +Ssza2wqeEQkne0jo6wRPcHWCGIPgIOFZnjctu4TNd2MLCQnnXiWQ8Poqp63C +aLEqEj4nkPClDQsJn/eR8PcRJPyzSdEeFUPCOwsgYUwMzUsZMSTUTmGFzHDy +kBDLlY+EUyJIOC4HCYdbJES9oDOyzEh4hz9Pwp2/6kj4pygSvloECXePIOEO +Wi8YQ8LPIkhozXAWqBTtrK6f5sEk+SmChA8VRsJ/ZyDhP5qAhGjx/a02WsxA +Qmu02MKa4AACznSQsFUUCZ8WSOia4GxEo8oSCd1R5Y3FHMkmNEcikVDNkWzB +SLg9I+FulSOWuKPK482osmuCk4WE4ajyWJEEO0kgoTRaPF4YLZ7ipL/GUhKy +kTDrjFqmvhZBQj6TjiHhZ6HEutmR8I0MJHxRIKGfkEALJn9sBBL+M0TCmzwk +JM/afCSM2H0DOaBisCE0XQzPslU4dNLeCe060y+vssT6qdrxwZJq5t+p6ABe +mjO4rAlwjFocLmW1BkvGj5FmTkqqP6inlT0gLMnpE6bXtooPL56yuiTGYTQS +1roUPGHuQchPJQNQdchPLcyqbslAH99FNwBxobcnElkPWt/V7T9W/sXmhu36 +bgvjUVPnsV9JDw1Hgqm7Ca6MB1SHttoy0CU0qBmZqQOM09+QRfEpkYMi9NfP +nxJx6G8q059c743R3+WwXcn0x+EuRH9a5hynv15Rr9gs+oMS9uUMpj9v4WJ9 +0N+MRtDfg0x/99VIf9fHgrFCr1hDf+JM1hewZFohynXfWuhPTovk0R9NEzeN +/tBy258m9ukPDWteroX+Ogj626nR9Ie5gdYNsSoANh/9GaMaoL/PmkB/aIG4 +LE5/2qhmjjGqacFGNS1pSmTmCm1U08ozqhH0t7wNWyBuBPS3UUB/ZFSz3IZW +Z9GfDq0+KaA/a1RzxGJ/iljSnztFHKe/QyPpBeM8GQ2eUB/F9HesMKoRTrIZ +9OcP2sXpT5fPtUl/WkK9jujvZUF/pAf05DJPibWUmuhPSGYCOfVPblZWZODO +mRaJNAS1q6ygPzjkA/8BBogTargN+a/s6gFPUz7TGLDnWiKqdd8Wdt33WHde +5MKjjce1Gua9eIrswCkIuyQ03nbgr5Q9Yez1AJUfIiNfnaG9Mq8GT1rdRi0J +XzxJiwKVaw71JPfY98Aa5z84haUBya4/75k9lA4Pia0XmflR/05jUx9a6e3j +KPdUT693yfj/9RTmMD1KZkZXWlx39dZ899UeML5hTEMM/MoS/PQDdeU/IjR+ +oSVhXyU+1JaEBxgZcmzyw/eNqZocTdTn+8Yc6fnG+EvAdvrDBqucmT39sUAO +tonZ4GahPu3e+iSUrKd/sdQ3Iov6pNdWjPpu+yGyjuENuEUMEKhcrQPquzCP ++sZGqG9ESH2Oh4ymvkHZ1PdwFerzbQo19b3WbNSn4v2q9fxc5LNp0oh8S0Lk +S5L/ey1S3+aW+r4G6psD1DcXqG/euqO+GbAZe8Ll2jtGUZ+dDd5ITX8s0/aE +IfX5s8HkHbNEe8dsDtSn7QnV9IdLfV3MbLD1jhHTH4urzQaH1KeyqqY4qpr+ +mdQXWiy41HeJS33OkomdDe4+Z7pHfToz4D+B+lwD7MNkMioZYMccXq1Q+vA7 +vPLpDdGNy5wRrk59R8Wpj8ppxpww9/1auovBoRN2gH0y0kouBmdin4YurbhW +jjAZ2Nc6gn3hBEh8btiuCdfhnyQerMNn0FJd0w1HuOOeNQMgt/YaWlYmzu2r +QGo8L50St9EwxkaaBxXLpWal1pnL4AaekueVpYzPdPKQ6jq2UW7TLtBVfKAL +pjbGLa41vCSf6eLTvNlW042W9eUGmWimu8hhuv1MQZJMd4NXlPSpqJUf94qa +WvlM9+yGy3QvfhvJHW0GprvVX4goyHQR2fFx/gSHjrXHMbQzFNdVZTpdaQr4 +vjjhecx0cd+Xn/N9X/zJ3gymM74vhumUL+CrxvfF+gK+JZjOtZ7OY7qvfKbD +Sd1mwrp1wnR/FUz3p0ym07I+tJxuWVkWYbrQcrql4/eime61CNM9JZjuIdju +l0y3TDFddKJ3mfV7OUv6vRDTbUZMN8X4vewomG4vzjLVTNedma5PwHT+RO+h +0ZjmLKYLrVUt07lp93FLVWQ6LYNpKtPZMJM40728gTDdt4LpZKjJ70Omi1mq +3ucNv8XKZ8B0P4VMd6VgOq+MxhZFmOkS4DBdUSPLudzQK8kz5kR18+qzIpyl +D3U8RK8kV3MTMeBb7+Y42yxn6wLDGSaX261OJp0EK7nliIVgmXWDJtVZW1I3 +aPXfBIuAZdNThNcklIYG+Iqt49YT7LUA2Js0tyf35DurWVptvExA11bjHzXp +upZMpy7lldkJluuUKM/GiKQYI9JSJSDrQJGStXsuSbtnEUtieM+McDSd98L+ +XTwUeYwjLJHefsV4z121Rd6TYxwB781HB5eivKeXHWIF6x6bLf+fwHvPriXe +u5F577p83sua2G0U77ERFYpKzjt+jSw/wTjHNF1hxtlxjsv9id3hYVgyTuze +PJgndskL2jq53MO8d3+E96I+f2L1Vju5vErjHBhk923ljS7Mex2XGy/oIrz3 +OQUlCx9op4f3IcDeTA/2cJzj9ST5vzY43gsjRrJ5bznyHnCd8verFw4uLYH3 +Whre+1jw3jvk4NLKOLjEeE9N6qpVW+vgksV77YD3bDjyucx7ZlJ3yaaVY4H1 +VLyIO6l7xGJ3UrdxvKeWSPQYB07IDTG8ZzV7B3lLIloEIwUwchoutEiVvJe1 +BFKA92a54XXZvMdy5w2C936z/nnPL6Me80EphUM6qvdK0gABV27PxeVYD/ay +Wa9NkDkSBtpFvFzqzUn2ha5yjwdsp0nNHnfenJacbeJtppKTRV4yTnnAXTbh +tt94+Kme+KVkEni8vboc0KiWnKK0bgqkgKGoo9aRM9k6mcYZmZ3wmITWwbUN +RifUoqoy0yubRhw95D5IaXrDaOG9W+C+j/C2dwlvW0fwVn3xtUhox5BMixUc +GPPh7UTn7NIN7pgqVg1k6rCS3JlYduMdZc80qfrMAXibUwDeAq+ADHjjCrS+ +4c14BmTBG1egQvB2T43wdq3rMJoFb0fGojPz7FZOyx+8yIW3yCxuAG+HuvDm +pxCjDd/tEbsVA2/GbkXB2xM58ObO4uoU4ji8vb872vAtdm34dmC7FYK3OVXh +TScQz/vlwZvJh3PgTdusxOFtFmzGfg+2DzLg7WWS3LVim5XWlScz4O1O2KYv +i6UOt6tcKuANU4e1zYpNHd7cSR3WM7h+Nlxos1I9dfhQtjiw8DbeUzKH8Ca1 +LLXA274xeDPl8+ZKD3SpmqO0Kz08C4Ni8Kby4YrAmw5t3xDhbZQI8chULTvw +9q+q8KaNmqvDW0MRKz7u1G3uaFucwYtT8uhtTZZZc6bpSga+jffxrWSkd21x +LaVONequOFz9oCXepR3euc7cOeW7jG4qw02e26UyafHuyFN7JGWSRuCpldpD +9y6ZvN16g3TobNKZr2lUq9P32zsp0e+qx9gr2Rgv6SZ68H1Yd9Gxdmgre9AW +GZDNZjZried6poRBGp5gLmi2+cyWFaYRCuZcZsNVgfOcmPMuAbNdJZjt+oDZ +esSYbXZBZvviF8JszzSC2bxgjSiz3RCPPY8GU3pqj0L+KVWY7bxqzOaFr0WZ +bbjHbNo/ZcjPUf8Um++L/infq3GJ/mJYFpjt6d7xYVmd7yv9U2SwhmG2PRSz +KYs8j9kcizyf2T4mZpsTZ7YsYEuS735BzFbfaGZ71TBba4fZHhPMZsckspmN +8nyXad+UdmZI9iSH2WzY2mTK890twmzduBp7YxJsi1d9SHacYLb4kOz+3pCs +rz2WzOZaT2VojkX5DJntHsFsMovIMlvvRjBbj0LMVj10LZ/ZvqnKbCNymM1O +mv2tCrNJ3fG/pX8KyuuvXpVsbYZlZbiGz2y12Cef7Nonq3yNBgfbPN2cRjaV +wpa0tXKWY8N8DTkvm5n2a8PYLK+lhtfaIYK14MbbmNVb4g/KSkwHPyihKgZo +Bsv23p3717AUagHtyHn7wH64S4onT3BX3B0x32bSkt0TgjaEqz3x2l51ZlWT +Q2yPUBd748Vem+D96kzvTDXtSrJpl7iGJYHULcZhgVFJf3ZSPjCHwyyDHZYZ +aFaEwwoOLiw41TNdqs5h+xbksB7/FTnsiRo47C7msNuLcJgYW718ddy0RCx+ +msLBi58+h50aG2BoCoeNZQ7LNC2xHEZityFC7Ob42Fm7YjPA0D80LXmGOcwf +YMjmsBUkdjMctrvgsJ1VhMWnO2Rx2OewxQJwC3JYnk3xOuQwHV3RzBxmzUpa +A4e1jnKYHlxQHNZWjauawQUZeqs47MJlenDBht6etFQOLmzljKvqULMjROht +GGq2vxNbIROCLIeNyuSwgVBWBwShtzEOO8PhMOv5ZDlMzn5ll8/bYEN39ztE +JmScw3qbzPDm5rDZhcNvszlsheAwd+5rOHPYoc3NYTLk7DpvbPXKVY3lsJTm +Vst2fkFhWIocBrigFSUIYimtfpZNceUT3dTOL9QFnTNMf0ilJfBFESe7nEBc +j8HqFHkp1Bq9ugF5i661wts2MT9N8baUfzoSCA2KN/yhvTvvX2PjrEFw2e58 +jrQDCROwv43nS8BqCcEaXuyGFx3wYvc6dJ8kgNuT9+M9AWWJ3SYt3hNuX7zH +pngXgro6+nHJtOKwf5ZNbG1yhhPMqGnMW+5wgWwG16LecnGdWpgmoecSmoZs +OjyxOZCNhBYcpNjD1By/X/9fANke18HbNSDb9Ix+/XUxpxHbPluryGYries0 +MrkKso1yZ05pyROQ7bqhYj7BR7ZI8lgM2Z4sgGyv5iKb5zO3LpDtfxdIlrgv +N492XSHbUpMqUS/85ZROzfjLMbKF/nKtKy/B9oLxl2vNDiPZyHaTh2yoU5sm +kO0s2MysKefQWmTzc2ixgnfMQbb+EWSrMmu6aIJAtmqzpqeYES9/1rQIsnVj +ZOuei2yxGG+JbM84yBZYc1ZBtu6MbN02KGT7q4ts/soDYNtYadTUSGSLrkL4 +rbMcrzkvfYyhbSMf2qoueTYzuJUMmrXCylxGKtsIr7VFUmsh+mZXqS3RzEbL +H2qDvwEn4MhxnWrluNaG446atzNpFPBcS+287VmvYNgO/g7QHTyO6ofjtgs8 +H2A8vNgNoW7xbrB1wI2orQNe7I5ktzv+sMMmWAtK1LQrs3x1D72lpoEnGnN1 +npqus1gdrRRBvMBBTjPeaGa8UVUYL/QS8WYRFvmzCL5/cHwWwZxHLnCH4H0/ +kY6xNNn5XuwN9fZVkepuGO9WU6R8TYZlvIe8+Bvu8RvGe14w3suC8d5gxntr +g2C84c+IQqUZ7zEZgyN0GQHj/cMWqdvc/r5ivJ8iM6irXTe5aewrUmQmQXBe +dV+R1WFrLjaDOj57BvUaKWvzGO/W2AzqgBjjfS9mUP+S6yvyiphBdX1FGst4 +X8YYD2dRa8C84ulh64LxFgHjLQbGWxJnvMXMePNyGE97CL8tGO8VYLoZzHjP +CsZ7VDDevTJN1switBWzp4rx7CxCOyc1TDHeFsB4W3OabJgaJmdPx8X8RIjx +9OjXMFr9wFmE4RlpskO4rMrZ0wOzZk/FWJcso52NEWdoySQZrzszXg+H8e4s +znjCiqlXkxnvy/XLeOQr4jHevX+LnCLr8umvbGQw3lUh40mlSayUEuOdbxgP +AxK8VdKUpG2l6pRXTNjWdMpLjUqNg2U15e2EQJdi/ncdlukUWQ4e72oWtCDL +EdGleIKeYvUmtutXI9u1YrZrUTlmXvvKUWT9qI2AtqJhIsV726a4J8NDTAHc +m0K4tz2h3g7wJtuWHm11yH7YwttlM9zt8dpuddzbW7w7EeAepq1nYS6p5359 +R8FznSsmALZ2lBsTMQMeVbMt3Gi3VcdLAHoyQaOc26pDlDseas8JZjKhr55M +4NTCHo4ZsCepXaDHStHJUstqWaaBbpZzZbxNHsrdwyinlwjkLHwsydAuEyiU +03EQiHK/ZpR7Z72inHa3HJ6HcliPHtQS27jH0djp/3Qt4m7KQLmrQk9zXX/y +0sJiEwo+yvlqt1yUY5s4g3LCHJhQbmQE5XRaGGDczTWg3COMco9nWMS9kIFy +0k4kjnJLKAw2jnLCGDiGcv8vo9y7sL39XxrlrI2Ii3JPCZRzbURyUA7QbdrS +EOVOFgGwRxHKbUOl30wmLPHHSu1kgjtWaicTXJQbwSinVkPMZEIBlJOTCXbI +60zPYdNHOR2weJWHcv5qh5+fnYFyX4aumusT5Qa8hmGwy00YbJNQzjkTbizK +xdLCwkEvKqXKmSmlEYWSPDEGOsD50howruzPJ6TIcUmDQ3G5JnGNBDkvE8I2 +7lI1pQA1ug6Rrg4r9baIdHWIdCkW7ZICuauHwzVNcylWcLg4GB95n059a+S6 +lobrTgCuO44GxDeDvVmZAh21ZPMUPb7hVxH1sBcP52oJMt428Bbq9h6eu8GG +N2+H5Lc98d8OptVH5Ncaa0L9/0/ee4dtVV15/+e6b7o0RayAGjWaGAVBir1j +R0VsiC3GWGKaJdbYa8ReEAFr7A17ASmKSu+IYm/BTjKTeSfz++f+7bL23mut +vfY5536e+wEy817X4yRojJm8s87H7/4WjXytzHtuK5DzN3M/Vf+2a150t9AQ +qBEwC2Je1hZebkX8a7KUVx//xZUiefwXpxwo/8VPtZT/eDK1D5kCOyckU5vA +f9wi0jL89wocMc1/r69y/hsC/Hcw4T/abk47LqHdnO3aDIumDmm/pZRQLct/ +UU1wxH+Cy25EYi1WGodgCdUr9DSYmFBF9SJ7LI/rRRj/+YSq+iH1IqYiOFEn +t/VioSJ4vqkXeZ3MgglLsSn+e+XflP/KLMTG/Odr5ID/Xkrw38OI/+6BddjR +JJkKU2A+5dA5rMMq3jvP8x9ah9UpB/VzvOe/DeBv+8MU2FHJKbAdYZh7V8J/ +Usoh5j+aTOXuF7oOy5OpZflPeglR/PeObeEs5r97GsB//G+b31j5/OdPJxrZ +5gnViP/Y+ZT4Lwr55/DfBYz/2osvtoz/MguAa5OrCtsQctqBGWMSBEhags8V +xiHOR+MQAQCzqq0Zsf1xGQudGj9d1fvpKhoA22kAbKUPdjcNgG3hbdZ0Q9mf +rLU+41V9x7N26rc/Gs+0+7kKiqO2tmBYLicRmPDUmRuauZeT1f916yyT5cO1 +Kvpv99S/8fGwAnOcQcXuhhQ1L65jeNHJgsCL+jcb6N9sqPmvhxb/emphsJdm +wo30P924lfAkrIFw0+D9UwQISQ2Hh/DkayK2mRUIqygR6/x8NVSX0hJ0SLMX +qdEIiQ73aiId4pPWcDp8Z2XQ4cuIDic2iQ53LEmHgx/To7HvmuXDFB0eBHQ4 +hNAh3r753PeXHHZzDh1es2rpMDkd5pJYzNB3USKDYY5MRIc/+MJhnoW9HdHh +6AQdPgB0KA3H4vI5TIc6C0vLhiU6FGbDAh3asmHn5+N0OF7R4dMNocMVAh1+ +1wJ0qMdilxTQYVQ6p35cyfDziA6fQHT4oKHDjp4OXQZWpsMuhA7dVNgf0VCs +zV5YOsRTYce47MV8NxpBM7DDUPbC0aErnKNGPmEolmRgR6DsBZ4Kw3RIPdE8 +e5FPh+x8vnN9AR3izZ166BB5oKe8xOjw9QbR4YJiOnQemVw6/LiADuO/uT5M +pMPUcCyiw4sSGQz62JtlbVKEyAHx9N8gSKwXEE9sGiDaHpPWuMfEHWbEiCb8 +mrXSkGgecuAnsGJbLxa20qzYXh/xdvqKV2qX7b+ijXn6bWMvOv7Z17z/VDUu +VvSdr2hXj/r3MZE79bPN1juUR0f7TNxOoeMZCh1PBz/vKbAZbVHS9FBWNE+q +PwUQpQHKir4T6t/5OHMtusGPVSA1OK5jZEWGla31Uan6l2fz8KyxsqfGyF7m +5Vn/o42N3dCgZaQ8gujYBnwnW2CiDO7BGpEbmw6TuEwlHyZpe3FOKqQZMDkI +jSU2HCbJNVwVMDmZXMUyMLmTu4oAk7s/E66iBJP7lYbJT2SYvFWY0U7B5BUl +YBKtV3CrS7Ng8kQ/jejTIV5uLIJJ3GYMMHn14B9Mm7EMk1+bdAiHSV6G11yY +1IFeD5Ob5m7QaoCkaiNermhZmPxRweQPCia/bymYXNjBNBhjmJxrFivaQJDX +wuQbOTAZClVwkLdj7b4CmLxOwWO0QIZg8g8Ak6fNd2sVa5rPwwhjPGKpkPnx +7iyFybi9OE6FIJicgwN3RTCJ/778DBkmZyG3DgTrMEzK5xMGHBsOk3A2DUym +XmiaCpPw9+FPq78Pf2phMUySDdpGwiRqM07CpD6jK4pgMkPPzSea5+Zfmufm +k9LPzRDqzWy/SrvImi2NV1Q0Sqp/fbRcUR9JRoXGuN+uClIjJseqdw629ipj +a32yW2uFsZ19Zr58P/vW3Frf8ICLVYOLVaMOXGt+vlf/ltep31aNLby3xce6 ++fEPih9/B//XfwbUYDqePHXBmhUNleq/gV8vcEip25bUL6sLoX4ZkNI8ZKsf +TZbd1L/hcSBWKqo0QuXaVcuWx85fR/9mXaNbrufsjBYwq163rPhHbv2bXlXR +1fgTI1iqzwWwJS6X0VFkDpfoMXtVkOWQHLI8AoXi7EtLnEWpnyz7kL+/Plv9 +Tz7HjfLGM/GQDwrM+dOI/z77/wJZIjfOGHoec8nyRukVhpHl5cKgT+y+EcmS +FRsYukxu3f66TrI8UiBLaRfNkeU+QJZi7vhrOXdsdjJoVcxfhUwKrVlOkqWm +RdvaZ+FyooPLjQuVygaQ5ThFlWMisnxPkeXniCxXrJZk2V6RJa7qi8nyIUSW +Nm/ckVX1da6NTJClNjDybdtTgSxPNA/Y3aIdtOHz0lmUYcK2bZos0StQHWS5 +g0iWIW+sveC9Z7Gqvlk0zhfI8toCsrxT/dxVkiyFqgZMlrnen5Yny/1Fssxp +2SpLlrq24bpcssyyziJcio8+VKm0YNk+JI/ZUUXJ41VBlq0xWQrv2AEuWdA4 +EKaJprT2RkZDmG0hlnLF/l6XzNqa37a2dRL0RVsxlwPPiubOrI0jT/OjB5L+ +Yn6+q2grk/oT6+9An7pAtKMH0bNnbmAcz/pdQx+N34P7hYFpRZOp+ivR98Rp +nVzv1HSqRc9ApyB6VvTf1OrfdNOMaqlUc+c6GjTXNU5LF7DZgOIoJG0gXA1J +m9asPCe8pG9mxM8ApC4qrT5YoaG60SxKu2/qY1GrcAYWDWZKmUVzNnln067T +wKJhk7c3fuuZGXLR/QyL6kOKRyUdi44UjqkN9632LKqPKmfRJxmLPoJZNLz9 +EBYd+1F9LHoDHxz6LlHgAO89eDD8fHmzzT2bmwceNhKey6Ino5ccbKo8lpkq +UT76Ip6PPlhgUbfRu88PNFSzpzNVhgrpUWj2Q3fgjIs6cCQWXUY7cHrT+mis +ckogOjmAaJYtElh0UgNZdLTIoj+0EIt+oFh0WYJF9S4vZdG2EYtOBhZ9bQmu +Kwws+jhi0QcYi4ZcdCcTpuEs6jd5FwgbbSBknAgyxQici563GbDoVohF6cxH +zKLBTInDNPujTd595tiNtr2jTV7afbMj2eQ93ecSeZimN6wl8e6b+JFIOp+3 +EBYdlMuif2UsyiolVh2Lqi+totGsd22/R9jfxjeHRdn5PGxkCRa9jKqceKM3 +6sBhT+YiieIK6d/lk2jUgdOyGme84WGclEzeNCmaVho+W+lbnVV9a5kFUPXb +1lrorPpQTWv9OK5+z1Vw0TV++mfyHxVpXQPttO5HnXv1BygeNSJoRcsQ6n+L +ikXNB0D/jNz7W+OutwOe39a2rQtMW3swPU+B6Z/sO4n6d1R/T6v+GzgTSugT +oFrVpKoFaihh0BpqRWNqRXOq+h1WRjVmz8zQajX4Patg8jY/Rj5dS/+mm/pf +gs2CdwePj8mEG/F0PZsUaseSQp5XXUKIdgGZt/nM2j1rUPgVPcpji6dfO8Gs +GjiVNGu3LKf6LWGJU4/3CUXLqTT0vQP0wLrQd3/4G/2+/iX+zPAS3zBOvR04 +FfX3TAvHdnXg1J25R+kZxKlPLIHwDxpD9x6l96OuxmZx6nXqyF77XXCukx6f +UEJhDizjVGmeTthSil/kWfg7j1PPBXsn4VQp/C1UbF8Nx6s+Tv2CcOr9wKl/ +zeHUp4FTn83h1Nc289N0WbbU5r9FzZSv060aTv1Oceq3DeVUq5cu9rXabRWn +tk1y6kTg1JcRpz4DW8K0o7Fj7d7FLvTdkW4J69D3Ih367oK2hLvULlQ/5yFO +9XN0hFO7A6dC6Hue2xLeCk7ztnCOE6GfeenQD+XUeI7OrUW5Jyg+R6c5lT49 +hVXP3tEcHeZU6clpJFokuAVNsac41Z1N6Gh889HVlFN/3iBO/aJ5nOrn6ZKL +URV9R/UrbhiNIi/xqKqxYtI/VYFSW3lKheNa0ddVIZp3PLm3qZNW0G06Cqqt +MKjSdZMkobp9YPOMZZ+yMsDUSzCmGo3UtPZUQSMVH+DVP1XHu3Wg0ao/5Ne4 +N/mAouqfB2VUv9GrQ2+U0Yo+9xUd9lT/oezV/6bWb+vty7s+23smvXDWBqbx +S49YnguvLecQRm2FGNXUSFT0WVF/WoSp6g/1imrVgaqDVfNrJy+wF0j96Oy/ ++m1r4w1ydlJnKa06dbVb1sH5SdFPd6HByIqrWVf4O2/CqbiQHD3w/xR6Kh2k +ItdomxSfSqvJLYGn+yE83acAT0Mn0S+F1BHFU/wOVReezmguntIKcTv7uYrw +9MUEnj6dwtOlxXjqDKNku70IT2k2/XCePmI9uGXWk5uCp6ROnKWPzLVMLPFd +nFiAuVxa4gM8Ddl0CU+/9DWTJJu+g13ie3jQh7VHBrpuomVyzeQ2gKdbJfFU +YNO3FZtOK8umdjU5xtMFdeHpiNUKT99WP9Ogk8jgqcLR1xbjeskYT/+ag6dm +LdmnjrrQ1JH6Camjrj6T/uv5YXnvWL+WDKmjeXZ5j2fS8fJewFN1cufx+nBp +ea8IT4VM+pxQ70Hx9CyKp+C194PzBE+tUbQcntL1hdUdT/3Z1JUezcFTV+tx +Wx6efp3AU6mbKImnmeXT9oFPobVXWOLLmgqo+Mo2GVBtJN2cYPWThYJJ+5Rv +7/FQ/TvY3t6l9kCr36F+iynVtRFVPaVWNaVWPKVWdF9wK2IY1Zg62Pxk9hm/ +ip/xNa4a/XTv76v66FdqI/eyqFrVqmlFk6qiq5tBpXDurrq4tZPn1ktmrW8c +QhfBCLsekzofKi9kjq1irVX92u8XaAbFems+zM7HymtFWwT038WEjH3QXdfC +uitUb3bzADsiBlivtIoqa02A1y1Q1aakrnJldTt4dmp5dN03B133JLYpJwOU +Q9e+SXQ9V0BXXeuLrVTNQdfQjB4W61ciur6s0PUlQNcXWghdRzN0vR3Q9RaG +rlGtEpMGhHrf1Ih0KjjvzFPls07xEo7Ukn5hwpF6KQrOX+mC84Cuf4HHH5d1 +unXPr+NaJfUj1ioxdH10gEXXJ0R0XVJ7wdQqMXTdfJ52psr0Or1eem0Cuo5C +6DqyPLpOROg6ufHoOktA10mArrROM6ArrdPsiOo0GboujNHVZJwAXaOxQvgA +2FZ0Nhpt0NVmnI4kGad4rNCgKzhRh+RlnOC08tHo3YXR6B1yRqN7I3TdRkRX +6WFKo+v1DF1vReg6iqHrPf+L0PUDiq58QAw30iX+zp9GRYUhMb7/evGKLFsz +2ayZHMPhuxO59NqG06uzpJoFw6xtCM43hV7Vv6WxARxpjrC2AuB6dPV7L+b0 +KplRK1ZoDYWal5tCTdBYw9u/0VjVX4krUtK3HGmt6OVfA6yB2mv3cSkoHaH3 +BgAdhfqudv1gYwBQf/BIcKVaE4D6ybT++m1WNbLGTfZH/Zr6Sqg/jWZbnYu9 +1fwsr+iPhvpDt7Ooa+2qnHdb6X/cwfy+NoZy2yvKvUJR7mXwtqP+BrqiT5H+ +ewFzkMxUg64xNXCLoFf92tlGuD0LnoEAei3zVj3z/taw7hkmluXSWpDYqoTI +Vmsf2QJnbCgCQM7Y443D4DjnMKj5tvljC1E3iLTDOefOJwKtSV4dnXC7rmzG +3ccf4qYz7sA5IW1FZ8nCs5dpM5mNQqgzXQgVT1dYi1bjGfeRwLhTUSD1fwvj +3ma774aiPH8h416ew7gXoNQVaAruKksBAZFxhdTVmajQLpdxE07XS7HTdT85 +dXVjtPZoGXeUzvMD445NMO5DmHH7L9PMioNX43176BJoD0WYC0NAMePOXImM +e4di3NvqZ9zXFeNOqodxO5di3DnAuNMFxp0AjPsSYlxbCtWBVoYucjn+TiRt +hRmXpq1g3RExrl93jBh3Q1AoNjXvaJRxw7qjTlu5cd2hc8O6o8y4dN0RO1zt +i1eewzWcUn5G6UiuC6z+mTEuPp8pxr0dpFnNuPFwGmHcN9zC4+rHuGUDAp5x +ca+eGcr9LNd8pRg3y3rKmEvaQ+XNXH5J+XZu0xi3S4px43aoRkGuwiaUuLIX +2bTHG7frJc5HYDD3koNLCLSgzVa1LFv1VGswtmotA9cO/r7qCbY1SLB7a0Yd +uRfl1m+q+tRrWv1a/d5Aql9nBlWr+vir36Fljv6/sMzawTNqnj7bxZPr1bPW +M3//fAW4ky6DOwMkC9ptl5IoW8Uoq37tD+bXkF9W/dpvDavi5gFg2czArPqL +PwUK7oBnK8aMUMUabmYF3BoScLF4y2kW22OdaCvbDeIOgdWPYuPi+wZSLIQM +MMX2zaVYfoZ52KAsxYYNy5ag2O1eC+e4PMUiySHq6Xs/7uljFIvlhkPRSxkZ +3eXdASmKRXkt6fbyuysU8sVGA3RnHcX+kVGsWIIvUexQRrEHcor9Mac7IJ9i +fSuV+gmtVB9oegWQpfUBEcWyDnxNsZuhOUtFsVN60jnL5lPsA4pg72s6xb4M +FafNpthOdVMsKb5HFPt8gmJ1tel9aKOcdgZ0Mhvl1yGKxfuVf0KjR2e4/UqY +ShH3K+elRo8GmvNrT686u3Pj0nvbII0L/4J/K5XT2jUaPQr7ldJG+dZso7zP +TJzTop0B2824Fp3PG2AzjlFsYv539aDYeauSYrNso3yxlrx35VAsL6ZqEMWe +0WIU2xZTrPUaoF7Tig9rZa2suBBqTU1oyxBs1gYPWYZW6rBkiSsDgmhbFT2x +g30y61qNtdoT28p4Yq9Tp/4v9qfiQPc7RX8IdF1aywKvdR9UvftAk29Fk6/6 +CwaV1rzs3bb7ctM3o74bFc2/Ff31UP86bVQb8ItBdcS+OnsUvk6h8DVwqK6C +Z6UcLK7oK6b+c+qK5gsWeFdDRWOx+nfKN+iuKem8ZxgG/o3+HTpN1h6nyXjN +q6Nh9S840ZAwiLuIhrEPF+u6MglbTXdzIRVGm7QoBfdDuyOOgp3XK6y510fB +BwkUfBij4KPQuS7byXoqOt1xJ6t/aJsVKLhPKQrmZ1yi4DubSMFPthgFb1+C +gvdSFExmoOqk4IOFWeJDCQV/RSn4L8grdnVBS3ULUPDvf42NYOE2k7L/EXQK +lNtt9bmVKPjKfQMF8zTYLdqz4Bq0NAWzBi1HwfftQFfdoZtVk3BZCvZd/yUo +eFopCpYnoCgF31NMweMUBT+nKPj5DaHoH1Hwq+vb1gJEwX9bSRT8OlAw72R1 +FByasygF07aCTmj6s0tyxf13ePoTOhaPM2LG+vAMB9OfKAV2ZJQC2yGU++dQ +cPAqoOknT8HhpPJzSk8pX9GTpp/OJ3avvoXn0y0n34pKByUKvg9R8EMCBT+F +KLjscl4zKRjvozzZ0hTsGrSWK9IJHYQoEFZAwYoc1B1VlCHWs9JrqinjbO2g +ZQGG0hCcV/SfD8FrcAi2I6BHrZAo2HayujSYSz/oNFiF8W/VHuiD/JD7AZqX +L9vflxZ4vy3WdImci1wJ1zlXAnSzAvPyLJgz2O5lEHcvGwi7xSKukXhvDbkL +K/FWGOG2sVEM19+tfgZa2i0Hu1097I5UsHs9/J35teCjKgG+1tnQjtp5IZaG +LL0VfdNSkvCakSSswbdGimg9+RLqNU20xMuLqfdY84P130C83MUQOxgkl+42 +8FTWByxhwaHrzq22hx0Oum8oicGTVnm0eyCi3UP8Ep+3j809ApUZhrMcurlw +58FJUeeBO9Gh8+B3rPPA0m7vZtNuSEbYCu2m0O4TQLtPN5x2B5amXSdeLG4i +7X6CaPezcrR73bf03S1Vo+06uppLu8y9kEe7rv/A0y7qjNVvZZ52D6HhMq0c +5IfLIoeuJlhXfzAmqun6JFHTZWn3KaDd8c2l3Z6KdnuuXrT7taLd5S1Mu28x +2n1tsevm6qBotwPq5mo+7Z7HaNcPnSLaDc5cGDplobIjveDApqzmWmeuO7Nx +58Gh5LRyZ+4eUdUhrTkcMAcPndIRF5rLDcYvmXb/on5GNoF2ueEL9cT6UEOY +s/o3ot0s27Qc8PLG7fK0az1gzL3QMNptxXdPK/q66mhZfbDbJgW7FU261rBQ +9REJL/dKrKsJF1K/NljmLAtu5TR0cyFXLl6qwtMDP2TBsqCjY99VvW+h6loO +vvVuhW8cz94Cku2tjmfVr92mTv7t9iczZKt+7U4QPdSP+jX1JahotlX/a3Wp +jUFb1UG5wd1w0+z1TMhVdwVeD26qQLyi1Kv/N6V+20qSe7uo/4IS1FvR2Kv+ +VSD5OuitGOqt4towsEC0lcYXnPUhs7VhNV8b5nhXUnhHENbdEFgX1yo41sVO +3Z+xNBruUaCcewTEIPI5N28T4UD/8FbMucMbwrnbRpx7Dimm6TOL2cuazLm4 +oAY90Olur9WScxcWc6462HmzMQfzOEXdnCuXKPhG75ITrtilW8S54uoW41xS +ooA4l7h00TaC5VzbXog5dyTi3Ft4Ek394CTaveDSdZDrOr4esapuUzh3wkrl +XLe0ZTn33Z4rl3PfV5z7nuLcpQLnzgPOnem7vdKc+1yCcx9QPyGB1gkl0OLJ +1st9eYL9KLjyhN8C59rJVrfr7dy5aLI1cucmthD8qU1tIWDODWc11T8bVrZO +jdavKefy/hm8soXKE6ar8zk9xbl59d33NoNzX17FnPse5VwkERw8Dqu6n5SF +XAU4rCUxwbl4CPuSujjXYm4X8aBy8SAx35rVDbraN+qKFUfoX9N1tG086zJR +12TQ1L8E+XOtNzdrR/daQ4eCE3YrPoJmLQ2t/Oa2/fmxEvMuNCdg3t37+1Ym +W9bW+NaoceEbc+dvNI6Fm0yiDLcmKPitGLNuFdkWNPMSSbdioLcV0XRH7/pF +RT/+Za2tEW6Xz80z4PZbDazD2BAo+FZFwfpi6QWXG+FyaVdWTMReA1Z/lVea +CBvSgbX32ZBxoOKu+u/qK/rkqV9m1Q45RKxNvqxI14rAVQ/EpxoIPsUIw04A +loVfDsLrMdHXgbAz+2KLw8/ATbYVqWQ4ylcyNBaC8dxsoyF4UA4Eb+OcabPc +1KyD4IsidxpuaKwPgu8mELz9agfB8/Mh+FG+cPN+yBTfpy75vVS1cE1ixOTr +WsUxBPMTbiCY1zH84KNqrk2chIiFAPEvcVQNBN9CCI4Gwv7u6xj+JETVLkiY +fC8ZIkTV1E29xpl89fTsXmh6FiD4dgTBo1N1DBIEf0DKxHI3GbZYAMuzqwKC +71LwayF46WoEwbMZBL/BIPhlBMHPIAgONQxrmIgaheDOIgS7BjE3NRtF1ACC +7dlmEbX51Nx7BBth0ObeoQyCXUTtoNQgGEAwtzXsLmQljLl39mkegreLtISz +UJPN+R6C8SBYP60fTNcQHBrELATfKEDwKHo6mwXBL8HZfJVB8OSVBMFLEQTH +Cd/SEKybbLDJt1vTIPhiEYJ1df55WsFFkgKIve0LKfg0o/aebtTe3zRT7c3W +xBBsBd/hKwgEe2/ZESukhBoUMSQCas7ecKCzN3gKXsNSMJDwlfuuICUMV+8T +KsujvYW9tbvh+r2/d3sLvm7hBv+4By5ejcO6asFW3pq6ha+pxQFLwu1qt8PH +4Q74udOlQezHAinCbcwT4d2wWz5m589hYfLzin4zVH96x8hid4PIybbFoYPi +5Dtmr2v+7v5W+5JV0RdO/bfqkTktIuvlCoPLJYVkvShBhOS4DU0u9V2Tl/qe +bjj5NMPJTkAOZgnLy5iVjxNFY20J5nbgzZgVOA7EudVGKhjLnHxYnZx8gDie +Wz8nR3Vls0/z9ZD8wNfHyaGurIiTfV0k4WRoM9fHvjQnPwucLKkeJTlZH/zX +FCe/WsTJC9Kc7Ach3q/tTzj5A8TJHxVzMjr2hpN1ZaQLw13zTZqTnTHCDeki +85rIyUXGiNOFMBwWjB0nYxvwMcEYIXKya92NbMArQhhubxSG24uG4fJswGFI +F2rLECeDYOw5uU/Y0qWbEAtgu2xubWLDOPkJ9fNYSU62dWVLe96KOHlr4OQe +BZy8XsM42Q2WpTh5cg4n27qyDqHKATh5DBnO7YyGc/W3ojMZzr3AD+daNcSF +4DQnn2j8bN2MpIHtv9arthXj5AGMk2EEYm48AuE8Z/q8+iZIaIFMc7JLU4D9 +d3YYgXAhOLo/fg5rwxHOp+Lk/sDJA/TpnB5O5wCy9dhSnDwheTZXd04mbThN +4eQfm8jJrTgnVzQoqz/0FDeiyzH59GZgMtKKzWiZgmRFTgaR05iMEnBVLBJn +lbCYa+6y+smcJQLPlUHNrmnYvSpafyDDD38RcJi3OJj2sT0tDt8EOPxNVV97 +LQ8vr1KfL3NDVD37WkW4qn77ufHIjd3l80xT7+dV/WFQv0N/GnaoSyJez6Pv +XQp974SbdTs8cgEGmyoaIh3DqE3MwZGhomJAuH1Oq0RX1irRlnOwYB+ueeE4 +ZaHAdmFqnXA1EZiAXdGZZA92lgkehEvZJfB0b0y/Q0vT75BVTr993DtfHv2q +8x3e+ppDvw8W0O/41YZ+9y2k3w/z6ff2eHty2A28CsIqxWS6N0G/R13ICs2E +zYmTytDvaYF+I7sE25wQ6ZfZgsnmhEC/JATnNycK6VeT7v3gmLBtEK7TDNFv +P9rbm6RfVGg2eaPZiH7faQb9PqLo9yFDvwuBfhcV0O8XQL9/f64not8NVxn9 +TkP0OxHR7wuKdp8l9BsqIOqlXzfVaysg1gwTaD78ZtMcI0j4bXMQI/DGRBx+ +G+o2JgrtwEPZxgS1A+8e2YFhAo2dUUe/oUXnHNaiQ6d6Lf1eLdJvvHQ+Sjid +BfRLcsNF9DsJzubUVU6/Q8ahxzWZfnVpmbueQ2kOLl7scRfUFJqVo1/33saM +Z/n0254P93q7BKLfJlgl2pAGSaQQ27GJ1hL9giO4Xdj+OVS0SJiGXoVbHnz3 +W8Gl4Szg748Uf9W/tV6TMN3rEHe7Hpp3jSAMA7w3mq5dG3dz+2c3o7gb6t4F +Lbg1tgdbPdhYgkO7A/gkMqsHt66N3sXqwV4TNlg8FhThimHjNiZMYhLU8My4 +o+Xkcpjc3WPyGIXJo6HjZhQ8hcnIXOi20M3Gho1LpO4yG7vrEGJ3C5IrGpqX +KxqY1Xfyj7Zngo8VO4OFL1o7maHyCT5Rp+8vRWWcpBtOeiO2AGXi57WjmaFC +chXHmLxzHZh8QItjMm1KK4fJfRMqh8Xk65glTipmlzD5XsDkB2qknH11w2Tc +FVGAyQc1CZO/Cr2/visiYPKwq5DEkdNYmUrP8ee/wvSchMngKj6HpeckV7F+ +w4sw+YCAyTw9N3IvtG+hI8JwHzkmj3OY/HeGydpTUQ6TQ+9vApN7zUTLbM3F +ZNv3uwgweXESk68XMLnHKsHkGQiTpyJMDn2/FpOfNpi8Bm1KUz/jBEwOS8E6 +NdcZpebCUvAf4YzTKTanZtjUnDnJqOv3KLRnwVNzeM8inmIT3MTkpOJ1y/iU +OjexPMV2Jt2zIIGMsBTcD9zEviodMHlgsir9LmHJ8n6EyeFsDpr6ePy2tnph +ckVzctbfZDHc1TwIKHmIRMnqcg5lq2z5iMyuZzMQ2TSony1cUrwWFC6qVojP +MArxbxqmEJ9nFGJ1bhUUXhA7ie0Ym677hVc6vBVsE3Ot/DHGFmJtHD6A10Ls +q+HcnWnnnADXBBWIBzOB2BRBmD2Kb9keRdX0AJlHQMPIt2r/sM3MOYNEyMy1 +JiaJUbt9qcD4S/VHeqG4naNhbx8eB18HI6TspNBYQXFFR6zVn8z1a+qfnerS +kDt6OL5n9jrGIzbGhII7pkC5oilZ/XdcQlrWf7Ohfls18jIwslbnTQVFQVtx +RQvL6r87jMm+lKLmVWVmQ85Rk23lWsBjriTr+4vDdzx4J4fujgQPBa1Sc2i8 +Q4zGZtm95dCYlgiXR+PeDUPjG+NUdBEav7k6oPFcdOPnRze+GI1RsYRCY75Y +HKOxm9380qOxjkUfVoTG6Lgn5jbtUQc8bhYan2xH3z0aFxQKEzQeSgN3l7PA +nZ3FgOk3hMa3sNXi0bvZVomx0Cpxz04GjXHmTkLjJwGNbY2a9Ro7NH7p5zIa +T2oxNHYlwjlofIJC47EKjZ/tWfu7KRNuWTReotB4MULjeO6tbZh7S6DxEwqF +SaEEoLH+doRCCYzGVijBK8UhaLemn3o7eb4L2rmV4g3MSR7uZzCcx7g36nJ3 +U28oaDev2GO8rzqr+yA0DgXCx+YE7WSPMe5hx1ll+wDnZjBC0K4/RuN37OPb +QN88eZvQPIkzyvcBGkN92ptuJfMx1L2+mqNx95ZAY8UXCo6z9VsWje17nPr3 +0lXC1ZWHxlWMxrCA0dH7iwU0Dt7iIR6NHRajGglSlKYl48DEP2b5QEz04m+Z +XowbgFGMzujFt+9u0Dj4hjMUqKv6lImWibVr4ouKJmIjDSt2H6u+BeZ7YL8J +TiDWPKx+o3BY/TEPqI/Dg/CjvXY7bTWgvGjc2XPxfYqL74WZn3GQowiMbGUA +wse3KT6uYi1Z20jUbzvmJPfs49pVEMMAHdk6kGsEkd2YBx9jli0Xa3pAxvox +aasAOOYNFVY7XocF8nr5MB7WjUMQL+4ZljuGd0DVP9peEcD40AIwdte73gBe +U8C4DwFj3ivs0iP5zrhiMJbfB7d/E4MxvfArA4x3QGC8S91g/B6AMW1cO4iD +MekMsv3CIU79JTnvh7EQXjjtrokC6cawF3dkHhiXMBcnQ3gOjE/KB+Pzj2L2 +iqKlDQ7Ge3+r7RJ4Ms7n8NQPL6PgObxU41rzwfgtBcZvNhCMQ9Oaa6AIYHxM +AOPnLBjTrbgGgfF8BcYLyoAx2ohDYEyb1tZADRQda/cuphvIt+MGikV0A9mE +7xbaDeSzQd84g4CxXdYY4cN38rKGFL6zYCybivFp3b+gU9g9v1FTMSxrzA7L +GvEGsi3x6YMaKPA+XADjeF5TBmOpvCcFxo8zMIazaTLLAhhPUmdzEgfjaS0H +xkKBjyLjLNsGwfFHAMcf1w/HLppR9oKWhWP+Aheu6Upn47ZUNpZ2NdS/B+Hi +Q1ZwyTjk7bKq91XYDQ3tqKj6ZsyrDRhfY4qDnR0OvBSmNVj9ssmGhGwdGoNr +jcfgHBajZolIHjbLSrZaTXsm4L0Q5eiCZ+LzbE0kE1syvg+6OHXyxEnFelTU +krFNbD80yA6M7vzzAXXIx609Jj84Zx1z7e5f1FH9xShgztb2yMxxOe296Jzn +vagYUbmVR2aQlK2i3IorytZ0UfOmixzDRa6U7HqMT0akzOsrLCk7M3KQkLER +GcfwAiX3FjbppD06Z0LeHT35FS1wNJeS4w06XDDEcyP6xG9NngXdgFLoI6YO +urKUDHOgvlQzj5IfWemUvCOi5F2fpVUVaUp2VRXvxZRMCjYZJYOEHPLW4K7w +s6D6zn+D9uj0jXcm5O9lSr4o34TsKNlJyGUieLG7YkXShOzdFcyEfPEhLIJ3 +gLDEsTc1IZM9OnVHdS9xQVsFp+THWV/bs6iqommUPLUFKfkWRcl/iSnZmZDd +Fl0jKHmeo+QOtSWLFCUvTlFyu4iSbU9bTMm2okJ9MwRKvk2gZBK9Uz9uRRlH +79z+3HEgXmDz8XDBfHy4Nx/v6M3HhwrLG4GSDzZnlS5v4Ir3Y1H0TjAfz7Hm +4/L7c5SSXcVlOJ1hvtO6KhwlSxWX45pAyc95Sh6IKXmSRMn4ZDaKkuOzySiZ +nM0hYz5yiJxlW8aXs0mU7Bovv48oGWsNRzlKFvQGQ8mx5tBgSj6nkJI7RtE7 +RMleoUDGY5u66xCqM/UphnOMANmZjq3nuI0Vjwe7PjbvNUYzcpFujKaS92RT +yQp6hZKJDGvFunwtGIuruGjCwrH6NWOhsMpxVnGxu52srVj9qF+739gprJUC +q8cfq9+nvhTq9+nEtmk3Uj+71MXKoani4TndTeT4QdtRWdEHUP03q08gVpnv +hnp25MTQ9hH127WLLcvlK5M1NFc0MauvpXNhcHn5XDnRR4D5DGZNxrbkGJix +JdnZkTcJsvK8LdRPKrXnOiv6R7N1h/HE3rw9/CVfObAcSo0HgRai+9y28/65 +P/hSYxmWQ6lx8NHxuIn20q0MWObaSCNgeU5tp+bAMis3jtrovTDyaf2wTBJ7 +uOA4jDdzWC4qOC4c8kjBMpKV/WzdMQlYdgXHohUZhjxwYm9vDcvfksReHizv +ZCsrHnSVFbbajfW6KVje9l1jRY7KjQGWX4tgedZKh+X3AZZ/FGE5+C0MLE9o +JCy3rS1UP/MFWCZTdU2A5TDWrC4/G2v2ST2A5TPhZLuknrXErU2GO2wqZHN4 +1aMW5MOJBTl0ucUW5FShMYVl67M4zg930Jc5DctpC3LeWLPxWZSCZXU237kd +YBm/xI1l6Y3ysDxQwfJArS+sUliWO+EjWA6vcQaWR6ureRd2qn1OYdlVVhhY +ZnbkpsCyu6JS9nmVwPJ5BJZ7EVh2Kb0/42J5ltK7NJaTE6QcN7Zdo+4zoLKt +a2tnkyPw42l5T1/NVnEtFHZf2borCChrPwU2VfiGYg3K2mfcxhdSjKE+Y8PH +yGRcxSZj9S9WXKx+7UH1QQD1WP0z9WlQhGzi3OrnMfWR2LUuQq4ZQl5DEfKj +ipAfgdzFQ1DQ8yC8p5kDWGDIyE/32WX7JqKyt2P8GVaRMCtzcRlPRnNOPo21 +XWBODt5kbL+I+92Ge1H5F6jbjTKys14MA09ympH3SjDygWjSuR5Gluacf51k +ZHzg/fBHASNjQ13ImrhIdllGHluCkR/PZeSBLcHIz8fdxzIjv5tm5Puaw8ho +qom3WlyVYORUdX2Kkc/0bZ2aP10LUbkKZPIyKFYgu7NNMFm0JQt7d3t/xxJ7 +y8XEnntow4xsWi0GfBgx8tPAyDquFzHyFiufkfnwR12M/Cow8kSJkbvXycjt +SjPya4iRn2NtFpiR71nsYnqIkRfaB8Xrcsbt/mjG7dYkox8nGEYOfcfD2ejH +UWz0I/IiRzPO+X3HdPQjeJF3lUY/5rg3OWe5wCcUTzlfQKac+WaSO524CGig +TzhDTC91NnmbBTqbxYz8imbkLDu0HCZPAEx+rbGYvD+eTkpjssjIh0qMTCaU +EoyML+ilAiNfWDcj1+9JXicuzIwhmZbMj4hf71xU76IgTFjLRStuRY4ajg8w +jgsz8YGLjd1Ys8Lga/xOMy6t+N7hsc3krREyeXTFAyaZ7QW/3fwI03VGNNYC +cifJX2EuvTMdoxSea6XILCS38uWeIB9XjHbcMTgsBgb1WIsoj5qfZfblUf3s ++vP+5T3KrT0uPzF7bWc7U38l6gpma3t0flDdQTBmmNHPNDqnizGgTrmT+kTf +VG6BJKJmmvGTLRnUjtE1TcwLqBUj34aBl0NwF1xccHEkMSrjBN8uNMHXYFoO +Jx6/GaZpeTuBlv2WaQEtbyfFT0h9J66557Q8ptm0PHCV0jKay3s4n5aHjEVV +nkW0jBJ8/t5zWr6S3/qcBN95QoLvLELLuagcq8rqoJ/ILBi4luhohMrOqMwn +8w5coQnd0PJ+gZaDV/k7GuJDtHwX77fw09CIlsGCgRuQcbkFXwpZfWj5utqX +QMv/SNCyMyp7Wn69qbS8Ri4t2znomJZt91tMyw/D1+G+xcGmN0r9+CloOPFu +CtoalO3pPnehMyh3Dck9aD22yb31QLTY2DzqHc3WQY5kBuVhPiSdtl4cIBmU +E+sgSYPynNMTS6NhCroPn8ibcQmdyJsRDMp4Im/g2zcL2Q5JZGClFiItPyPQ +8stAy6+tClTOsu3kjaUCWj5EomXWH98kWhY0h5WjKLsAiJMh8G095zijKB9r +FOVwY7WifPSKrAtdZmLDIH92K9CSOdkYkzUsdyRqsk1Ur6AtFoaVfyCmC8XH +TkW+ARZOb9rrG754B6wM+bwwc+fa24jJorWJnzBKzuyURxuI5n3ma++N6Q7S +eRV9+418bL8A6kc0WAAiq9+nAFn9Pq2laIOe/kLs9rP+TZCW29SeUqz8pMkv +252kxyCuweXmB5DcfN/i+pk51b+c6l7G0CwVY0iJPw7Mvy+wYpw4n8/urZtI +93HPMq68wPN6A1BpMk31tRwsH0f0kFULyzdTWH6L5bXfQpvS/uqvzrAsz4Vg +WD5AhOWPUO+9FkhCqs877sy03pex445UfnJYZtN6cOqlPArPoqBUn5GWEy4M +mZdp64W3LOOZaaE3ObIsR73JFJZv4o0Xu+uCTNp4gSemQ2EyTfWlYNk1wRlY +/qmCZTSrN2UjBcu9JFierGD59ZKw/LCC5TATwouSl/SwNRfv9rxVwfLNAMvD +az+O+UXtH+MVLD/bw0yGNB6WOyZheQ6D5TfqgWWQUMaBMW+U9yl3MtaL66Mp +PXuyNSxbn3LX4FMGWD5ufnd1htcHvQJKkueX9Cmb81qyJNnAMi1J5poDiXvM +pmk+51Pu48+nnQiJYZkvkTYelgeRouSni2A5ywavXF5+7N30IGkOLB8MsHxI +w2FZ7tNUsFwxeyHt40pNOKTNUpYRLJ/UFFhuTydCZFj25W/OegFihQ3xtSM3 +GLsufNub7rVo7TqQ8Uo0jOG18vX2N+NV6NaR2eLO3cCRbHN67dRvv9Bb0OnN +O+tA9v0VO1kZ+VPJa1HRErL6HQ8BHWurRUVbLdSvmU+B/VG/9rgi5TaWkvu9 +71dXd//ZduWF5bU9LI9XsPwMFP48BdfwCTCkPSZAM/ZoOLFZXcuKpmb1ER7j +LRodSxUwF+2VXFXCmuEG+pwtQ+JlnPULjcquEaMbs2PQmjhnWQ6T1FuxFgxs +xaADIzErh2yfZeV9Vworx7FtbsNgk3p1s/JNbBeqaaxst6FWPSvvqS5+alpP +ZmXXkh83YHhWvpM2YJh6uCJWvlrO9h0RX3pixWhWc/IpdYKyveGawR0rO50j +VZ58NXcsowYM61he7hswpGzfA3kNGLmsvFCx8gLDyhOaxcrPMlZ+tJCV3+0R +KuEKWfnFAlaeUsDKs8qz8kzGypMFVg6VcIGVH4DrT2enAyu72emQ6evq5/Qi +m7L6sa647jAo0gtkCs3KP2OsvB1yu2FW3gPJEGVtysORTRmz8q+oTRkNivRj +SY/exoLhWBkPiqRYOY5Duy2m9HvcPTAokmJlYYl0ynNwMiVh+XUA5SmNAuUs +69NEVv6g2ax8GGdlPi5SDytX8RFVuKXXRVpxVk6j8k/Ee8rFhzN/GbOyd2Ac +y1qGpDm9w9NtcMDJFQ3KWRU7lO1ynvpPcyXVk+1mSNVbL0L3WxvvSma+C1R/ +3Nqca7YLDV0W7cGNTBl5rHsrtO+FIaq3o4vqfQJRvVZQZfGJi+kFTP4IY7IC +41aAyd5rUdGfg6wtUPJ75sNghJS+S2t7WFomuNzGoHGrY04++eSP1C/MUT/b +n3LKKVXzy20nAkVfrv7nZ+rnf9TPePWHbqV+slZJ0u7hSfv52d2M5jAedAdK +3Gt44n40Iu6OEXFLUjXI1BV9iNWnuEztBidubuuIe+noHDal7TWTtE2tHGux +gGCPGt0r2QxaQLdEnXO4SUMqYsakvatA2u6TsF+LkvagOXR11ZF2b+LWw9tT +TlZBHXMm1VJM2nSDqgxpB+feoJVC2rNq26vPxg7ms2G75nJJW38znpBGrDFp +u4TLB5a0nY3Dfy9QFtx/L76QSft6+q2wpP2dYHpOb5REpmf3kfgjtXHkkjZS +UDRp4y8C/xJwx7No4zhAkfi39vXQzZSwbOCNeM1vD2p6HsO3rN3pzema8/sk +jLRfQaQ9sSGk/Xhp0l7qypcF0v6HIm1t4/jxeSDtlzBpr+9Je/kkIO2pJUh7 +btNI25QvL3Edc5S0fcdcAWnj6T5jdob1qXPQdN/pKBCoT/AIv0kCxcv6MXA+ +DgQGszN2yeHiZU7a2r6xPzM72345uXhZNDtHxcvc7HwOKl7GZme8fHq1+glm +5/7T49XTga6z/m0+XI1J+8Fi0p5iO+Zk0p5YQNrTK/pmZrsZ0B7Yoop0HmW7 ++vrPkwOojrIPayZlHy00aCjMrpuyuzSHstWfQ13WbG3ic/aEnUfZwlTfZcHl +bE3OXUgGEDqWoSYj1MhdP9i7mxFifwtTI+2wVUPQob9CMnQ14PWuugljjFmh +dnh9jzFs2KSfEZ5dTdwDhrGxS+Pj4NKoGK6u+nfIYNGoegOfhuuK/gZUdPKl +or8E6vc+s60M161tQ8YOipb/pX5hiPqf5yq4XqH+8drqp2J+d7tfq1/S/2CY ++p9bq1/uon4eVD/L1c9aJ510UjXJ2Wt5zn5RcfYLEK9+Dg2i5vH2I4G3K1ri +1hu2uvG+hJu6TM0dDyByR4hkob7QpLptagWXc0jK9mlJF8jaRlI51ocM+fgJ +tUzHoyfbscRLGDxZ2ZxNWurq4Ow+hLMvFtLjvJAUN/WX5Wz+wWgGZ8NHowxn +46/GDmjsROTspxeFr8YT8Vz2fv6rscwmye/P5+xDUpztvxiKs2+I+5aKOPsI +oZFUms3O63V2rM3t0hFnnyg21mXZP2XUHhqhNuVsFy4cLNilU5y9MwsXpjjb +tNUttW11pTl7puLs6S3E2aMUZ9+hGBta6nrepDj72tqXxyvOvltx9jMSZ28I +nL1B7dsJrq2u+Zy9CDh7Xi5ntyecHYo3OkCocA0ToLk3NZHtQ4Vh++9CkEHw +wMnp823l/i/BhEd7nDeFR8Sfw6ktsEnPS9mkD0a7UcPUOZV7nHk2G59Q+iD4 +e9rjPItu/4WBExYqjPLYCc5+i21DEc5mj4BvPvpvy9nkHZBzdskF1f+FnN1O +5uzQTlcxBc5r074NCbPVvb1s/xXMHf2jr9XHKULviq5ixLYtdOrXnCM6FNC1 +o+VzITXoOjUqmq8rZr+6CuY98yOo16ZobgddqYFK5jIdFfxI47Um64fB5feI +0a81X1eNxeNxq11nVryu2qZS01b6XmYZu40JmevmUqe77LllZP1ovfGpp56a +tZmv/slFwNT6/z2r/vnd6p+3tRzd7oQTTvhv9UsH6T/EsHUb/S9vhw3V7drU +XlFE/bIfiLL38znQKsaL3pG06dr5R+6DkqOmojXv9qAydmeC1dg0cqFpFo07 +7yQJm2J1MIsc54errHw9whur8dQ27rbbpkZ3BHkJNN4QdEi9Zwmk5sHy1QWp +cSFTGaS+q4lI/VQxUk8qh9T9FFL3A6S2L56zSiC1/UDs5RKIpMkUI/X7BUgd +Pg6HiBuCGKmFp07zYfiOJRDRh4FNpZSY2NZmjsJqO3FlO6bqc49hXhFhLSUy +VXv5BBKI6idpquYJRITUPoHoO+1YAbSWr00BNENq02nXWKSep5B6vkLqBRFS +oy67JFJvTZB6hUJqO5OCkPo1jNTrAlKv02SkXgBIPRuQ+h0yj9K+NFLf45OH +bDNwYZjT9tMocJbPQtMop0I3/4lwekf45OEm5rXw6Kj0WZrSVqeVlD5jgwg2 +U8sGkSIztSt9tufzd2CmRv66WezVb1bozCfJQ0DqAezFL+rpeIt12b3l5rTl +wHasQnCkfokaqtsjqp6coups9cHqT4ux2jeCugXWfxesPlvE6jVysZpJ1+pf +o63U7UnqEEnXgan3tVMoP6r/ODRtCJ11VSxbBwc1Shneusdyi9TtsWLtkTpU +1AXF2iH1WI7UpIDDIfUnGKlNpNBtm1hHyAfGD+Kpur87+46qOxnTtP0EWGXF +LGbBapb+HIxXVP3sNktM1SnQddbO87FXs7VN5LcIr+8/+eSTH1W/Zu0gbbZU +/3B/9bs3O+mkk15Q//hj9dP5t7/9bVrFDsz92uy1zPaULkJy7P2iZ+8Onr0l +ZbsUe4uydqcke0sWEidpc+7m9hG3+n3BAsk6sqbvzot788KmdxxoDO0fdMP7 +5565Q7FpEXPvlmTuIZ65D0LMfWgLMvcfGsTcI3OYm00SlmbuJ1l0fWUz9wLE +3Iti5jYDtKzpSWLuMZ+QecJDRqEvh58nRFEcw9zLI3N2iOLA+iz6ahzuvhoX +FJizzybM7Vwjhf7sX+PPRFBePHNLPXnuk8DM2ZceGKRsx9wpc7ZuWTLmbMTc +Y3b2092+9ePB7W0dEmn9gKTKM2AZodOEMnNProu5nzfMPUcx99weTyrepsyt +2z4WQttHGeZeoZj7Pwxz94yZ+2XO3OsBc6/bMOaeITD3hCXtUTdee3P+nzJy +Swdo+1gDBRhl5rYBRsvcf4azHJmyobI0DK2sDzJHqhcvmLL9FOFce1bdRnex +KdtJ2MOTJ9Qxt9SLh7ujQ/bbnk5jFZmFhla8VSRsVPna/ek3hjlCz9zCy1/E +3OpkvqFO5hucuZFMITL3q2nmjq7m/xnmTqkWTWXuVryXv6KBO+scZV2kZjx8 +U0HLqOjDmv2cEHfUGi0MEOJtFe8U2SfkFn23x96kAw+ce1DsQXHbukQUZ99e +Vr7emcvXHXGFR0gnonVt1nBnTddV/1D5mBGtNWRX4dHS/GQVI113VJj9HsVs +mN5ymK0fNXVUZ68t+0VitsXp+9Q/eRYxsxOzLW231p6R99QvjVY/+g/dSFF3 +jjF7mkHtjgq1J85Zy/Tyv6buqYTcL5RA7scTyK07Ru4vQG7eLyK5SJzUTR0k +XUg+8lJWwHeBkIvEVdW4Q+TXCrGdSzv0h6yD8pC9SBZSdo3E7uxhQg6Sjm9h +1N5/JaL27xFqn9UM1MZ7A2VQO2UxXOWoXdGsrRB0t2cTpP04+mYY0l6aE4MM +/XqWtD8pIG08BJ5H2t+nSVsaA9cTtoq0Tzi7eAxco7b7OriZQ9oFJXTsHSuY +szlmH4LuP9x97wzkmO2d2V8bx1/eCrjVQcphNi7X89uGm81TmG3L9TRmTymF +2S/mYHZeqd6dCrND9vG9njfWlm12Te0rjNnjy2P23xRm+74QhdmfNxqzFzvM +7sB6QvIx2+8ZLoz3DIMjO9RPnwIKxwlI2bCqxqZwYiWnyEDU8s9lbckpcghy +imDMxluGx2unSJZdScauXKeeI+149PtMVuBPG6gdaW8nkTa5mkWkfQ+QNrqY +EWmzixmR9itA2hMKMbsvXEwXgfzfg9k//Ptg9vkYszvz7ukIs7kfGxDbJh67 +8cRj8Ikgwh6Joo7GtwcPjbeiauk7TLW0bgJpZ9VspGjjsryxu9ixbkvXnXzL +k0zXH0v90V7BfrS/zzSCgr2WuvLv2VAjPGRKKraE1y/8wo4S7J3EbG3R1uFG +zdN/Vv/TWbQRZr+vTSInnHCC/mmt/7VpzK55zJ48Z02zF6uz5RMX2xsr43YH +491umsIdqrA5brOhxchZEhm2FwVXCe68jpVtitpnI9SO3CTzg5vkBO8m6Z6r +anPMPoqYswewLHxI6YTvgpNf+DRBS2E2fwb9A6nkK8TsGWUw+xbA7Lzn0KIk +z6pStJ2qvcB8OJrC2Qfij8a4j3I4O28dcXnig/GdsFsQ5sQ9ZyfmxJGTxCja +TVoUX1G8KH4kc5Lg7wBG7f2oOdvW830bll/2DKgthSDvywtB9mPVfCnURj3W +TUPtp3NRe7FBbVTJl4faT/cKdSNllhEbjNpvqx/fX704oPYLcPJD+NGitlZQ +Qvgxng6/DiYJfPhR/Zy3ICy9/NYtvXh1ozvrrt4MUHsrZNTrhxSMHcNDYYTa +WM1O1fFR1E6bskN3NVUo3JjsOYyz+dm8Ijqb1njHpsPfTk2Hh8w45eyHgbMT +J3PKc8DZL3LOzrIjVhJqL64ftd1eVi5qf5FAbXw5hRUA4T2wCLW9UpEobWIn +NJe112kqa8vd1SH8aJv41k6xtixn7/MD7hUhUjaAtlk5VP9hXN4RONtidnuP +2aMRZrMWERCxW2HDiKuh/gQHHAe5GuoqrqAG0l7Dr7S4CmpH2U8Ryn5XT+Gq +f406+erP82zwiqhfU+e/ou+/+mtx75p7bxGhNqoa+VD9wmzF1IN+9atftQ6I +rf849/NCPmKv6xF7qkLsqRB48ai9pH3ttSX1ozao2jr2afKQsbIdV5JwIwlv +AHSqNm/LljpIcCaSG0gcZp8JwRsJs8PgYjeWg9zQG7ZDsx/tGnGTMdQ4YhGb +zMXMxe3X7puwbwsjtlvMDa+eMWL/SUbsGdIqWGo5tzmI/WgLIfY7ImJvjxB7 +Z/Wx2OU5ahwpROyH8xD7w2LEBjn7MGbWJh8Kb9b+Npi1r+BPn3bswCA2a/XL +MWs3odVvReTU9ttfwyPXiMZ414BNEJs0YDuz9g/ErO0QO2nWRj0jDyDEJmZt +93QoTMW8AsOKEmJPVYj9hkLsNxuC2GPLIfZojNg9SaPfD9Do5xF74spB7Nfg +7MeIDf0i6seZtO8CxHaD4yMBsd2YojFpqx+Xe/wDNF6fBjVPNiOzjmm8PoY1 +Xh+FTNpHRibtMDbuFIshomFkaGTStoYR2+K3R7SyhcfGNWLL6oQrQd0GlaDq +sxkKUEMtUxhTDNmWAcLjHxkcn4YR+z4BsR8tQOwXJMTO4eu3cvl6h9J8vSjL +draJcVC0I8R2qfH7l8mIje12BLGloS2O2ImhLYfYbGyrgYidr2e3jxn7xOig +aqf2CN0IwhlbcmknPCN6E+ZADd3IoY0AO6QepVYRA9h7xV6R2/dYzvcR7zKd +faNNoYgXsWHtBVTs1pJHxC+F/xX6WB8yjJ3TH+LY2vWHZN08Wxv12qUbNVf3 +BvV6m8WgYC8Cy2CwDb708wVmA2Gwxey65mI0NE9T0PwmJMVjeG5nrCFpJ3b7 +hE69hqhTS31+3BLCJ2fQRCOxg8Tua6pP81kZDs5uf5HYQBbwWmzuuEbzMVEd +NraAhCrsyG09d7dauga7JaD5NBGa+/DLPxtNxUCzVLx3UAaab2MPmUUxnUZB +8ySAZpzTecv3ufYjfa6zfA12fdC8hEKzLufzpSEfROMHHpr9+Q/x96Fw/tPQ +/HVJaC4u6DueFvRpoE3ZQNy1d9r075kNhLRhs6lF0QZymOy2JtC8zw9eH6Fu +a1v6hN3WYTYGEo4Omk2gnCYcbWmIsK8orJHXA82zFDTPzoVmm2zE0Iw3FZf2 +vElB8w0Kmq9W0Hx0gGZwW68saJ6roHlWaWi2J/1Rl2xk0OwWyN2m4jVwjn31 +9UJ7ft1MjK++9o47Dc0boOprW8inNYkjo0I+5LIW9hSHoKD4Adj+MedwOKGy +yzp0mtJCPno66Z4i1RouDNA841LylIerr93JHCA85cXQHE7moGkPJKD5CTiZ +T5eB5iwbkeDmaSI3DwBu3r4+bhah2eZT3NV8PweaP/53hGYjPZz+m+h5Lxea +T5CgeS2iRAjr47WLXX6cQXPsANlXM7hj5pT7w7fw7encH3QsUcPyHSHHmLkR +mNFoSByVWrsBcTv40g4PvkSmD2un1r9xkcVlchFIX1wEspZB5fGoCMSh8vMF +qOxEk31iZboMMb+tiFl3K02DKVq3sBWRM5xRyVD9fIKcyzRh81o+SXKmzo5O +ZNUcU/PVCVcHH5ZJOjoWYKnZGae7+5VyZ5w+BjpWh3upWaraG4CIecckMR/C +hmMsMcsrBzExH9ssYt6GaCXhOTKsHlwirB6EnqiVRsz8WXKyDdo0gph31MT8 +wrw4n/g01FkzC2CamONy1oPxKrmrtGbE7CcQXDGrqbRenqi0/j5Rtbciqtrj +y+To5ucRs1ebk26O+M4T4/TRSBBhrdaXMON0VLXnDjcc7Vt9PvFv6jx/pQ6z +M07Hq+SemAcwYt5WXiR/BYh5gkjMb5cg5vGMmB9JE3NPu0KOc4mWmK8KxPxU +L2qcfqGHNU47YsZ11pqYJ9dPzO8miNnW67UN9Xpw6l+C4lXbBWJPue8CWYwN +03SFnBumL4LzGwzTXa2LY4Gr1lsLhIkNqWF6fnqBnLaW5lfr2RM6TJ1P1wPC +DdMnyKdzNt+l5a9zWGjgFdaXJYj5ekTM2MVhT+ZAPKw4bWyCmB8SiPmpGh+M +GYTr9YCYB2BingTEPJUR8zstQsz7ImLeHxFz5H9rBDGT2a3VhJi3KyRmb+MQ +3u5KSsyyh2NfJDEPRhIzweVvkFk6FFa7UYGwB4NjiLt8kbXBM4mOlLW6bGm5 +vaflB51F2pGyex709ug2dhnRFnyAacMkD03w0FXmuTCMtUU7w8Zi5NfoECj5 +Zws9Jb+y5XxDytrFt89P+zaFlqfP6WryJW/DyXTUPLVOapaaP/hiY+yJjrdj +gh+6Y2TS4MR8YxQ77EKI+TKBmM9diOOG1Jxho4ahpPo4v/nlsi9YY97cZ2Di +Fr3t2DrBjkKbR4qW05tglJZH1EXLcRQd0fIsZt4TlwukPV33utiCtDzlWYGW +X1mltLwvomXS5nHfshxa/iSXlof6u4/HdVkxdZkWvQsLvc+alt3Jb3KLXljQ +Nd6MqOiJt+gdmmjRQ7FyLXeMTNDyqF1l73OYJbcxQ1NS6mnZxgzpzOIiKKUu +ouVpipbfKEnLjyVoGVo8EC2/51o8eo60tHzc0bW/37V17T9TtAwNet+y8RdD +y1PqpOWF7WuLFS2b0ZcCWn4V0fIziJYfBqHjvsVSvDBMK14NJ/gSoOXzFmLP +M6flbsb7dgxqzRsuTJBzz7NdrXWnVGrwYK156HzisZfd/en8FTVkzMZxkd8m +ZxXzW/MKaPltTsvc8zzOe54tLUMaG7KFMS2Pl2nZ9PcjWn79/xAtf1eelhOv +cw2h5f6laPk8wfRclpYlx7OJFpIK6u9R0sS6nW9AxR2GlJEZw5HyqN0hTrgb +lONZUVlxrvZhVJGoDDPithGvjZgjpJJyWy8pP7HdMj8b/mRf2itNqzm8qxlB +ckdz3q2UbCFZPyXq/QEHyXqHQJ/9fZsGyzMVLM+EF7npAjSnpGbe3YFl5tQI +jFRTzQ0a3Nk8OgwtMlezBuZOZO3lOmTM4B0dLjR4Plp3kUwZLjAY5OVQPW2v ++UboovOwYB9iyMAuZhIUnCfB8n4NhOVw8UPKJaTJGwfLI5kVj8PyXUEnyYNl +0EkGkZfFBsAynH4XFEzDsnT62YKLS5cjWI6r75YVFnK4XfKhd9BdchmWv0aw +/G0pWJa2yY9LwzKXlx0su2svjyaybEtqNNE9G2JY5tvkHJaxizmncpoHBfEu ++eOQI/GdHL3dI10eLM8GWJ7RDFimNdMUlsM6onYvv9/zegXLVypYPkqGZRwU +hKVEvOBCYPlNgOW364BlBcopWA5dHO1RF8caYYcclgTGqvN7N1tHHOkrpoN7 +2a228Ipp617uBuO0aIN8Xqi7i40YO6C6O1lWPoi8zoXFFmfE2Jv42E4oXEZ0 +G+TbRnV351Ajxqw/s7o7VzF9jfrh+gKMyr6Nqov8OiIPCKpzqd3L0x4UYPlx +dSaFZn4My5PzYVldzP6vF8ByqYuJJIZGwjK6mofekYJlt0nOq4wSj3IaltXl +PALyH9rKxvMfDYflnQMs/7LpsFxYxUG7pYNzebCTlS0oXw/9GzeQ/o2va7eo +i+tdy6zdLvRuWPfFGN8g7UHZzYkzt3Lr0LcRCcrtwg4LEZRdW/R7FX3G9dRh +aLFT/1Id/6vimg31a+q8Z528rux2BTgu6+iKKWNSxx+wuW5unqO4Wcc/ZkFX +vys1wvz8Rkp0BsFZ5md5RJHPvHCbBjc3jxEEZ8rOnetm59jQLAnN2MzcHc1x +yQnAMH+LuXkAMzI7bg4TXYewmRaZm4fVwc1OKTmlLm7u3RBuvhW4WUiJv0Xf +F8nWQEty8+tF3Dwnh5tRVJyk/5air4DMzQdBK9MQKf3nheYQFR/Ka6NHYrkE +2LmgNjpaQJSFZsPNRSOIzSjZcLaMgrFxXxsdLY3DJReNzLuWXBnHBRu9Q5cd +4WaojH79J4qb9UxLr1kN5OZxiJvvZNx8g+HmDxQ3/w1z89M90wUbmptfy+Hm +aSW5GS2La24O8yxtocMuzc0h9Re4ebRfO9QSRic/zXIlvPHRmug1SU30SRCy +jhbF0dKhfrc7gtgxwizLoYX9daxUI3KzHR+VauyESzXQonhfvnToa6LPQzXR +rrzuslATPf1qw83ewIxO5gBUXjfIcPMouio+bRzlZvwmB8UalpufFLj5ecvN +k9lIrObmu1uEm3cv4mYYtyJPcw3h5q9KmNmEaqKVx832fIL04MH5xL/zgZZ8 +cBY2xS8W/BiXHUDD1RacAzTbeug86zKCZmF+JRTVfQFV0AiaIVtiofljy8wd +sbbsM9rmUjsDRv/YgGHmCl0t3dLQ+tx7iUXmVr6VDh4PK5qVFYS/jFwYnpY3 +d7Q8t6JxWUGxvvr7bb5tHdDc0UPzvNldzPXUm7EOnp3wHOC5XUUrz+pjKwYE +BXZ+KYed88wa3t68mBo1sO5MA4GosI6ti+splivQ/OHFiQYNZ9DwejPMroTm +jG5o6rAnHPefwO6WCwBK5gyJmfGsrXtR3CeHmd3RPzyXme3hp4JJWWYOokke +M19ZwMy3sNg3YuZp8Suj3wpYzZl5T7ExY6n9ArgYi8jMeGoFrYaTeUP4Ajhm +hmK6Yfj6s3qlYSbG8kOsN7snxotK6c3lnRnh4mvW5nqzOfhliumGogOPrcz7 +2eVabmW+Sf3cYvTmmJnHoPAftzI/OjAshuNSuoiZt6TM7KcNG8LMrvf5bvVz +F2LmYGGOmPnJXrX/VMys9WbMzD++ZK3Mvv95QuOYeU4JZg5NGTj017F2z2J9 +km1G21mYb1A/f/HTKlLn85q+89mtgx9vdOZ1aREdmTLsg0wZcUtG2pQRSw74 +dAap4QTQmfGUIe3wxLMq2pSxNViYUzJDXyii6yeug4fQH2XmO4GZ0dPctNRC +eLAwU2Z2OenngJlfRMz8KjDzxKYCc5YNaWFm/rCAmT9rUWbmlZ6mb6gBzPx7 +rTX/wQT/cHyaIHOoHsoq+o5mncs00cWmjANWVPRZzTYIs+DevRz8GNdD+yeX +mUP1HJaYv4xp2VmWdSfGvTt9lnWAPgyYvdqB7aWENuco22fnCPu9r1gXjBje +T+dIebwtcK54F0bW2nvsXvq5S6YsVH8eRckK0F8FOcSqynPtktam9szrZ8VJ +m8w2535/C8zleNkOpXRSvLxA8fICdTn1BZ3HuHlmzM1JpzN2OTtwfomJzpLL +mRs2eBWd2xPHW+Kuz+iORbRFAzubr2Vis+hqRpfcDai4DOCv/ViWjXLb1gxX +MdorGDTmYYPGNr7J+UgEzKFmDu2BryRgHpQEZnlUy1nytmWWvEJgfvsW8tIo +A/M9qw6YJ+ovgALm12YxX54B5oq+/9luxsxcmpfJ9V+Grv8HObz8KfDyZ8SW +N5QUOf9Nbpm7xvEys+Whlrm6eJmJzL6CtGaQOZomPDlY8M7W155eejpNeAT1 +ZlzMvRkgglyF58BRW3+ekVmfatu871jZGZkRK/erj5Untygru7jfjepnpGLl +vyhWvoKxcq/Ayroo43kX+9uQFTg3gpXbmisvsXIoyLCs/BTIGnz6+2548qOl +zdrA3NmXNl8Ipc1no2e8UxcET8Zx3vLWU11SaJTz0kNvJDs4i1u6HCMkpg9m +nozDa4MLJgidtrwjKuOUJggtK4dyDGNgnplT2oxZ+R0h84E9GdzGlnqOI6zM +pr9Nn1BZVp4CrPwmZ+UsO6wh+nLsZkux8geIlT9aaaysL2Y0M1VHN2dJVs4s +LFcRLGsoVqictbL2tnBCLStvWejJwE63vKifMy/TqmbBuEw7mlF9HDEtO1C2 +xXHtUHEcBuWPDCirg2z74roQRTl2YLzvIVlYOvEWDBdE0X5lbcFYqP6X593K +RlCeX9GkrHjZmZUdJ0/cdA6w8mw49eqn16za/ps1iZcXze5aW6Qu5kLFyo6b +58JFzdGbSRvdFCY2p1ZT6hGb3RY4NjiPE4TmepnZi8wLZZHZVfGf6ldQ1kSm +Zt4yx6uZ44Y5WsuM0n/z9hB5OVZIms7L2yd4eVuoR6Kvi9iV574AlzJepi+M +Mi/fnuDlcTm8zMZoW5CX++fxcs2PnrgYi8zLUvhvWe0AkZeltSz+BRAq/Efq +kcE4xjKsIMbCr/8I7ssoOTJYmP5zwJxnyuDtcsiUcTlpl/vRlx2RdjlmZh6d +HBgMyb9HWbPceNQs52IhfFyw6cD8BADzwwDMbrvbNcqNQo1yN4vA/I9RW9f+ ++eRGspl5JQCzbZRraxvlGDCPTwDzOABmZ2IOFcydxQrms/Bm94I1/ZjgcT5Q +3Qve636aGBMM3RiH1duNYYRlPCY4AnVjnOizHwqYtVmB+jHOAGb+vb+Yvd3I +Ce7h9GOCcQuz7eCkLczyBKtkYePXkjOzm+5uGjP3Z8zcL9KXZzYZmPcWgVnI +SsPiyRAtMI/7sCQwy4snFJjL5aVTe1FNBWax0D4OTlte3s72czLJIeq2x8Bc +Ou0XlGV8WcOuCZrnNlf26xiWmXHZmZZ9wi8smNgrrD0YCpWztuoYfxj7L0hV +XAec7RPMFzTXl60LivJC5FOGWN+W843B7tUt5gWf8uaKkjcLpDxpkzmElLX5 +bkrPmbUDNqvHkjEjIPOsNc0t1di8SOHyQiQ3Y3SeydC5qI5ugrCCIsnNuMDZ +1dBRj4a909zb7Eqbk/4Mjc2L3Jhg56ioGXsz8Fa3ywKeNp/LzE4UWQ91IKHS +jPlhzQT7MmJk3iWJzHGLaNOReYcImc+IDHlbu0bRsshsTHmhVq4/emCMkXlU +ncj8GEPmp1sUmQchZN7pBShkRoUZipnV/3kralYcbbzMT/DCDD57tSx/9sp9 +CHK8zIfdkpi9uk6oF72CfQRcxVyOzpz7ASh5/KWsd+TAE4TmPzNTxuXqyl+x +PzIyY1PG3jlG5l1kI3PMzDDK7Zs6WRuzdrc1iZmfU8z8jGLmp4CZdQvzw2i6 +xHbK2RHuEPxb6oN/mpmvU8x8ee1vxx5pmfkJYGY3Y4KZ+aWYmXW3nGHmqY1n +5tCSETOzG+EeC/fXGZhvglWpa2G2xBuY1c+f2AC3a8g4njzZWTPG0WQV0DZk +HC40ZNDbmT9ZMnjOEepeBgOza8gIkyXsSY40ZPwuy+7wJ7MPK8noQ4YB/xw0 +5pnSnqowwE2YGfcKjUFjT2wcEJh5EFYYCpn5FcLM/dG57M/OZV/DzDMaxsyD +PTPjc/lexMwHlWVmGH4isemyzEye5X4sz8zq58RzGsrMIDL399B8bhE0l0z9 +WYX5x6Awo8QfMS5LGyUImEft+qU/t2N8Cb4zLX8aAzO0YTy0vdkiGfRh1tq8 ++Rlijta0qzjdl7l4H6qLM9ry4myDglJlh8varBxMGBO5CQPjck+Ly/rU62Kk +AzbrU15ornpqXjJzLfWXuGRRu2yapefFVHQuS888Iej2AzE9S5V04yPReY3I +qPEgDE3hGrpg0ogFZ1/YjARnXtZ8sWjQiJOAJ5MtwDC3TcwZhpqDmzme2Y4T +gPby71k4Y1KOmnmEhVPzb4Caf1dIzdsCNfctRc03sK4kFmXxzaI0yhJ9BiJq +RlGWBlFzX4Ga/fafTM0WmnfMhWZsZj7ggWXFcRYJmv1zI4bmvyXWTIq3Yo/k +IUBnzkDgLInN6vqrU6Dnq2rmC8Cvf17VHJkyOTpuzsASCW/OwE7mMLFtrzpx +Mu/GnMwOmp2T2bVmqAudC80/oxMm2trW0tCMU3/Lel6roPmyCJr/E89rPxfS +f9+97Crm9ITJulDIvE7tqxLQ/J6C5qUMmufBKZ9RApqfBN8cntMeA2f3DlfC +DNDMS5hprZz1u528wLV3ru1dGWHnj7sy3DuddDrLJv5kV0byXM5OuTLQZMls +YbIEnUq5t543cTqBwTVxjhaImZ9K3cT5CCNmlvpTxDyQtWXExAy7JXgiNRBz +ll2xUqE55WQ+5G7XM/RpfdAcedlWO2guTv0lLMwXszOaSvwFlfl7qjKX8i5/ +hRVm6JDrxKoxfMIvADMsSVnTsjzcp85yZrN97ai83BuyfVZe9q1x1oRRxesj +mXVgtLOg7PovIlCeU9GknLXysvIUy8kVdeLfUX/GAy0u21hfncz87oxu6q9C +nVQLzrUEOLf14DyLgfPb6tJycObD29yt4STn5wTJ+QkmORN3c9Td3InU0OVB +s+Rqjh0aXX0M8DSyA9gNpJHuKALoajN+ikpEgzsDF4kezuJ/h6LnRSqXuJ6k +4MlbHYCZe/NkYL6N5liiKv5VA8x9c4B5hwQwpyozdJhlXzyYTYi53jAL72ZG +740pYpZqMy6jxBzVZpyX/9aI7Bk63leYAhSuf71rJrFDY0Wybs68Hep3Q21p +BnPdGL79h5dMBtD43zOoMkPHq3VeJB+a30lA88s50Bz3MVtoxlUZuov5BuPM +0ND8oYLm5Rqa77TQ/E+wNP/jGTaYTSoz1jOVGY2EZlsx19b2MSNo1pOuzyBo +xgPZuIvZxv54F3NXo0foCnxSL6etzAtsg+expCaDOjPCaglVmakzgzcNcWfG +4SjydzRSmY8jw9gh8kdtGdjI1ttDs7ZlnCuPPc0sm5KmVmaxkTO19eehOWce +dUo8jwrrJQDNkyJo7mfPZZbttUqU5mgtlUDzJ6sSmiv6ZGb7WplhJTDz+ais +U2RmabWEVctdBeWdXmTG+1CojChpYQZXhlglx/3LtqyoYtauqwIur4Fw+b3I +t4zCfca1bK0YrbgVo6IvdrYOWSFxtByyfXMdLXtp2eCyqQ91FaKuc1//vOW4 +uV6Zeen0tdVf6dIFHQw3q2+mXobSt9U6NtpE6MwDgqGVrh0xOhO3BpicXTiQ +NmpQvTkEA6lTA7fQhQbnTh6b+az2TXDLr18UN2jkOTT+AKIIb86wbXPd/ELV +CNLS7GqRfuFfFrEb73A0l43Xqg5dScjcvy5k5t8AZ83LQ+Zbm4jMD68eyCxN +mSSQeR+MzJBpOUCy6JXItARkdq0ZXzKLntA0J2VaHDK7FKAwY1Voz7PIfIr6 +AJyqPgCnQZzFfQBcXRI2aJypjv9ZJwqzVcMFg8Zh9FXxMjZpcpWAzDdhZC5r +akbI/GQ/2jKnkfnFXGSeWYDMLzBkxhPZtF1Oz2NbZA7pv/fBzLys5zUKmS9V +yHxE7T8UMv/X44DMT7kUoEVmM2citMwtn7SeH/774s3uCpnXbhgyh3a59tCU +0cG3y+l5bDxf4hqZb1jIzcwwjb0wtGR4M/MCd0rXAZMbGDPmbalOJzZmbGeM +GcNwSwZspmpjhn2a2y9hzBgGyHykMWbsxaZLeBszOZegMW+HioVcs5wrFuot +5KRJSwabLqG5j5vTJra3eE7aITPOST+SyEnjfVSOzK8BMr9OkHk7jsydmoLM +Ch/UvVSgQ/rsn1hc0cyc9bYHc2UQc3Qwm03MmUXmwTEyl42BlEFm1m0vzpcc +Fr/URRLzvjBbsg+NiOBcNS+Tw6N+YpGc0LyM5OWK5uWsC67EoOVxUJMfdq6X +WlwWWpbjiN8G0bY1HiPx+b6fJGwYvWZ6G4Zl5Xc8K09TB35azzebyszvvaOZ ++b35a2StNTmr22p/lixqb3Tnir606ltqlee2Bp+5XSPOCcrdGlJG8CWiOrf3 ++UC8HIhLnAk6oz4Njs5uJZBnAiVzs27cPz9PbSZZwLXg3rssoN7OdtiM7Rmp +YRMsl0gtSY3G5tNrA+bQtiQ3+Lq138kO0sm2M3lbknPnsUSLaUyqF5uF0dfV +AZtzR00sNu+lsDkvCChhMwkCjuXDJvZLMJR/CQg2y+MmtmwO1fRflu/POCax +nV0YBrQRcI3SuSXNXDMZIecBiUWDLwEyi0bQRQSLRpGvWcLmPlbM8FlAtJfd +HGymO9l52ByGTLSfeVnPqwM236Gw+TGFzU8EbP7Hs2gFsACbvwRs/iyFzfMV +Ni+g2DwXzvZ0cNf5gjnAZluaYbFZ1xq5DOB9gM2jobboNlAncCGzLZfr6svl +/hiVy3VDfuaePhfiRky4NYO42kqVMaP831w5/7cbzf8FlXkODv/huPSZoDCg +ERMW/us7k46YpMrl6KOcc7HhQmYaAJRW/yg2S9mPerFZn8q3ETPPdBVDWdau +CJubRc3LiqlZfJlLFdrzSRN2K5tEzUPK3csWoOaLeFOnQM3muW5/PPT3ox/6 +c6VyuIKZi8yemH2hHCfmTxkxf+Jb5Jwh45E476cPcEVf4KxDoGW2c218GFrO +sMRsYbmdd9DRiWtvxbDlymthJ4Zl5Y1Cwk9i5TeBlaepy/5Wj6m1Azft3RRm +fv/t7ur/X7w/r6P6K9VhEX1cAzsrbl7Y3knPFQ3O6vtpVee2pQwbbyYMG9Lw +Ns4H8lqNGJtDpYbkbs7H5i6+bP8SuO+x2owqNJCr+XiDza6j2W0Cbgovi1tG +dXNOLhFzgKsImfukkHkmDbTIyKy/AXnIfEcCmbl0Ui8yv7BKkDk8N1JkToXB +DygQTyK1ORrPlvrm4mWrYeKyFf0MSA39ktpcxydA43McBxQMGiPQgHaeQeNA +umviDRqDsUHDIfNygsxj9EUXkDlsAVpXs1ifARkSh8xuNDsg81uFyGx75h5X +uIyROfTMaWR2Q9lLkZv5/Z7XAjJfEiPzk2jTBEUBDTK/kkbmLwqR2aogGpkX +LMHIbNUN3zO3JF2bYSKAi61CoZs+g5s59DG7jrmL4JyevYDv/mk389pwQl0o +ZFP0ULc162Km+yVDS3cxW5WZ75fkxv/mkPgfURe2IY9ycfavL2T/+jF1QT6V +OT62t4RHOWH7Lz6VJZF5UhEyT+fIrHjZn8osa22Qead6lOYqZmb1h6pzmZ1t +NYYyzDwaM7P0NNdEZmaF9hIz+zmTshpDSzCztPvHO+aEcWxel3EjMzKnTRm2 +V27sLrkKMzEwPzLwA9u4vA2oy0GveKqfqC5j37Lh5Rd/IYf8hDWS2ImxEXdi +2IBfkpZ7TlXEPEX9TK4d1DRqXvbWOur/J6vratG5tUXn+YDOBp/bQ0pwQjIl +qIvx5UrnwM6S5BxXOXeIejUwN0dVdCwVyPdOIm5e5MzN3KUR0oBnI22EG5ut +1NwdNJINSRLwaDRcRctFnal5RxplWQXMvC1h5rObwcwj4UNQNABLmXl7M2aV +x8xPFjDzyyuLmdX/n1ffgGwD+xXIKVByO4D7CzFALDUPwR3NLgoIHc2H3vZF +RUOzYlLeoRTVNPv6DPTmyGe0hSQgH7U6scRXwH0BUNkoHbI66e9+Q/tP6vqf +m5cEdM2i2J0B74ppXs6rnBMMzSgFqM+2XDcH5/inYTdbn90pDeFlqJnrOQp4 +Gaf/rJF5Wa+rFC9fDLy8Te3/KV7+LzA0/wdOATpefmmDUJ1RNy+vAbzczlQj +pXj5dQhqvwTndzzZyu5oXvbuXezSfx3Nab2ZGJk7g5GZ7mSHvb+14Hx2F+oy +rCvjSO/KcPLy9mEju1S9HJzKOWWTf9DHPPs0k/xLbZds47dLzmW87ORl+TUu +PpO35JxJ0y+XZX3ZpbxfuJSPCpcyD5lftcg8qT5k7g/IjC+l42UT/1CXcld1 +KXfTZmbuZXO8vDfRmM2hdAJD8lCmeRkOJRIYing5R1zAhfYrk5dPNK0ZJ6zI ++qR5mXcy84q5nOCffagLHjdXLXdzZGKOHRmuIcPpy56Vd7TasruywMoVDcvZ +2urgLgusTAqXadnyeFch57eucSGGd2HYiN8GyIQxL2AyVMfpvdbJvQCTezqt +w2LyGwImT0OY/HaPSern9SQut9L/uIOB5A4ekj94c11zVD+YA31ErpPI9hIh +d/Mc6m4GVg5tGoGVpTYNSWOeKGjMuLqZhwH5tjZ2NOPeuVQIELuZnSWDBwCx +k9lZ704FJ/OJvhdpHRbz3sxqyyTqvS1j5B1yGBlXMstF/OUZObwthtg3ZmR3 +/M+mx79uRr5RZmRfLhq/LZqrj98WVzNG3oXoyujye0ZebBk5cjEDI+sdE2fH +sKdfnUR1/BX8Dol6mYMx71Bjx/gy1GU4uYRA8tcxJF/Fu5l/JOE/ycks9czx +03+KdPpFL4YgLGMvBi9ISo6Z5HfMyV4M+0zoLMwSJD9l7nRclYE7mc3hBUie +CpA8rS5Itl3MixQk24oMB8k47Resyx/0urL2kYLkr489vPaft29d+3+PbgwW +5o1q//EUSv15SKb9cstfX492MtcFyW3QeImF5CmQMLFpvw7CMHZHP/LHh0tG +Is0hHsUOnXLhdq6jbuf6yINhb6b2YBxJPBhFHcw5gvIcmvTbsyjpNzuV9DuT +1mPg/k11I7eNUh5XknoMIyjbQrks2wmF/YJ7Oa9TbvtkD3PeqXxefIKTIXkq +QPK0+iD5RRb4yIPk65oAyTkN9iIkMzmB5T0iOeFyGZKPuiAhJzQeknMbMi4o +gORLD2ajJW6wROpfJlVytK3zDnRNuWX5HgTI94W+5QDIzqs8EM+S8GifvbxW +TV4SnBeakMO0tVm2tpm+XqEuTsz0uWZl8F0AIk8t6bsIiPy6uerv9JhYG/KT +bTQmd/BonKcqI2B+Y93ah7O61D6c3cUeWgrOFU/N6qP/LvgyHDinauikSKC0 +FyiNbIcWjQ5RFNA1aDQHmrEhA7dm6PgfFpbPNKpIV+ZhthVzNuK9njr6PdCW +yU9JvZy8Y8KBOVZKmgfMzox3qldLcE9SaOKnwNynaL2KfwiwGa/oKzBtXAEw +u6/AEwVfgZeaBcz9AJgHJIAZfwUiYI5if0ui2J/UzI/75YZIZgwCzHzO6m80 +/83bMnDHHNNLpOnX3AFA/CkAYDa5P/gUnGE9zO5L8Ds8AvgrxMwnxGYMMfo3 +lFnwhD2TIC47//LXSf8yjf0lmjL64KaM0MkcM7O+vG/Bpa2XmUOt3Ltk7M8y +8zLjW3bM/Ofa1yMQMxszRtgy+ft415TRwzZlvILq5TQzT2oKM7eBcWzXxWzP +8RQ4wa/Cu95z0TB2R2PCGAen9U7zXtfZ9zBfg97oLoKhP1wp54b+go9tQ2jH +wCYM3sG8fdTBnG/CiE8lj/olO+tnxyaMPoSZ+SA2ivrNuCzZjhGG/vhoSXGl +3PZvxiXM0anEDRkRML+cA8xTAJjfLAvMeCA7YuZnRWY28sLgREjaz6T6a/kh +dDLzJ7gAzYcI19JY18Q2TtSUcTXvFhKgOdXG2Vxo/rUeyD7579nAQmbWDRkX +8LhfolGOB6axqGxNy7QZA8f83BOd1IqBI35YUJbMymjGD2qWWwde3tbysrq6 +6vfpguUtjGJh1WTnvFiEbMqBlV+VMn0CK3OPsiQnO9fF2yAnO1ae3mOCuerA +zCWtGK08NH/4xnq1j2Z2rWhyVn+oF5zndNa+5rmd1K+B4GySgZaflxTwc1ux +wlnaDeRb21KVBt4KxDUakaFZMGZwdtZuu5ELHTt3iTYBL4Qe0T8xsdnUZqBR +k+N81tu58CC4Mp+bmC03H0Fyf9AwlwiwFHEz/hgUcXN6wQo2shE3b5uzYBW4 +2X0MWCO//xgUcPObbMDkzUfoilXEzc81lJu3S3Azr8vI42bay7w0yrI4CeUg +1jI3hFRmfMK4+XP1f1vqW6DdGNGq1d9Yb9K3pVatyNfgwvQ7Y6JpTv4aSGLz +L1nw79j84J/ber2UB/8g5a1PP3dkGGgucGQYKcQUgurmfHAwC9D8YhKaZ0XQ +bGUJDM3PKmgOK9kamhcQaB4DzuU47LcMwn4f9LpCQfNFFppvU9D8yMbWkfH4 +RrGDGUGzCf0BNC9vEDS/Afd3Ijz0vQi39mnsXIYRqbGoh/lWGIvS5jZXKXcx +rGMHJ0ZXMvZn89LrBifGPNePYUXmIyKReSdwLeM7mVcnN0yokxuBROYTc+vk +UkG/3jjo56uEcPvm5UI/RlgtiaH5DgGax2pozrKDhIHs0CrnuXmqGy/B3Pxc +ATe7Uzm5KdzcDGhOSQwCNBOJgb7J5UCzOp3qUGabW4mhLmi2208tCM2Zpeb+ +kXktlZHm+08XC51yOPiBVeZrvMqszude35HBklslldl1L+8SGju5ZdnZlf86 +6KOwFWWJWf1n08y8NlWYUbYPq8svOP+FfutD+30+2MdDfV3iUB/yXrgiUFwW +h7wX6i9N4XLWXoTlGT1eNQ379UFzFw/NH01ZT13arhqczbXFqnPCrpHTRkf8 +Gm2J7Ey9Gm2j5ZNJaPUEe5pdAx3PAj4OtUcOmx8APSRUZ6S9zNcvlD0a2Md8 +jpebQ2gFZ/9oOTNtmTs68jAPZNpJHjKX10/2mHM8IPMv60RmmMlGo68EmWdc +Cr6MFDLfkEBmQT+ZltJPHl4FyDzTIrOby8auvKLvAMn9SSOwTUDmnFGr4M/g +yIzseWUj4HnZP/c9YF2jha+OJxv52ddlMIvGn1DTnKHmo/Kzf3jRxNXvp6az +uUXjXnXm7zdysz3tNPcXqjI8NW8Vlkx0lMRQ88ZNpeaHURnzOETNeCY7rJdg +av5mxLDaPxU1/3dEzb0oNb+IqjIkan5TUfNbiprfKU/NMxg1T4CT+wK87rm8 +38NAzXi95HawZuiXu+sWdUZzf/Z8BmtGVzOhejIoDbqc077Qgcow76d1Z/2G +CDLzflGjHK7GoFm/dKNcqoCTyczEvhasGf1MPYYbefoLWS7hI0/6TBa1ysnI +/Jg9lVP53l8eMr+mfia0BDJn2X5NoObUZHYONbvER4qa+cNcNPxUJzVf0BLU +3K8h1IyFhst4C/NgSWf+JqkzE2Le+XNf2Hmv05lRyO8h03fviRnqlvsi1zKk ++/p6jdk74owfA034GVpGxGyKPnP05Ul1eTGoVfkd8xNweTrgsj3nLyls3rp8 +6i9Q88dT1q99Mn1Nc20DPXcJ9Dwn8mxkyLQxsVB0npcjOvPF7UkQQTF7gayA +7nkmOD8hCM6GnBfll855dzMzapA9wAVYbA6tGaeQojm++OoaM9KuZkLNYpSl +vldHiZp3BGoeFDn1ylLzJYia814db2Sd/BI102K5UtScVE+aS80zLDXrllFH +zXox+8XyvczeqlcrhGZr1fugNkTYADwEPBqHosKMQ3My4PGnQOiZM9D8Q+7L +I9GaAZzLpP+KJk3O4lpzytjMDBo+w8LSf9e43Ao6+3kFc5Kp2Rg04JQ/Q8rl +KDFP3LQpxPxEgpjvRsSsR7JvQom/a2of9LxKEfPlipgvtMR8qyLmhxUxP7pR +6GV+mpqaI2Ke2DxiDl3M9uxOAmJ+GU4s3vsz0yVwTnEP803IzHylN2bYN7qz +4X0utGNoT9vabLbEhaRdB7MrFRoIHczYx1Yy7ec15uHCZEkIfASN+VQok8M7 +f+EdbmtuZJ55ofOvZdnrJPDnvRloHBvXMPP6zYFRl1DcKUef4zg0uyuZgmaa ++AvQPKk8NDt9oSk6c8knudjK9iElZmRlOyQ6kyWJOTX85LMfK8hznNMWeBUn +b7EvScz2RDofW1OIeWhOlT2O++ECZrLxxwax0VPd3WinJEXL1pVhGpcz24ex +Bmpbft885cVdGF5fzsyAX9bK92C8/LOFIdu3CWxcAywL5cpljRjTco0YFJT1 +GJW+5gdbYK7bnPHJ5A1qn76zVkVjs/pDNTR/PNOAc5Y2bLTChg0vOts2jZcj +buYtdKGBjirOUotGSAZ2iJj5SWZw/isceMrMQW22Bg37qDjSK810Q9ulWIKx +eU2aBISxV5sEXNe3ZQxHraLY1HwE2jJxqRbPy/Oax8u0Kik8OsYuvd+SQn7v +0pvN5RPs0gtm5qa59MYAL99Tjpcj6eTZFuVlv5XtebnUmFVmgbkXsentz7ZM +DDTfF38J8kqT/NcgAczaqnfY9V9X9KdA8XqcA5dWAIM/o0zJHJs08R8Dvmfy +O+TPCEHAvycnAMnwK8gml6SCgEIps+HlPa03Qx98K49I7XIfUUNzPxoCxLwc +ypiBl7WE0asRvIwbMoKR+QOtLve8svZhr8ssLx+jeXmb2n8/tLHxZvh2uafR +jgnm5Vfs7J/j5b/VycsLIW+Cedk3yvl9bHta3W6JKWBe1NEXMN8BksON4G3D +bXKhHQOXL+t2jG4m+OfyH8PdLvY8F/zrg0zMXFKoo0lujlS8HPZKgpxwCpET +nLoc5ARkYjab2LSn3gf/ZuYE/1DeA8PyIKkdo2gVVZ/IN10Bs4HlTH1hKS+H +nPQgM5FNw3+rmpfjTiHpPe6D8ryM4x9leBlHP66gssIRF69mvHxknP24GHsy +Dgr1y/pcXrmvvInNXczY1mYczMJIiVy3TLavBVZ+L7Ay74z7xWLkWk6oypvP +BVj2JozMjlxvUEJVjgswUiYMDMuzzCl/3gFzOV5e2/Jy2za1TydtUPvs7bVq +n+ofdXW12vwJqM0fc7W5oFoje1XWmxe3jXYD4wY6zc1t41YNIRxYTmsOxx6H +AoNDI7RoaFMzDgTyUZOzkGhCW+bW9s3MoT1j89rweWE2+0jfyuyCgKw5Y54d +sXIfhaYxMx2xKmZm/VE4238UMDP3dQFAkZnLmvTuymFmFgOPmFn6ErQwM+c0 +MqeZuZYTbUm3MvPiJJIJjxSUr6IvwmEJoTn1RShVMycIzeJX4TcltrMloblE +EpDuZoevgJ+sQpnvYGh2DrzPrTUDr5ioK89TgA6acXOGXzDZ2FrlLDS/baQL +K1kUQbNQK9fDNWbcgozM1wI0X6Gg+dLax5tdYKD5v27ZpvYvBc3/jaEZrZiY +5gyzl91YaJ4OOsVU0CfCPnYHP/bnK5gXxRXMNyyklXL6ZuLFkt/CrfyVacvo +pu7kuj74ISf/cGs9qpOLNAUa9kgJzDggvZtUJ+dNzL8hTRlkrUTrCbNxU4a/ +j1k20/uYxWe46Wnz2iCS90ht/TFu5i3M3Mg8NQQA//dAMzWx5UNzYi+7JDS7 +gqGjWgqaua6Q8xYXtddjaI42S1j0b7AkMKMuOaEmYxwagqIGZlaRkZjyC8BM +qzHAhlExvRhb5LowUqt9hQk/VhaX58BwwrJmZZvjfrZOkTlA82cTN6x9ri6u +vroenN9ZM8DzzGKrxntQr+GXA9HyiVkO1NMnTxKbMzdqTGdGDWpxbieYNNoX +grNedsWJQGzQuAW9Lv4FxOariNjclYjNf0S1c6eQj4Ft0MD9osPn0T7+2NIc +oHkoU1JkaD6sEJp3IdDs4uCnC3FwqWbu/Dqg+XoGzbQ1I/LovSU9N3JoTj03 +1gvNkwGa32gENNte/hrt5c95cZQ+BpiZDxpHfXqHeBXlM+Dmz62rOXp1TFQz +J7uU3MvjCrpokigdzRWbBVfzb4Qupah17oRYbMbmjKh1Dj4GfrzKvTQa1eRb +NmVCQ4DUnMHtzNJgNmJmHQJ0EyaGmWcUMvMcz8yPCsw8mi393YCY2ZoyLDOf +X/v2mMNq/3WzYua/buLNGf8UQoCOmb+HtjnCzFNjZv6QMbMeo1qMmHkW6BSu +ZW4iMPOLcFLd0t/DwMzOxjwK1TCP9Ct/nUlbBp4sccG/4422gE/k5j74Jy38 +hXa5eudKjialQlRk/hUK/jkL8+minuDqlxUzZ9l8Lym4grmQ/bu88EIOFGQF +W8SZCnwkrmTEzMyUUcDMA0oycz9SytlCzOye5HKZ2QU/PhalhTQz52Wlc2QF +xMxSx9DxLcHMx7Gs9NHCiWSpj3izJPjYQr3cd2TfD4f+RuEFKCcy78RF5o/t +e932rCJDqpOLzBi4aNkYMWyL3BZ+j8RcXM/Lc6BBbjYULEurfcXlyvnacszK +s3uMN91HB//kF3Uwc3vDzJ0VM38+YUMTu/58WjfLzkF0ztJujVexW4OLzmb/ +5F0Hz4vaB9VZXezg1ohr6fheYAqcX06C8xp+5+QhVqURXBodc1wanf0e4MUK +ml2FRpQFBGi2m6/dkUMD+pNQsMV9FY5sEjQfHD0/FkNzUFJ41VxfrqTMqgea +rxWgmY5YUWi+m0HzfQXQ/ESDofnNuqEZt/OHNav2jJoXwedgMfoc6EYdPWq1 +EZ3Nvp86NLjaHL09Sp8EQW1O+PXUX4P6KCjKj0dN/m7IOVKbBTml5Hy2HTUR +Pw2JCg2uNhcGAVdEFg3X1ezUZm3RiC3NbMzEUXNfHAJcxPrmHDXPFqh5EqHm +2TnU7HvmFDXTsb/Qy/xBr8sUNV+iqPm82rfDhypq3rr2rwc3IRYNmZo3pNT8 +uqLmyfnUrO/yUkTNC6BD31Hzm64yg+xj21v6GFCzfrG7B6j5TvVzK9rGJtYM +UpdhxQX9IvdLn/pY3zvYjhaCf1plHsb75ebuYVTmQ+buo25j0Sb2UeImdlwn +dIolZm9jPiNSmbcWbmOfWXFvfeiXc/eRBv980iNCZrTvxIb+8jvmCpE5y+YQ +ah6gz2QZap6oTuVES839Vltq/hSdyM9janZrJkUNQyWoebiU+mgUNcNp9NR8 +DDuPQsMQtmb45RK0jH0tpD/sqaRtQz70511tQujPqMyBmLEtg61flyTmRaQW +w8/3gXd54ma4cDntWy4O+BXLyw6XZyFc1id8To+naodsUg82Vz02fzGhh/rc +qsOrPrefa3wG2Vm3F2l8/sR4NtYCz4ZLCRZ4NvB04MIOFJ0Bn+lsYNCdpRmU +KXWaNSg6QyU/oDNuoHNVGvqx0QUCnd6Mp07ORSKKe3iMG5vXR3nwzWE6OwQB +j/RBQPppWBnYnHqAjFqURCXFRlvy8+DB0DywaPuVN815t15LYbN+dbToXCc2 +q2+BFARE2Bz1j0qh8PBNkIr7i74JwdyceoEsIzhbbMZGDSY46wqMSHM+ixqc +xaUTrjmfHIsq/BHyfOnTwAKBTnPGn4QQCLSaMy6eG6s+A/eoT0AIA35sct5u +4cStUYlhQEzOGzWVnO9X5OzK5jQ5W1PzUm5q7gWm5o0urn28+bm1b48ZavVm +Q86bBHMzhAH/QyidC03N9ZBze0LOM+Fpz9dmqB88k/0kMjXfj9qZ7wC1IUz+ +uaK5ruZU4mZm489Y4E6ka2aGuT9yHnEAMJTM2Yx0rDUfIDzDDWYF9nHegz/D +neap2WnN6WlsFwD8s5/7iwvs5fTfQEFZiJuZ83IfiULOqdLy0/NozuRlhM0K +mSfbMzkANTT3n/QGYPNbWTYFqPmdhpKzKxoanCJnKJ07INFr78nZCQw5VxKL +C8OEIajDeX1GPTa2lUDOuYX2PP8BRXNXon7O66AyYyRuG/LPc8vJzl/kzdgJ +UfMOwczMqpgLSuWEegwyes2X/OK0X2xgZiKzmSeZjJJ+E9VPeUMGp2bdfnTI +JluVNzYHcP7yNQ3OX77RPVvPXF+iPRvfhvp5p5sH6I+no4oNAGisPS/j2vMC +q3f4oOAMISgYpGdpDWUqY+cJheyMZeeOpFDDmZwjrwZIzldDMDC0NoeqfhwK +dI3NJ6AeJVI9N29LlAx3KydCl1ILcPMOOca93oaboTiD1PVfKPQoqY/CdBcJ +v5Z9FG6s8RnAgeJU9jjm1msqN7/IuNnV9dMeJcrN00pxs/0azBG+BqGt2X0N +/CzgE1Jv/1LB3My4OdGicQhdhvWNzVJ/f/RVwKlA/BLZgA7/Ul8HafTql8i9 +xyVn7twTU4FWS8Gvj0FDCS690NYM5uYdc8zNfZZGiUDToAHah73etvtzmrrW +ekQqBc3zFDTPV9DsGpoX4obmHnIS8MNeV9Q+3OhSBc1/rn3yU4Dmm3rX/vXA +T2r/UtAsmZvJLCA0aHwzYf0CaO4C0NzRQ/OiBDSbJCBAs36+e4aYmm2F51go +IHI9cyMXhYZ7qTUjNDN3MwYNmwJE83/Ev9aP6Qk48JHXmHEY2sem03/pidRT +yV2UGjOiidREx1yYSA0pwP589entW+L7GDczJ9wZf82yZbGNzXMzbs2wZ3Ig +mQG0zRleavbcPJlx8zTNzQ2H5nAml9gz+SjaBExA84EcmqUTGUHzF5G0MCxR +NBTJCvVCM3+NayY0Rx62o9GmSapkSDBoXMte5Zy2IFV0jmalQw6Yfck9MjM/ +qs9nCpj7cGAmxgwCzLGLea6VmYmD2a1K1Zv2C7A8Q4BlSWKeo2BZax5zezxe +O7QeaG7lofmrV3rWvpq6juFmqzqvZcH5LftjVWcA5+lrRd10OaqzNTtXvdlZ +tzpbcH7aezac6DwXUiqzltBGukhwLvRqrOF3rHijRjA4B58GTwVisfkiSLj8 +CWLhf0AeDdeiYSdOQl3/MSTpwj8MHJhlNaWpwLwjfoMUmuawa08Dcx8EzH0T +wNxfBGYdCw+G5vxYuBRvebiJwBzvW+FHx+YD81wCzLvFacCKiQPWcnj5PTul +7YzNkoKSx8uQdjk0ZW4eCYFAXNmcqzVD4gWZm49KmZv5hwGZm/XHgZubz0gK +zQWT2vBRuOgwa24mI1co5UK6mveOLRqjdlMfgF3VB2AXO/rq1BJnbPa83M+F +Ad8FXl5k4ty2cc5GtyeV4uXx5ujaGUCJl0cpXsaz2cHQ/KE2NG90Se2jjRUv +b/EnY2r+5419av+6f9Paf3tj88ZoErBXCAMqXv6B8LKd0P5y6jrqXnfP4eUO +Jl/ieHlOgpdtCLC9XzN5GHj53sX6XHYiLXN2/q8LsmZ0rZ27MOgJoZO5GwSl +UcPcfG1m3ooUccZmZhsAPFjxstTHHMLRRxiBOa8xA+c8nJl5AMp52LP4x4Kp +bCgVmuFta1m2L9OYXQBQXcfpNzBkvo1eyHoCgP+GvLznUwvDlayG+Ic3sd0a +1wwRXJZrhgguYxObhMsjvxZf4oYZNSG+jL7MHnUMlcFlspvaSFxm5rVLJD/z +PvFwKi+YM15mPfmnMPmuaLxEofJObu7vY68y8NyfR2UwucklGRyVQ+BvAkSt ++aSf7vZ0boypCfOym71+24yyRlXLFX2Wsw5MVnaU/Cwca0/JFY3JWSsT4NYP +hHXBck+A5da1v73c00gVOlPy1dTuRrIweew31jbSxecAzp8hcPZ2DQBnqjaL +KUE0Ivie79dwSygLCTXTTrqwgNI2pmZw4uHBwPFk9SRQM7do3E0sGp1ZJDCU +juJxwLPRu+PpyLF3vM+Gb4hGAX8KhUqYmIWRK9LRXC8x82z4yRExS4uwW0vE +jN3M+N1xel6REifmUUDMdyeImdX0+3ALJuaccIuWlxtAzP09Mc8qT8w56yb7 +Co+OXGYu80HwE7GQB7Td/V9SZ3OUB4yalYixmUkpZOjEqcxNMTafIRibT2HG +5l9aYzOeiM1VmQ+hKvOV+9lcuLdmCCpzsGY4YkbWDGnZxEQBcX0GdM65VZON +ZoDMUYaY7QZg1DXXg9dmXON75j7c6GJFzBfVPtnyHEvMNyhivm+z2r8e/Iki +5k3skDb0NLtlEzOiXS8x66CJOrumNgOIeT4Q8ww4qb42Y0mIAD6FepnvX8Qt +GdbFdt1CvGISKjN8/M+71robI3N4eVMncf7PcozMZfuYXfRPqsug9Zs78+jf +nIK6DB7yIEPZzLE2AwsJPB1NX99C9o+fx6AuR+cRBAUf+HgjLSgMJM61l7Ns +46YT84SmEfPu6kLuwYn5gZyK5vySIZGYpZIhkZhl79ow9/7Gm+ydloBbOdVF +HFFni31LEbNUM4cdGbhiDu8/8bYMH5reWZj6G0RGS0jq76l+eZVyjpYXCLTs +BkqKOpfdjh/1LadGr23MTxaVYweGEZQ1Lj+etQZcfsQUhR66yc/rsDMjZn6p +l8lhL59ss9hfcXb2Tg3HzoJTI09wDilBO4SiPua+XkPYQcEbKFxtnpajNr8E +E1acm7m12S0FYnuGU5rdQiC3Nbt1wD/5NGBXUqFxAsgpx/reOczMdhg2zoeX +Z+Z91UdiH8/M0vNj4gOBUi6uNsOnXNBotivr7xt59eizo2XmGxLM7Fr6S34U +3igTCH8uZubJjWHmAWWZufQiYLmBE1FqHi2nAQv3rkbWLzUX2JqNPSPyNEuf +iDNCIlD0NP9KlJqz7P+Td0544sWpzaCn4K8D2dPWPj34KgR3xqe0eg73NcPl +jys0Qu3clI3UNe9VDzfzjmZeneH2TGzVnHZlfLTJhYibt639697NRHcGXgT0 +tXOMm78qyc0L1am1dXNtoG6ura/OwAuAT4LU4PqZ3WZ2XJthZQUcAHTdzCeb +ZqG14Cxaldk+vm3JdkyE6vq5cmXGAUxltuE/V5lxDNiYJZX5197GzMN/tE0o +Xv3rE7nVLoPVPwhHTw99GdixNlBoFaIdc/FIqpGYp+XUcSZ75piVGSc+CDRP +otD8ugDNE6QzWQTNTloQoLl9TsuQh2ZFBgqbs3sKmznTD3FfFe+m4vknvZua +gGZen5GqnGs4NMM5vJDXZhwcZ6T9A9w+VmLGg6l+w2T35VFdxlhkYfZVGbCN +/RAHZiQx+3W/3u8KlXKyE8MBM7UtWxfGFC8tvxMNX2NpmXfIyX3L5RwYTlx2 +xDy/x18dNdfnymhdW/7iRvr6VjQ5qz/Ua85T1tEO56ndsy5ec/5C1Jy70Ygg +baZTfw51utWfFzmctd6s2Plp1K4R7we6aCDl5nYxNy9uz7g56M1Smwbubr4b +nhx5HNDVz2FL8wXwYcAjV6fBx8Fqzd3NJuAxxp2xCepU2kp9HLYRniEbz8z0 +CfL0BDOflRy4qo+Zb6bMLAop9IMwCH8Q3uCJcGHZijPz5MYy80DFzIPqZ+aK +huZs/WDT8x+Ed+XauWRdc0gCHiwkAYOjuYv/MAy9WUoCCo7mMKitCzUu+1Hr +znU6mk9kjuZS1r1T4o9E5Gg+hq1pH84+EDwd7t8fJXfGV8adMdq5M1CWRfcl +6TJ+yc38gjr4L221iPKyOuyWl6ebI/5WjzfgTXBCKV5e0vNuxcq2NOM9xcvv +K172LmbFyx/1usTw8sebXFD75Gdn174drnj5esXL92xe+5/7N639z19jN3PE +y68oXn7N8vLykry8GPHyLFTPPEWdyolo/+8ZOJeumjnUzDlXRqeollmfRScl +4Frm403Cw5nVNoFUNH92EyqZRY059eSG6+WOA42Zb5hIk6i/EydRSfvmTDbz +hMoypJXs/tN5bf0tgoOZFwrZJ7jtdeyP87IoKdTDy4iVJ7+eZev4kHT/1xUy +v94oZJ5XMSVDreiRzCFm12cvETPsZx9kNIWP415O9w6XZ127AVnXMDHjqMcV +37MBqDQxizpCo4n5aErMOBd9qeBhNjvZxrIWTBk3RqE/KzGP+v+Zew9oK6uz +2/8dex+qImo0xcChiBXp1ZZEE1tssZfYW+zGrqiIiliwF0TFAvbehag0RTrn +UO1SrBELFlAcd4z7/tfT1npWeffeB/2++79jnIx8fAnJvRfWmu9cc/7mnz9h +lr3KLwMmQ62X2EBGouxXtIVdVPRDtaxKfnCoTlRquSiIIUrZJy6vSbnPV8oN +kVJ+iON0DzRNMZetYv7vix3MH9n/vvb7rCUCjKzlHMc1JOYcpTWs46xUs1LO +SAuNwRoU1FhRs2ie3kSzWXcBXUBjrYihoXuAN1SINEsH8EzlppzgCebfecXw +Q71l2F4JRnMomNMvkZUEs7xCbq8E89YsmHW/pZd1U3Rej50UNZjdhxeuXLcl +HDNJDQDWgFDCG0FT+v8fCWb15IjhjELB3JgUzOygFIQzUuUWx85IKuYEdm6f +Auxc0Thgyk454EpPNfuZ5mqL2qlM81l+phkuCaOYzclhrons/9qLQhvNtKhd +DM+Qt8gQnhGOnOhlQJfOCMEZlNIrWtF+3MszL1J55nnY5nbQjCLFPE51/1KK +eZRRzHeyYta9P84xt7+cFfPF+eLOF+ZLtzibUHPX9s5X32MU8/1GMT8QgJp1 +/09DM4xi/kLWs2tRzABnXtgSH+8IM0c8oolsNugxE8kxC2JO1v8wlWF+KJWx +jk1lXGB+ws7fMfjotgGnMurRXYaV7IOT7vK2HpAZBp72btiZM8wyYJJwlwv6 +fuGAid+DPlWdh4m+nxp4cmfiYE5kXKYSGcO8iWw/wHxTFWZ93Pnb2ht78ldM +Kp+PNStmJZdJKvdjxtyvIpefqfoOZ4/HR4qOR03k/DBN5LxjKevlZZWrHngs +wvtbDXp5SNpE+H+il1N7T4FeHrrbiiiSQX0/Xv3b0d99CgPMEscYo+MYrJWj +8DKvYdemlRsTWjm1UBKvk/iu8oSaScspV7mSTp7LOpnIoKPzfZuUy/itFcxf +vNABH/mQW/Ta78m80MI5yGp4fvMU32+289utNNA5HXG2MY0nvZhGWjU3DwDO +talmKQPqWLOOZ+ilE7GZb1QIJbGZ9Zy2zAKeoagZMgl4uGq64BukWjY5OCLN +VfNV9rS3hChmv+kCxT+tmKnlsk30/hjeEPFkdk/VBo/xSTGU2ef0p94cUx7K +mtwIv7JillrLq1AAnGULgNuMnYOkuW0taa4hQcywirkEkjnL42RGxUVA4TR/ +kExm7O29PVZphdv3x8/9ImClrdhoUjsdaY7eIc+tPKldm82cYDWHN0XBO6TX +Ct/5a+/98eYglnGXxDK2jWMZRJqTOHMgmDdTlLmOsyoI5pcCwSyz2fexYB6p +JrPjGPOH9RBjvsgI5gvypVuehYJ55TVGMI/aNP/5PiOYxyhGs102UQXAlGCe +5ATz0gqCeZ4RzA18hE7lo3MCQ4eSMeaFiTjGAhfHsDzm+WQvny4vbvMgjrGB +osrpmWwXYU4eg9HiX0Gjo0GOwUMLJrIDopxNqJ0WtDocOMgZCEHhz3Lqvbm/ +LNvRX/zThb8Ee3Pg1BRYzpU8CCynOUIPqxOyAC4HenmyZnD6gLkBQYxZhlL/ +RzTzo0kcZ7FmfjtpKcQU+9QjXJhbK+p66FPxiyqauQIvg0/E/xHNXOkkVPaB +fnSDgkey9Bf6ywrFHEaYpfCXRDAn8Ms1ecvBqp+36Nc+pZdrSWGkFq/XKIFR +gqM6a8Zy+X48tPftuEUTJLNLZSx/vgMcwiXQzeQ1r0+yeQLJZhXTUNXADfGg +Lq4GotlcwgWUsk43G5n83pw2WR2WuS3EeV7rEoI0xnjTJ1oxz44Uc4uK4QzB +ZzzNybzHg2CGmzhxg9o3R2Fm9/oIQ9rhyNWJzJhzW4DSdOnMkyZbsFquwFEq +fIUUtby/p5b/atSyP5gd+ikneg2X3vb10R/L7umxkwbX3GyxCb1p6j5IqmX2 +T94YvYb+yZqoZQGNTomZzNXU8ssN2mMugVw2f0rRYnYBPRLLZc0bNX+gjVzO +2kYhPSRmWHySuw72YsHsxPJi83sYuWxE+D5FDZeCKHPKYY7I/WGUuZKl0sTg +Xoo0p68JiTJrwazxzIMO8m2VwdwN9ywVdpivregwf4J0fnGYHzCCOcwwi11i +u39bzM/HoVhuJLpcR5oAhGBdZbH8GIvlMYFYls4fZ5frAcPMRLmOF+cfdhqU +L974fCOWzySxfHWffPVdm1EmYwwRM358WDIZHVz3T+hyCbH8CZzBTRDLb8pU +NovlFIb5fo+QsY5HyBAEM9Q6zp1PJ+Ep9qUNaHK/tYR6N4/dtXDqz42W+Lnl +PWxueR91CspoSQq9fDS/sgXAoDlpZ7m7VKAtmf4C2/XrncgtF486BcMl3Pfz +q9AhbbOAJrdVQGEOCRkVxPLElwsOyP8FsZwymN2eSXHR45eKZbVnIidjeCpe +898KYpljzCiWfcFsU2pNEMvezFM1sVzLxFMivnzlrukwBsHkPvfM5TuD6LJH +XtaL2FooS8+PgxiV4XHzCoSyo2EANO719jMiwvKb0O1r70zlVACjNrJy5fAF +mcpjmGc0mt8C78UEXdPUcierlr98tiMm46BNAqfx8lepVQKnssxM6ZRGXAzc +0C8GOrPZTm9bfPOsdfXsNkjnhjbZaCWb14p3TwKQRqybY6c5ZDVLEVA2Tmw2 +wzKa2wQlQNcKH8YTV8IdvVDNAZ4eQDOOQC7z71w7XCX3atfMMUtp1+AdMtTM +8gYpN8XWQWLPH4TVmtnZKb1r0sz6drgloZm1h3JPgWbW/knqSvChSWnvhBst +v5pmnm3+LBrVnG0a5TKqA0cdl3mXMKhXCM2o9PiYTDNTmLlL4v0x0QH03iAT +wtmCM1bEFfHEWOxR5qo4WlxmdV2Yq6IEd0X2f1E3hybzGakp7UrWSkGW2ZHm +xGT+yloq0v1zE9ru6dFLZXg5ZroJXpDeH5/61PubxYS5qfmUdm+wZn6tBs18 +t9HMd7Bmhr7fdUYzX2P08jBFlaM0xpIuRjN3NZr5EKOZr+qT/3TnZpjKWH2/ +0czIzDCa+VHWzE/5vAwgMuOMSRXNDO9478xdm4b/jF6ea37mcLLNTmWzu/Bi +gsJ870JyEkao2ZJrFqzjEZh11+8krwL9e5ddntuU7HLRNLbQ5CiVFtLk/hKR +l907WzyAGk9jh5BNb83Je28LQZt60SlMqXEVOtrGTuHkAme5UDDHSLnqgtk/ +HWsXzLN+LcGsIZzVBfP76cBadCguSwvmShupqaCaDamtKGxDpxBC/+uCWdU5 +ok3Uv2qcHLy3fZaP/LM5Dv/0iTdVYsEY3kzJ+3g06ilsl1peZMNrSbG8qRbL +c3x0nJ0kCedIXiexzOtQ4ihPC8jKJJZrpyprAkYqfSGWsohl2Gndt+PmTRDM +jU4wP9MRj2GwL0A0f/mKFs5xSiNtN4etQG93O8I3f+hEs795MndtvXeSpGjU +IppfXaQHTlp7LcDH2Uoho3ntqAHohZkTG9qDGD56dkDMOHZeyGXWgnmrGgVz ++rrwBXP8DukLZqm4nBKQ5XRgLz1c0meG4jBbwZxas/I3YAeElNHkBmwomMMr +4anElfBCgjKqRmB/FcGsaBkvx0HmRCzDiGtzK2Sbx6OweC+8HcGZRTDvPrqo +/rc43+tuarigaOa7oXhDO3E/XP/f8I4owSUBbT9v7eqbCNxvoxnqqji8QoCv +1k2T8MqwWWZxmg8LsswHJrLM1l9hnhLsmeAI4JcI6pf2Hz1ACpzfPTxKLMOb +zu7l75hEpAylmOFop0fCSoqZWcyomG/Fsb93JL9cf6Ub+ut0Ub544wvyJZuc +ly/d6t+kmIcZxTxyc4xlCC0DYxkqx/zdM6yYX1QbJtUUM0A9ZbsEFTMdm9L4 +w7E/PiJDDrMM/YWbJTKPPZjTadLnONVml9fHvZLDlcN8SGPKYdbZ5Vq3Shxc +c6cEjt5nL8ewIH/cL+xyJNjLqulXtFUSbTlVJGOEx2IKuBkr5thefiZSy24f +WytmtJeNBigUzbz5Z36mKtE8w/zMbLpo3iDtKNQomm032onmEpyM2Z21lTy8 +M/FTnyQU0zfNYfhlovlXrJkPPz9RhS6qQWvNXFCBPk9p5vAArEkzB7hNC5RL +sTFgFHs7ORAdetOulCSSy3HLr5pebnAsjHrRy367z3Iw2k8OlkiqGcvPVWz1 +pfgXopXnJbQyPAfCYQ1Io/2KNHMd/PPWQRDjq2c6QY2kBGew+fMuLvOXCZfZ +5jPSXUCFbuahwKm/Kbk8cwuFbA7yzA1tjPiANHNZghlq4OQBJZTduElRKmO8 +EckolBe1spOvLpHR2iYyHlRY5rtV60/o/NexlyITsJcacRwuWsmAyXHmh/rg +aga2sQvjMbYquCV+uUgWP0XeH8Pp1yizPOtcNfvqM0Y9VpLtfusbQS9iFzVZ +Yu8knntdU5EsjvL/H0RyWdVZFkIW47FF5u9OfA8U8PmDALPUwPVlYIRyCW4D +GPtLYfoLIxn68VHWrb6M03qXquFsndjTnoo4ywEqo2Ikg++I04us5aMKWEqV +MBm7JUZMjEi+USX10FpWDXC4E8LBvycljgEoZpVdfsWc+U4kz6ThkhpF8gIW +yW8ZkeyPlVzFa9hDcKhkMccwlmx6br6s2xlGJO+Tr7yyb/7THVvYOMbq0Z3z +1Q92zH96pANll6XshwvZCsNcSSTPDkVyi7xxoZT8aANqItc8XraZZUbI8bbT +KIXEuIFpQVLwk9IzWcrr2ryyv4LtLOVD0FL2yUBe0bmRyn3h8fd3c/zt5g2U +VMMtq4e1BG5ZEzVp5LTIUtZ+QXoBOyZrJgZPq61gezgMvwA90AbUnjDXt5HI +JTgdsy6RSrbnY/jiNtGFMIp6Hb+aRG6bHkP12n4skdlDUONO5ugEPsbNFced +ai1CF2vkL2rSyAfWoJGPOdvvcMhsiWcUNEUjH+xr5LDwrDFB9LT2VRJJfytM +lOhYmjoL798ugC0nk8rx3HVlP7nRTZFECWXRx0VQ5XjW2k8nv1AwZ10xdJFB +PHlMtlbgJYs2HsXDUnfiGKto5NZWF1fylZtZtfz100YtAxL/5T9mJJk3dB5z +KpzRpCagyOb1M9TN2bhg6QRk87qW2PzeHG/lpLAC6GRz86S/PJFx/K8s0kHm +mMwsgQznLbvanw0xq36LxfQrGrNFjtrNvw0tcvTQxo0tUe5/RjLryF78/Bia +KfD02GOWIi/bqN5gte3HIQxvvcqnyMXrVUUUOZHMCRx/KJnD4HJFyVzpSvif +lMxq7w/vBSuZ813IWy7BxWCukKZW/lROj1PMZX09mF8zFwRI58JC+GeJIRPN +60+xMlZUTzKrQAZeF0VLV6fH3nLyOfLo+DnywuA5UrzlMMV8hZdi/jK/zqv8 +fZas/Ok0BoCSJI3xrKIwEx+DE8xQ90NbZHr+RrspSjL/p4pkvktxMW5w1OV6 +pshxCmNxl/OMZD47X9b9NJTMPww1kvn2Lbw0xmpFlcO6H/IxWDK/HEtmW/UD +GD4cqbPXSUpmve83nvNqQl22FDnOpt2lmBhCXL7C/FyqCHLkKa/LbeffIB+o +OLVMCyXx0bdjxQyaeMrS26j0rKZJy6kERmrmVOp9vWYGCQyPtFzU49CcoFS3 +r7KnXJxPUwQ55yln2SbJIMavI5rdYkll0RydkJnf9aukmqURnYqqpfaxZb6E +lXNBG7pSuSMCbqbyaR42aEXUgC5iZKScg/AItKigWlXzAWnVfHkwfXpNYvr0 +lmCmhJgYHysmhptA1bTlxwVOn4wsL7Cq2fb6Akd5QlIxC1mZFXM7l76YZtMX +MSduVhRTTiUvnFpuTCQvUm6yUcolOKOzZlYwg8GxX4fNmhDGUKL5yU74yvfN +SyCardnc0jeb43QGIZvb1BDO+A0nmm0ww/znGNmctU5C5xRwTkQzWc0v2VXA +tNVMdObJrJkFlyEh5ueDELPOY9xn4XL+ignazAFyVCP6BZHhFv9ILx/Ga3+H +eEC56np5zyp6WffB01gMfw9bonrd+aLwLgnwVGYltrAVRK6/wJLsFnYwXxUV +vyvWWdJLrxX2sP/39LKyURCP0Zj/KaWXLSIjEV42P7sGz40+m//dxFD2Bxm9 +Oa5OczKsaP6owopJjUjmK9lbiVLM33qj2RrJXInZXxMrQwUyzrJwuW/9+b8U +XC4x/Qc3xpXBjSFFF6/k4vnM5Ks8PJBuBx1f9mZLNksIZp4sgRO+NsF8u5r3 +u5awy/WXIxNjcUcJYZybL9nsLCOYTzWC+R/5D1f0y3+6bcv8pzs2z1ffzWEM +hsqteqxjvvJJqvyhYH4BfQ2eLIkFM3gRcJzSKHYbYNyj1wCC2VX9aN4PzkaN +XbYhjAV+CONGzqMN8wBy9MQGOTSaJ1kPeRhHRPT5LT2Qpl/xc9MkBI+rFMA4 +0Ahl5y/7FT8fpFmUQxOxHAYweij6fIxadgUOV/HT52HBNAmeidE0SQkOxax7 +gY3wUJC/SFX8/KOxYqcjeTxOVmJ5ShPF8uwSqGUjJGKtPMrTyn+NtPJCjx60 +ay21jnuL9lBjl3kfRQ7ytLKchoVw+ngEVWyDsMeBJ6CyDP6ntHLIxHBIzW9Q +K4ep5Zs8EH2BuxxO+UXpi3e9tHJc7VO8ONHJmqsc6WSVUo50snaVY+ZFbRzl +h0sgkrP1ag5ciEbGDdb2I/Cg3r9JWtnFMb55ojN0r0sgmLMW4jUT6FPyzKSa +zd8Oo5mztTzyXBVcc0a85rboNS8T2Zxa1eYs8weyDOgkM69p32y9Zt9njnPM +b7BmpuKfH83wM8xBNIMr4SO9/HIbm192hT/3JmmRcqyX/SlYXvqbq++NNdfL +O6FeTt0XsV7u5+llPfuq4hgzw/mqGLrcf5qDLqdnq4qgywVVFsbwD9SXQkUM +/6+ql82fJaOYzZ9HuRAGRHp5jtPLqJnnBmW/eeb3gGBGWVNGza8ZxWx+XxfP +U9MlqZuBNfNezkkxv4e5G7LcRjMKJkwcW05rZjaZo1FY23NZngAyB7cF6+Wk +u/ILcBnx8l9tRKXB+9HkHxBI9c3hprK568K3xm07EldObox7zY1xv85lqIns +Z1Lh5U0YkVE/G09/yttNzqe2L9LLD+FRLdN+Ti9fTzW/9hxarueJki6Qxzgn +X7r5mfmyHqfkyw82evkyo5dv6Zr/NAJyGUYv39sl/xmn/jriRDbU/X5QPDnU +y+Nq1Msw7QfgZT4nZ3B0DUPL5kegy8KQk0k/mSi5hd/YrmEQPc35rZufNx/M +5fWCwPJv1buajqJVqvfFM361FZxT0HmFw1B+gUTSeugz0IKWL4xByxY+70DL +vncw3PMPXDwtNU1SKbCcrPhl2WYFmjnNXF4zzRwfkTVq5oxE8wa+pfBcwRPc +k8ETXKFofqcEZ2N2b4Vhp1gzF22hxhzmgtNQJzOG6P5zBc2sGn//a5qZnYKh +QXUjHDvFRAbsk5ifu8wJqLdJRjMsCJ/auOH3WH/Qy5RSQwfBgoP8dl+8ReJ2 +SHxfOWj1tRO9LPgLncJI85TXjKXsxPL8hFhemBDLUMiGigmL5to0c1urmVc8 +3pkMDAjKAbfoRehht7Pi+auXN1LiGV4EN2LxPIG2WSuUAcOgxkfiN7+p/WaZ +B9TZ5lA4UwkwDGnMNz8ps/lNJvKH5b+Xg0xzuGQiTGbJM9/Cu1bXzXdT2TaY +Ydsu69ks87Esmv1+OIhm6YenzJZYNBNLyTdadm5wvXCfjHGMF+CDSyOVYaZp +vyDDHEFGY+5y/2maJJd4fUxuVwVhPW0w21fHUDSnboUXEyT+potmeyO8xjfC +qzNj0Rw9OxaKZq2Z2WdmzZwrSMZbUefv70GceU8vnOHfDl6k+Y4ixJzKNIe3 +RAEtY/8izNxgtQ0LunlQ+lUyNf9XSTdHi9kJJvP5uite5fZAxBzm+Sr7zHf9 +mVwWmcgWd8Wi5Xo7tBxmmTmfB7m8CQhDAsekKZr5Tk8zv2c18xAaKekEWIzz +8iWbnZ0v3eLf+Uc9Tzaaee/8hyH98h9vMpr5NqOZOZjxM2aZOyGH2Rb+GCsH +x3JVzcw4ubcEJ8dAzulBhvklhZKTWb97+Z3tdq/kRzUOOfbOYa/gZJtfljk/ +DdLcsnCcJA5jxBBNm11uqI7D2FaBNMMwRi/Vc+7Gern7rPODrnNq3VTwcVfl +3sLptOuC7LI9DrOsY6L6HLOCCiPM4DG/kaBihA2PYs1cguMx+606IMdHY9hF +B2TvWm3mZqSY/V1scwCa0zFrU4UglBrHficjyXxL5WWniB7kz/0li9BhuSNl +IIQJtQrpNA+Skdg9rUUypxJpkWQOIfQhdnknTqQlLGZd8tPrTa7Q8b6LYgg9 +rjC8PA8PxnFKLjsQhoZgpO1lH6kcRjBia7kySrm2+MUCiV+0ZmvZV8pvYxn7 +Fjyn9++wae2KublVzN8+1hmP4++Mav72+XYlOJezOhLOEJsj4UwxjbaimfEH +WaAhRCM0nF1Ogw3nqbHhPM03nIk4pzVzmyZp5mmsmV0PkJrg4wLCnG80Qyt8 +LdsB1EazzGRL/0/a4RRkXs/B+ueuz4+TeulvE9TLhzBRbk308i4Fetl1/o63 +nb8UedmjyMlD5KxwwUobKyrAPG14nl71q4Ei5+2UhCk9rZdTN8ILNepluQ5+ +gV4ep/Tyy4FefiHSy+SiFAUzIifFCGbnpkQ72Yo6StmMnF8hwwrgMsdRqgHL +XCmbsX+4YxI4LJ5mvrBg7ar6+F8Vev+K9PBfwmfWHcBw9A8hpIn9EkHLWRwz +XBd67K83k/gll7HFfNLLHiDjTTz6p7afgMd9db1MKDk37Medvw60UQJ5jKWb +n5Uv3fL0/KNeJ5FeHtw///GGrfKfbpVcBo39QS7D7pasgV5+h/XyAnNMzuWj +cRoHmGWn5EWbx2htoRiyUXIr84GuVQg5yaKd5QExaMyPwssdcNiU/GXZJqHj +7gDs+tGQn+CWqetXIYthjrydG6oFl49XdeeTbRYjhY5DuyDcJbEdDplq0mwg +DcPwB05BK/efFvvLA8I+RzR0KhZCEiGHCOZKFLkAuzwwcTqGOyW1CObetQlm +XnXyTkiPU++yGdSNfiyOMT+mhp2KHuASepngQYsTh6JvICTBQYloRoTZDNn0 +QQk6Ccg4Kw6lpQJp+Li2Jnp5zzCMFjyugb0sj2teJMMxNXGaROIYyl62pKDe +7sEtCcLYbK5dQLUQDLaWJ9f7dOUpFhgXzo/IVN9Y8+NiGLMTUeXaacpNyWDA +weyLZTioWTA3OZfx3aOdoVlSglM5Wxvt5m/Zbl7xoljOpJy/8rIaKJvJbW7u +3GY/qlEC2WyE9qccbiZkM5vNU5zZHC1qR5jmIWGw2UM064TGDL4dBJ4xkQH9 +fqK5lR3/SzUAJc0so38C7B+qXieJKreec1vmyg3yOzv0hzfI3M3NLbLVrySY +Y5fFrVk5QL9PkYtXrMBZ6W1b4RqbNExR5HxHxZ8pCdvgd6up1zWqtVSerZrE +9slGv65e/o/Sy2O94l8JrgNzE2i5vAPYy0YvZxvY6h+gRnf2q3+Ow/yw9/bo +oswxo5/Ucjk5YpI0VBQ1w75Dhvm98C1SY/urLP95aebU8l+NaWZRy16a+Vg1 +lZ1IZlREy1mzJXSYv0CaEtFHmTyq57HVeAkh5RjC3I1SzPDm+FqXBiSIIimj +fqqnlmdgJ+V5PNhBLc8zB/h8VstUIrktfwfVMhEyML0MCLmOg/IlMFKCaYx/ +58u6nmbU8r9QLX9/iVHL13fLf7y5K1b/IJXxM6aYGcLMtb+mqOX3WC0vMmfk +/AUtce5JD5UIdPkFfmSTNMZ9nEgbEVX9yCC4kKevMbk8V8wBSKBBclkSaH7N +z09i/LliEkOoGGHDGc67vwbYuOIFvxA3f6at+OHZN1u1ncNRU5XE8LFx1UHL +MTquqP2caHRE001eqyPLOhcyl9MUuV+mlulsTKjlsbMJUF/WYjmrqJbXqayW +w2Unp5ZLcChmN6cq0Z7BLM0OOQtjotxnwTmYWD+15983LsfMboEWy7r6nHpZ +CwnMEU5zTcRy4A54+WUFn7c9vz9/7LkEeuU0zC7Lfp/OYXi7fZ6p7Db7UmRl +miB5PZlXnuHllYWA8TwbyrFQrmQor0H2AhK97W8x8lRE8juI+ryhae6y6/t9 +98jG+fdPtwe9nJFgLmNgDgSz+cnIbm7uRPPL1NRm0VxyirmsfeaM+oDzVLD5 +t1Yy+3Paat1k+vpKLq+reoBtdKg59pgXtbByeZYnl/0CoACZU5kMKf/JeomA +mF3xr62fx+DVkn8LgNk2xfW+VVOlcmi0uE2rmsp+c3QO4wxFGYXr4nyPJRe2 +wQmMISy58IqIcxiRkVIRjFGt0ZJ2T3xvWe6CX1cru/tAtPIcrrX4m9h6sYT8 +5QX+7GsFf7lakNkPZETrJSCbRy7NWgUw5pTB/Kn515qLwkjs/Qol85fxWHZI +ZL44noatVgCsBTTnhZkLhrILy3/eFfINXSECIVXbJcniHwNHbSCjrwQy6JrA +0t/m82zpb1IHkMvT8BqY2l42/sYWyOVRLJdvZaAc0DG47IcwOQpiLN0MjOUz +8mVbnZp/3PuEfPlBe+XfXzQgXzW8e/7jjVtR8e9OhsvB1t9DnYjA/KQDy9HG +X1ouL54uOyVEx1hkzsf5WPZrjufhm/zW9qqCyT3Jb2ty7t1pi35U3ACQnB9c +ZmN5rgSXg3c0DGHAO1pY1uCzrrHGVaYGHyC3QwSQOz4CzbuS8+keFCgmLPsA +udSGnwbIhUSMNFczkUubUlTmCPmaFeVyFSxGUitn2YZeVO1XksuZr5cblF5u +G+plWELVHQ+ZQo0QzOZoNL+HORiz4UjKCJ/d9qoSySjky9lIRqiYFV8uimS4 +sb9UJEOOPnxbSxx7IX85elOrpJgDpqbf21hhj7tr1KhfUdtP75OMUU2/RwLO +smTSwk0SSS2TWm702coddGLZcZX9dp8sWvvxi5kF8YsGjl/EHOWHEtELVMrm +z4w5eLP1WC07pbyoIHsBSvltVsrUMbkuP6DDJmuEyPj+4Y2J9/k0SGYQzmUE +5IOr8e1zIJlBODdTfvMfRTozh26Kimn8IRHTKOgFgtvsjQNKROM3qhPox5or +SedUF3AGszN0D/BVls4vF0Qz/CgzZfmk/xLFMhRg6TTzQwPZAlj6vYP2e7K5 +TxNks3+NkGxuWnxZy2Zv1C/Fx5guPLl4oyTJGi2cew2viBSIv5ZHx5cKZHPK +QqlVNs+oWTZv/0LR0F8azc93A1GZW7v6H3dc/KVsq5xLcD9kG0RJ5r3CJPPI +OM2cvinQXymBas7q/QtD3iNFNxdtZovNnNDNqRJgwnKh6b85VbLMK/KzeS77 +vCML2MyK3+/nmL+xXNLh9g4Rl/lT5zIH3b+YlUHOitf762juhPppxMloP4kn +/sayOwKS+XGWzPezZL6DJTPzMWCkpMNl+YedLlFZjDPzpV1Pz5d1Ozn/uM/x ++ZcgmS8cmK+6uge5zND9u4OHS+7vQvPY2PvDsxnf/WSwxErmySyZzbmph0re +ntsaJfM8cybOYck8hc8/mcEWNsZDzMYYZbt+bXDOz3eXXcfZTfmFwyRhz8+d +ceQuCxOjUmaZchgus3y4ZxH4cpmGTAdGHT+xCs6KeRgil2eFALnwdS09e+2P +khRB5FKsIBkmSfGC5Ey052EG2OWnsjY19KB9uTzAyOUSzpSUdbXD/JpRy0bX ++mejORfHT/sV9HLLQr3McTWqdzzkb6AmnIRw6m+vxNRfDMv4KI2pL5z6+yKo +QMdgufDUi0p/KZcgcAiaLJfhmNtX1ZyLJkokfmZrzp9FqeX7PHNZUhiUWqaS +n8DjHCoIUxgKHPfqJoFUhnJffWwsT2mn50deK4BgxKW+hprm+VKFvrsDU9nI +5Ha1yWRaXR2OR3TT5LJLY/zwYBdAF5VAM5dQNDdDR4Myze0lnpFJsHkFO80k +mTdCxVwCwWz+c5Y7uWz+9UYsZ/erJuDvkk7zR94k4G+8OUC7oe3WTapI5Rae +yyzIjDjFTJN/z1i8XGt2mF2C+Q7zcxtvY8vj5FDmkOoon4/u3wCrL/BASTJ5 +M5bJPSrI5DDGp9yWOYLGcDLZVV34QXL2iVwLPyWBkTtHYeQGeRg5r9qiHiBd +DfyGIKankMtTw5WSpsjkCj0WTyazRJ5U7aWxokwugU7O1ubbYAbeBnoKG26D +rY1K9ggZEsYoK6gcdFoAkdHGZZe1gfLEoqDT4r06oonCXfCy7oJn9PaYJ94e +CzhKwRtkeu1PXJXPE0jmRHhPXxc6wVyAZD7qvESCuUpfPHl9hHkMwTEfZK6Q +FI9UhflsetkoZTeMzW0/wTCbq8NmMQZ8wNeFC+y9uNXCfOwW891qSadZ+eQO +0wOVPI5V8jOeSl7IKtlhl69hlTzEqOSLeaGE2n1Lu56aL+t+Uv5x3+Py5Qca +lXyBUclX9SRz+SaXxQCiHAxiU9MvpZL/4FTyFF8lv9uwNh6J0PCD8WudWIaj +jzIYrfwMhsItS+ZsmOTNuKBxJj+gnTAP8hewTLIhmsqHzu1kjrdNE9N9Kn/R +oPMX1Wb7IKn8T48w/xd11G2nWn1CwQhbfZqCoR/UvLKGbfWFRCD3sNbPo8bd +FK2ZWpJmSI4LCEHpWl9Eoc/MjZsgyKFofs6I3BqFsjWV+7n+8/+wUB5TTSg3 +ffz0/thc9pXykhgr5721waCTP+a03/AUlV6Ov29s3+9ABQoSREZY3EhG0AIw +0OnhaEmtSjlZbKbM8nCjlK9X72i37ZBWyp6pPFBFMIxKflJFMJ4LIhg4SLIZ +45VV/CKFwKD4hajkEKkcjlmnzORwlC/V46sldmFxF5S6KJuT+GZMxb3T/kbz +R9RI5Kw5eskikqFXckD9JrUnMcqok9uCTn6gi/ktVz4BSvnJevNXijxm+vku +FswKofHHMJqRkWBu7mqAryRozaPQXv6UNTPpZaeZf6lensepjDmsl6er1p+d +MQkSGTKP/YgKL49iQsbtqu13TcHMlcWQqpkrwJAeCvhlFeZrilamEJ/j9OtX +ySiwPNsh5LS10s1y+ZWt4tXAdVhPz5OELH5nowxM9b6TqKR4tqrya2MilZe4 +EZqayrNaub52rcwXghDllFiOyn6FXH734liCWyFbx78XlIsS3Q3+uFUJJ0zq +QsVM+yX/p2D2rzYccxKTUVT5SwnmVOWPBXMhjvnEsP0SpzGSiAxOY8jzJIaX +d9Vzfw6PoW1lXfXTmyXwDAm+iiQx/oP4ZRDLs/NJHWYgbB9uAwjhkVh+EcUy +nPJzk2L5et69vsKI5Utx85pQGJzA6HZK/lGPf+Uf9zvGiOU98+/O2zpfOdSI +5Wu6U9Xvti0ZjcFb2FzzI/Rye0zChWIZzksofcisn4hlqPc1GrE8i72CSQof +95xCYYxRe9fWTl6g7ORgxg+ezI6ySTPAxtGEn6b+HOihMndQ55sOKmuhXLxe +Gm6R+ELZ3yJx+ItU8oz8gV5epe8KK5TjSl8iqDw1CCpHVnIKG1ddKBdzlqNk +mrlejU7O2lVlx/XTo9cT9Og1MZdrVsrjVGL5t9WXnEIyvYhlW+lIDWGzfTAq +miuJuRiLSSfrgzABCoqGTxNcjP0jV9lHyemRv8PZVY6mSip0/H4dnVxQz0iG +L5ZZCJA3aqoAmqCRnwmCFxhTFvbFJgoV13EOhS7qE6GL9pp5EePhZnkaWVxk +HU2uFrZwo3wLEvq4yEV+R8WSJWzhBPI1XL6+ygjlLk2NLINQXjmmCzZJVj7e +QQvmcv69COZn6inLXMI8RnPX/vPVchmlcisOY8RBDOUsm9/P6ORspNs0gTFX +L4Th8ss0ANi2Zle5kVXyzAq5ZQlf6IofOspq1UrqfS544YZKhB/370K7ZU0U +stRc9JLVobbSt0Mqp2yB/BowepankHtaEH9YZwnzeZoVlwIsV4KJkjoeqPkX +ryf4F1WyeL9mb8Uq5LbFCnmcUshq00/hkYjET3yk5uoqSDwwNnMPjO42ACv5 +oXfMXycVVza/ZmMXP/rDr/cs9sZK9g7vhSh2IXfDJ17FrzivXAWKcak/WHLw +oHgUNrny1xT4cgIih/dHYhQ7aSfv5uouYiff7NnJn/irfgq47Bb9HGz5VQ5d +YE4ZBrCh1tduAkPjQnU8GtXxW6yO30V1rOp8nQfZsAVU+cBG/qjnCfkn/Y/K +lx+wR/7dOUYdX94rX3lVj3zVdRC6MOoYQxc0go21PoZgpNUxnZM4eq1gcaKO +G4w6lrAFnHcAnHfgCzrjIFx2l4LEkY1MX/8XqUAZnmt2gIRA8niucdDiID7X +DlTACz+XHMOUPWXccLA63+JQmVvpO0mB4fzxJQ92MSuEXVzSBNhFRThccS65 +GKhcAmmc9VHRZBHGVaLJFWsbIoyriOPxv1gcJ3ZOG9BGbsyesepYKhx/VRWO +vyl1XIiNK6xvfFCIjts7mVP+yB2BN3+q+PMBOu7qL/x2cwqDEWSUD9ehi8SS +nz7mkkecfjGrVR7vtsJbI6FsssPEAfZiJL+YOQuZ1/qYqPzoAB208HEX8IKG +vMwAdTG+M63zTQR2Zr2PhNPSeJoKWVAWOQxYPBcFLMQ6rj1cQbJ4YUIWv1VB +Fr+TkMU4rNp+GLI8DyR5XKM+Xof0cfNm+arRm2AODjQyHM3A9EQW/pPUvkZf ++SnrKyulXLae8ooXIZBhdDJsAG6UtcEzXULLKoWR5DGzo2x+A6DKlXn3bwPQ +yTyWPSQOKwc6GeBIi1THD4J5jWoYW+vkCSp5AXeH7vYhO85oY3GSRwa9PnBZ +AIFxafAkKQCloxE2ugHjL5ivXINGpsAeYC/28rnKrJF1l0+DRR1U1B8hcUDR +FE856PHZu8Lv8Q2YGronlflwyR7f64mLoel9FUBfvGL+WPWf8GqTuipGImeo +kUtwHWRrMwlppncZoEAemxTIrt/t3QeEWF67wrjrIn+ahLLJ1Fwp243X3Uej +UpbC9/r+tTAqJiTtM3JZMOmXIiV9SqW+tk3nYLhSn/nvZWRytjg/OMHnj0Ty +2WngaHh7RA+RagbbW/RjalzIwLjM3CKXo0imQh9YyNeqPvitHNe7U1nIYqxI +mQ9uDbwx1OT1K5vMxV2qiR3gZuAiXztNiXsWT3o44Z1AHoGntC+QL8kXb3wh +LY9s8W8s7y3rfmL+Ua/j8k8GHEEC+axt8pWX9s5XXqnyFiO2yH+CBb/RVORD +7gVT4ioJZEuH43lr4F3MWdjCkpTHG3E8bpFjXTyKz2S0vmSpcB7nYl1OIhME +80ReHDkCOReuuHdw45ZGHAs9mRgX+zVuh8t8++IyX7q0l+ZbSAo5Xlmy4njO +yQWrfFzam3VOLI41PN57MhsaieM03+LmwDYuSCG/GYvjgb/UOp70fAlOwqxV +dWbmxNcwkDw++8OvIpAHmPPQm7lOCWTa7mvTRHVsftAtgPhZ24rLpslj0C00 +md/D6OPsHK/UbNVxYSyZy8x45qVXTO0WSfhcZs64o4NIsmcCKECcLiz/EnUM +WbLr4eNfLy5F6pi2rB/YxjeOn1DGcYpvMY5p8tLWc8p4VqyME6BkUMbpUMUz +NlTRGMWOU2Dke7VhDGDkO7PfqXJe00TxuwlRDNBOeNlrmjhuYcXxj/dvgi96 +IJChX/3jY2AiG5mcrYMiGcojIpJ/IC/ZppW/xYIfdLHb6/AF6uTsAYfF0FI5 +zl5EYWXX69tQITHS2OUiO3mhDV00Z5mcDii/Yq1kx+IXK3k0P0LeZUlxvGGl +GKMXG2ksweTT1IaVk8gdWSJvWSCRdwg6LXvEuIuCQLJDXZyodqrg9vi3osIF +nb2ZlTeto5DF1MR+awVeaDKMXHOlu/IQlYvdFT8o1qCRWwYaeRZrZFveK8GF +kDVLIJUZsw+55PnmiLcxi6f8SVePe/FIihT3LjP3/4/PENXXg5+1SAOWa6vx +YTyZ/OT/BlL5i1gqp8b8oABuro8iqVwJGVezVBazRQGWL/xnMfvClsHVW6Qf +Tf7ciyZLTk8X+J7u9Y5LWiig8sSOs3i0bwpeDcUy+W4lk69jmXxZ/mHHi/Ml +G1+QL4WxEUlY9Dwh/7jPMfknAw/Ll++/e/7tGdvkP1zcJ195Ra981TU9iHlx +u5HJEEsGoDLwLpogk99jmQz+AHgDEkcWJJxOWDysxvlGcONi+HwVRbYsHxkZ +gUKylPUEeanTFeEQ6Q4MTN4lGK92RT3nHxcX9TwE3Oy0RPbwl7POC3jxRcPV +RVyL633/OAFMTqYrkmyL0aFENn/nzVFo7mPVzIDM8etPZr8tksmJA7EgYTEx +3mIyB2IJRHL25K8mk7dLdprD9NmCCsZBAgX0YHGBz3taSxkGfATaAl94/N2Y +OPquVsyLZIGvIGoRHHPHpcp7AetijZSyMC4CfPJNIdJHRyzkqQycAD7iJIas +PWQvXrGFiyBLUa/QP26n48evBv7xi5F3LMS3Ro5VzPVix2MS3rEXqSiBPkal +rBMVt0SQt3eDNIVWyO+hQmaVXE8qGUyMA+s3XiOl/NN9m+SrH+6IL3ygmH96 +tKP52wt6uWyt5VVPYATjyfqszinmp9tbW9l88H7LMLmIhvHSRkFaOVXvG+6M +5Um/Y7X8Wy+AkQLIeQSMQC0vWmDU8kKnlhsK4smvcadF2MpPe9HktS1f9A72 +XXS/BderzIVygY3tae9lA1571dCkUCn/Kd/Hu1Q0Q9ldKP7gSBy2cBxRCFu4 +sZEeARRJA5FStT233qpa3eqx0XkplaLItcCPCv2TWpQyN7lbBio5UsolkMrm +j5uDXEzPYq08E7dHBtq9PqWVm4eZC0HEUeqiWWSimGvB/CfY3EVZb11nFEsu +63fGzG/yveeafCW4HrL1q2jlpbbKt28tyIv/qvsiDid7a6/23nCsJHyHrLL6 +KsgLkcqp6EWqyWdjF6rJd0HBfTLY3CeXKufFtvi42hI5yh4ezjX4JHLh9q1d +IBlIoXBHAOuIsHAvo1sCDknaTb6OcXCX5YtBJnc5H1Fwy7qenn8Ejb1ex+cf +9z0q/3TrQ/Pl+/09//bUbfPvL+yb/zCkd75yWM981fXdcHsEA8n3bUw7fY8W +y2SIp8FTG5yEH8xal7aszclHrT066d7kHetXFNfiMfPz4ALZ41vb7ouIk3wJ +lyxkW+QEPM2ElkwFi0PnSsxCoy639Z7G9rZPY7ubHx91uWvNEjneEwld5B4B ++q1HgpKcksh6WimkxdfOskjHLNK0ZP8U3DrtJENT71nzt96PWVSiwNWgktv+ +EpVsTqNtsLS3rajkF8FMLio1t65SaranIR2Gw+PARQSGKxbJNYHhbvicn9MS +YDgUyf5W34GCUr64AAoXsjDlcCsgXNBTWfD9XySSNQhul/CZzEHg4qDFUj+D +3I8zyAEqWYPfQoE8oULAwhfIAnsD0FtIr3Abe2G4ojIKOQxW3J4IVtyERbwb +sZx3g6hj82tGHxtVSsEKUcdXlsBANvc3iOP3jTiGcxk2oA4ikdzUqAXQOn9+ +qFO++qGOnlZW7rKLYTxRj1IZQRiQVibqMspkiF+0z0bp+IUSyryMnUxgJJb9 +vD4fk5YLunyFIhksZbXeN8dLXbSwqQvd34vt5LXsurV09zBxYa4S/Tgp864n +8aL1mgtkuk7co2S4yOcEsr/GF4+L9Jh1rhLHATE56ur5U60DvJ6KJJF1Vy8u +chc+MuLVUHgt1CyQC6J3Jaxw16X18fpGFxeB4Hx9PHCsMk5eIhgcXwmSueAn +xpaOofyMMk6eWihXQklocG8ZFS3Gya4uccGw0OaWsO93VSB4IdMjLX2Ocmyi +UHHvmyiavO9NQXUFK97p8p7liF4RWsnxxnU1fRzm9TymaGK2Sqzks4PcXkof +D1ZXyWW7+/OuwyWzpyLJd+KetblCtluKr5GQ04OXyCfRRha6haQtGJuMc1Pm +emin+W/PszZ+JK2N64fh+bu40yDCJEMMeatTqaDX+9j8k35H5J9uc3C+fN/d +8hUnG218Xr/8h0t6U2Hv2u75Tzd3pTjyvV1YG3e0CyOojcexNjYHIxyIsixC +RIs2tMG3kKA+M2zKgr78n1cFvdFGG49i+xgOsuHqTWwQL4lgOW8uHWJHIRq5 +HUXGGsPImKyI6L09iR+nCRZ++fgwc5iFjDcVH1Nf/aEu7sa6uMcsjUSWnT1Z +TxpSYB2HAJ8igsVtlQkWiYRFdTRyFDUrIcOiVUEEWWvjcRktLdX5rYyJ48Ev +mGCuYiWNzb+07/+uNqbsWctCYbyLFsZlW142ByC4BGPeM//XUgegO/8GeUOl +e4ebfJg2g4Mv9X4GYeSwqxd0MNSGtejigwf5UYtwv9rTxacFPItAF5/TJF38 +jdHFXwdgSwkgf2p0sTAsfNKbGMcSPn7adi1cvMKHITcw7BKaycXRCmgqOwCy +5lU8x5r46ShWIYbxvMgwvjfRwXNBY6OJSyCGs98FhrFU78QwJrP4XTSL05EK +rYc/qL80/7B+sNHFnZtgHm/ghPGoTfOfH+iU/2zO5NUPdowFsojkxzqKkYzR +C8Ddq/gF4ZWvdeiL552Z/M0Lf/TQF1+NdRp5uV6/jvQxG8mTjT5+3WjjN2w6 +mWMX1fWxRC60Po7iFjzj+jJfJTqR/ICCI41Qne9r5rs08iB4lZzvXiUdQlSG ++Cpp4x0TeGTFsmhIpZADbcwci76qpefz3gLjuCCBrOn5cRAvbKjE1wP2tqek +r4aBmvc2+akSLkw1D0LItqQHre2XzY1RgzLOrDQeX2AdW2mMWQuMImtp/J9Q +Gs+2nOSIANfI9jHHLRL+8d+eXKD947VSvT3lH7+jgcnU3YNbwo9bxAN9uDRy +51JAXPjtvRIEk82/VBkpMDRy06fZkpoLfFYlh0y41EZflcBFU1RyWN7zqMnM +gkuGLRQg6RrEiErYgs0WnUneVjnIUtrDoAW8PM7D1grlkWfZHT7CWcioyFPm +8H/UHPZjVFnvZtreQ+bbpaSQoagHOWQJWPQ9Ov9kwD/zz7Y7IF++z675in9t +m393dv/8+0F985WX9SZSMgQtRmyRr75nE7tanVLIn4lCZuYbHHt2dw+pyC5g +8eoiOtae5ZLegwvTzvHliOehXVEp6B0/V9A8epEawhXdk4w3p47Dd7CY71ak +jrfTgyHBtl4fG6w4S40lBeo4Eazoq466vqE6npZQx2voGheq4zcewYDFo+av +a5A0o2OwrB0CyF1Meh6OxrRLIAI5OA6bJJBfW0OB/JIWyM1SkJ+MNPK6Xswi +Jr9VrexhNyO7JMgkLy5u7I0QkZzgYF7/mWvspcJlEconbuwdFqQswmJysq0H +X/01iOTBgUjWG6PXeV/8hOmxw9R2Y9QthzymCfC93vYwyJI/fmXTuQpaQUsh +k+trFcgCq3heJSqcYTy3qmE8KtHEG8GGMZrFuJt3s/kjp+IUaBJfZ/7U08bp +taKMS+AWZy2sOP6AkxRKHJt/q5HH5reDikjTRLJKWNy1Wf7z6M75z2M6i1g2 +v6+RyiXQyea/l7OSsc1HOeW1I6EsTvL3z/qQOHaTSyCTzX8VZyT/kRMXtzsj ++bU/sEh2+WSo8ZFI9qFwkk12Inldc1vQ9FTSRMZMcrwvMjGo7UUGsqrs3cqz +VNeqWSoAv9Es1Xr2ZpHqt7tZQoG8bYFA1jW9eDskzCDD7JQAkekGUXTQWX5F +D43jGTp/XG2ROpU/ThvHSQIovylW7W2nMBa2lqIlsjwkpnrbk0KJnBVr5LZO +I7+iNLJDgTqNHJHfGjXXoi5Ev5XgWkCFnLVUt0KSlaxQyXVhHpmKey0q4S14 +jq+NEcgcs7h9GRb39imKWTgf2fx7zX2RTa8cSQ6eHn8NhZwiJkfNvWP858gL +EnHkS/fx2y16hu963hORKDLcKKMYa+E39nhHRPIVAnwzt8bkDjK7NwFRRtBG +ocm9R/Hkp3Vqp47ft+qYW3oQP4ZcRe/j8k/6HZl/MvCQ/LPt98uX/2OX/Jtj +t8u/PWNA/v35/fIfLu2D+Yofb+hGdGTAWYwhMvIPT4Tq+Pd4HkLiDJJmEj9+ +25x1C3kzROcqBGHxlHjHC513fJM9w+gjX3ZCTsOE2Pr5MYzkoUwF7SBRpqIX +ZyokdvxnPsP+5jXzdsdm3j7mLEuRj3We4uhfoIwvCFanlTKeeblnAvSdnspT +VFbGA6beUYJDL/vDmgpj8/NoreU8VsZlfQxm5BSsU+AUpCrLXiUDJPIb5nCx +8vg1hvsYbWzOpyrquFgct1VZM+4ve7S3+T7tzQpk9ArM72HksfmP99DIXg75 +fdddLitg/OKM0JfDoh2RfYIdkWis2gPEp+DwzgQ4QKUrPBc5cayFR5pX1jtO +fewr8HtKHMOH/hW7+4S366Skpwk9cpQB2W1btRNSg3uMPEtIVHSmCBnR3GZg +MTkljKdFwviFBKZCl/HENX4g6RrLRJ6Hp2hHCYq3rGV8Y4LYdi2LYp0xvtJZ +xu2tKi6BLDZ/ZD6oH2xk8SVGFF8MAtn8Y9mKY/g/a9ckkJs5gTxyc0y9/Tx6 +Y/P7GZls/k6BUIaXvtUYu+hEUrmsDWXo8z3WwVziAr5Y+WQHL53stq7vpXgy +a+VvXqiSujBCeXngKDvehb84EtKTnZvcFi8PZCAJ50IRk/04MtktWNxjaqhE +kZ2TTPVvx0eiNZGr2H6B4N75LJIxuFdVJIcXjBbJZLtI5XunBpeu+AuL5JSD +LJw3r6Snose9Awe5D29ROwry8Dw9qpeIHk9JkD8rPC76DnJij7qiSE6x3moS +yb9UIwsdebtijdycLoen53vRO5HJLohMJRV3QZTd8h7mLXb3EBd7+IiLlv6u +iD9cba6KJSUseJc1S9/8+819Yf4qi1DeV1Mu/hv0V1Jo/XREDxwWzFtodKia +ri6q7lXUySfyxRIQLirqZM4ig05G5+XvAEh2u3twuUivZWRAtgAXGXlI7LaA +00IrIrK1Nzuf1HF6/kb9FJympqrei9jUplnqtEYm1NuF+dJNz6bscY8TKV/R +//D8060Pyj/78z/y5XvvnH995Hb5ilMG5t+d0y///pI+SLWArb2fbjMa+e6U +RuZJanMkfoZz1ARCJo1M2eMFRiM3LvTzFWMXUT0PjrGHFtBjWNI9ZiQPYCtP +nivgYzU73bipObq6JjLHf1LrIK5r7GPdaDuP9LF+DJOjDPSxxvKcZGHHLldx +ptLH/uMYISy1Pta5iiujvLFDuqWmplUl780Etic69qqtg6Q6yhX4bu4IJPZx +28q54wk6dxwwkCe8npFALluB3Hf8VDz+pmWt0T/uHaTNmqKSCfHTJpLIO4YU +zJjxgyfgW9mVYXuZSfGV5qmDg+/OyqOj+970aTCk5GPfDhCdrI84XBldEVf1 +9HqISlr8K5VAVo9ilma5Rhp5OR9jTEDmlRCPYrm1WwhB87iPM48tvGIL+Pwn +YM+rtpLnaMfFpGNfHzvCcYpurE3j0Uw1jk3jRe1h8UOj27xNPJ77KOv+XQZO +8dXmz5pNGNf73TsdpyBxPBgdYxbIHS8yvx887BU6yHXwz1ujLG7tZPEdW2Dy +GOacfr4f5bHykTuXQB+bmxnIQ2HeYtWjNpCM6GTzjW3jFo6erOasVXmP8xZf +67xFpIz/oGhwMl0tJLjjSB0XKuN1rIX8NgOQQmUsGWTJWOiSnuyHPMoPkvcv +lJ299ETrBcp6aZoqDglvanaaY3oh3U3Dj8JCXk+vkCecfJU31lOribxx/4B8 +PNDLG+vroYD4uVGC+LkGcePANU4AkEuYrChrnhHfBM0DgIUVxaSJ21XVxFFn +23bzvH5efCF43W3rm5A6rgsr3GEGb3fb1GuVAFuEV8SHYKPcvdj8X3ZvNTQV +BZI/TASSYxTSftGayBd+1CKa31thy94HJtj60ZpIBYHsMfaTAtmf3avtafIb +vFmu30kX9QITeZvYRLarIciygGzejPz1+jdxXg+eGCF7B5k7mtZ7EE9+XxwP +RXG8BMTxZkYcb3UaieM+x+SfQrRi2/3zz/+yZ758r53yrw/bPl/xr63z787s +n39/UV9kWfx4HRf0APeWEMfAlYcTETyCj4VfwXN68O0/b0ErRLzZaAU/hgne +bQw/glnzmHmVg20hj77rj7fc42rpsBSncvcY6VYwmVdkHAvrOFwB6W6/988L +5vIudqzjGSnW8VXB938gjAPjeGDNSyD3p9ZAIErxxsPIqrDCGFkVj0NmIv2A +Fs7nVcJWFIjjzDsSPXH8By2ObQ2jRnGMdWU6ExsiRnzE98laqPMwEsgZHYbr +FD2nJXkWURr5kkAjB03lUCNXSyNfVbBHHbT0wi3qMGRh68dBEjmFQ8bP/PAU +47CYHQ3dWdeN/xuFxXS4glp571uIJewg6UaeD3bjYIVt48kSSFGo4mXPO56T +0MY6UDE/6RurtY/2tIb3trf0EW5FDw9xxhnU7YYZKRoyKUQXf0gxY/CKLzH/ +MiOL88UdL0JJjD/mUF7c+QKRx62tIq5kH7d1OnnElhhExugbFERAL4OdLJrZ +nNerH+hs7eSfHgLvGPzkZinB7I+N/BCOjZhfs8t8LyA9+cU/ZoMiIzmOJofg +ZGckk4m8vqrtxZHkkAA3V9HfhGchgOSxakTkcQYjSU3P8kOZ+DbUvlBKu2W9 +/F94yfyG4fr1BTKZuKEgk6Xt7eLH++W7zoFXSTcxtYMyjrezF0q6lid0tx4e +3W2IYlZcqZIV18aVPL2xGrkosXFcnftpp6Ypc9cqKZODXVVso4zD18X0Toi/ +GBVxP8E7nkpuSXO+FGZgP0+J5BKoZPM3yq3qOY3sHJNGZZiUNRM5I+RbWVvH +EEB+coH5Nfe2CHeDuRVKcC2Yr2CXvnMPjB4W1APn4wWRAc8CbWR2UfbSPIu7 +lspNUQKNbP7uB6DkEtwW2TReZU0p5M+LK3sFA9WhhVy4txfQ35IK+aSEQlY4 +i6YEkQFlASut2G4Jx6jFPublkCd0Ta/rAoWwmGnU8TQvgDwb8RVPIKqI1PHI +yDpe0vkCwlaAOu75L0JWQLRiu33zz3fYPV++59/yrw7ePv/m2K3zb08fgAgL +WA0BIHKkjp+sR5ImvLJZdQwbelDNw/08eB1bC+Njcxe05GiFOcQW6loeRcS0 +bQzf91dxNGwQ94pP51gFHVq/w0WQf0aB4/6+Mm70MRV+dwKU8YEqbHy4t3QU +UdzmnFyojP1N0NSQtFPGfSNlfI1TxtFGqBchAxU7dQTkG5wyhl978244Hipn +KkoojcuaUoGZ48fM38QgWZY8/7CRF4ljPP/Gmt9WiWNA90x81fxaRXFs/YK2 +ay6Ox2H6eCxaxyyOAelTguPQ/JoC+rA4LutJPfNrcBS2TTT1Uink5AY1vZ+Z +31diyHveA9YA5CyGKpbPElTH/xihXORC3qVs6zmOhb9B/bWCvaUdZNvVU5t6 +lSLI9iFMq+NDEup4j2J1fKuKHo8K8e7sHMtD2DM9HdBNsype3aQBqxWyJe2U +8ZR8SqCMZ+A+kg9wm4NbSU8pNgXhjaWHl3aMOU1hHWN//04X8CqPfAy1qvj9 +WBWDKMYURQlEsflI05J48cbwc76Rxp2akD1u7jjIt3Ul9iaczKM2LYFINgeC +yOTVSiLbHh+kLVY/BGkLxbkgJhxFk1ty3MI3lNV2dQnkcXat9ZLtbHXgJUPk +zhfHEkf+rUpZxEyLEJFsxTGzLBptuqIlrofont5LvBzypAXru46eLE9dm6x9 +mztm7noY3TsyEsbyLEmFln0bBIOkmRUUO6Z75WC1L53iVbjlPFiT6u518nzk +sevjSeT4ahU5VhG8YDUqXgTxveME6TNezVujqagI6FZCHH7rX10Yl+AqMB95 +CVUcXQR2Uc/8mttX5UoK3QYtg/dEelPc+TEbvZNgxa7smDiQRdldDNzUe9/8 +dikwMtoneoi6rF0URui3tuJ4H31XROr4sxI8O2azE/axNlYK8MgytVcgjkMc +UiSOT/XFsc4hn60mWovEMWaQ5WrBDLKufvsNPQntaetY893gAdLmKuoFgTyB +h6afV1y3+4wwvpMn9K5LCONTuZF3pBHGB+efbf+P/PMdd8u/2OOv+ZcHGmF8 +tBHGpw3Ivzu/b/7DZb2QXYFTeqEwfo6F8SvMc7ONPLcMsohHpeEL/3XEHbfE +B7BnxDJeuHaUN0aG27y2nKUg2M5x8zYwBxZxKg5tlJm8biiKD0BRvHWC3bZr +MP3pL4BIZ6J4/eNEO43XV02A9tT9Ce8rPxTFQ6LR6H7T9frHcPNzXeLLPzGN +VxFtnOS20YNZq8qICjr7QGNPfgqEsn/+FceNW6bp784cKHmPaOPDR7RfTxTr +s7DBCA95SXPJ47CaVxdU8xaRaXyZKiq/Hc5N2/Bxmgn/YXpz2nONl3mTIeAC +7BuAe0IIcrQ3fek3lu0mEEsN6LHVvGAqJEoeV9LEBxVrYgLwfGWZFbY8wRw3 +OLzGKEClreIBv60HlShexCSF246mCRCu4FlGxRTeCZ2k9HAINH62QsI4lS5O +pydEC/O2ByYnbjB/a6pue9QPBce4/nLzVyHSwhgrvkQs4hKI4RKoYXPVixZe +3OU8rEizJm6yJL5lK0wc/wSszbs2K8HhnK1D1RDlIK8eraVxZz+Q/LCNXJRQ +HF/iCBePK8JFalzEeceJqMVGaqT694mBah/5hgFkLY2D9ZB3WBpTvIIA+mG8 +YqLnGQv/mAdZ+VFSohXXsfUig6znItJtXZTFx1aRxXjDNDiUm27jid1C5COJ +VARNvDmuiSf2SncvZKxaeIUrIGKj3FCwAlJ9SFrZJvyYWKdkMV4LJbwX1qqa +MVaqmL3iupB9TxNRrZKquK+vijMli+tCWVyC+8D8V8LbgCf0ojdE9os9khGZ +xs3C6wBtYyWNy1YWu5fEFn6swq9tZ7Q+XRfCP0kal7U0ho5KkmCxJFsv4CAv +U7wjZh7xfRE1VpxrDGW9a74wv7/jH31pfs3cHtnkCKKvXx5FGIezU6l5vaQw +PtnfCznruACCrISxhI4H7+eA+lHdW3FCR9iNEOcYP6ocY3h9lFIe5ikQ6jYV +gccQNqZC3lN4L8BdAOc/nPfFovj4/GOAuEHIePu98//uuGu+fHcjig/YPv/6 +qG3yFaeAKO6HwGPcBbmlqzl8lSjGXel2eAwut6I4CBkzxA1yFFOlhBc6xTyR +dwv3I2A/Gp+3GGp8ChN2jp77W57GA3DbZqp810/N4v0lWSAOJ/F2soL4MBbE +RytBfAKPGZ3EY0axILahYkiGzQ5xFJe47c+Zl3vIdo2jCEt31iWuuBVdWRDz +cYfH3ANwzPlOAFEpyjpJltHRV5eOUJT16ZdRvris6RTMb2utXssqdPDGE9+Y +RPEG4TRSWhS/qkTxf2arJVF9DGK8jDRxWaXLuHVhzjXlD7hGnsa/mxM7Novf +KhhLKlthvKfQ3yFGwXkyOfT+obJkOnK8TyCM9dL0/h63Qn31h3HjwWpDb5Df +otCVPM2rCKFu+qve0tsLRfE3ShSHVMpP7MF1P4fBZNzocd7Hc6sfDDQO4hMw +dDTJY1K8biHGFJ1IC+I5VhA/6m3fheZwcWRCj93VMuPhgScy16+zxjD36+og +RKzzEiVQw+aP4hKjhwGouYQ1MZSjm+YVr+eE8U3daNL0js1ZIG9OQHrrH/sK +WeUroLb3QCejE1TGQhRyRhK5pV4TSUhkXhIZ7inkaEVk3B9YHReYx5MTqyEJ +dQxMULcU4lZC5thEhavk/YeDx88qTijE9ShNIV2WNoqE1NZ2WU6yyvj3NShj +d824sLGekhZGhTKLZ8f1Oz0h3XPWBQQ29lj4nKAIt/Gmp6p3sqAaXhcJeFst +y6k18ylScOMI45YRuKhlUMyOtLGSxutaaUwXw0xvNm+A75Z4+TqmfJZ8qNtc +H+pGgYrm3oaeco0t93hR1sa/GqItPeWb2EYKWMd78pvi+/SmaPRxTHhbzPp4 +ttXHmge67+0fV9gK+dQhj6JdPZpijSaoK+njxCxryjj2miynBSx92/he4bdZ +irwXnTm275FfMriCrpmRf/4Uh0Hu4/08zzTGMh61umFqFdJ4cJXAO+M0zBq/ +hC0UglWMob08c/zDcf9+/TBzYF+Kb3dWG/dy2vhz1Ma7kDbe/0/510duQ0U8 +AB5fmtDGj7I2Ngfi12MFUkEFPCBYfsAZY0hRAIBnFpLbaUL65cAsvtv83BYc +VhepBMW/GNkG2eLD7MBnV3VYDXS4tsYQZpzSxfHAx58KdDHBKE6rMO6hSZSp +st1Q2yfuG+3f+eMe/SMYhdXFEJi4E+INVWTxwClVZHHLBM89MfXRMtGz8EJk +sS+AByAtIJW1MGaU5fr2xayftxnaBHH8yiz7eFZCjFtZI9+zUmWq5fpOFsch +4/S8dLwjCmBLoh4P1TEyUsX3Aq9HKWNRxRpYIU9jQnRTdjHOgejAmJ0DUcp4 +iFuX1nZxtRKejVCEAWNVwLPvXElVXPzGBdS2e7dfxmAKJrb1e99u4VGoWHbw +lE3cmZg7yG2vn64U8SRVtKtmET8axCXuN0r4viBEnIpK+NPPNanh9mGpbrCy +hi/GPh36wkZuYkyCbWHYXDIy2PwxNEK4BErY/PlcstnZa56duIEK0bhfOmKL +Eghj86H508jNAlks0ePCql4kixXGgigWlzLFIlDFtLEXGMfaNOYpaiuLf2+p +Ff9lDjLmKUK0WxA0RlJFAyHdNKlCcG7T+VaZpMxiIVQAxu3BBX6GAhBuwjiG +BgtMSZ/pVbt9OUy17n6Wa7yvhYG67h1mJxpcdsLl8QCLT8+OGtfmBYpnFXTu +Zl6u0PeCL1pzjvHWHsf4gTxmFSU3PjJi3LdMzEV7208VTeLWqoFdXQxHs3jm +JjCSFPXwq5X0sGMcb0uMY3UfFCaM6fGwrHvZFDDWO3nsFBOeAip479gK3rvp +sTxPD0sFD/XwqA+pg9fGr6GMXBaA8VOVbWQe3/hpNqmmEl4hpUKxQDFFwUax +XV0NwnjHJrBHYBSfrAp4foKi9lr35fIIucvXHplCFvJkSBpWViVW/AybxFK6 +w+WPDtOxijLVixQ/zjSKUeb0vx1PeVz7YBG8pFYRfLIRwecGIhhOV3N6rjIn +5fdPtUd4D67gvUZFO1rAI4YxUNqQQGFEMBxXk5jQBsfUE+aYeojZxWAO3zxf +pSWMAAa6JE0TrW++1gG9/rv8n3A8NW7Cx1OPWAAn1zwUet1b8qAv95QARhrb +nJMrlup80qQfHXbrdkMVje1q1Y1IrNtNjQWw60nYL30Qw6NADFcnsrmnsDe8 +kbsSusJlLX7psCP5W9Yf/0hoexEd4eBdLKIWkwNA516LeAbUCuA3MDLBFJ61 +mqJ+4b/MuFme/H25JvnbXMeI9RIeGcPNvMyYNw1KL2JXhDBjD0ThaMYfBgQe +fgbTn/lJmnFwmoVxCeUKY4T4EhchtgU7FZU4LpzA09r3+KZp32Gsfd3cffUN +TyjU6Q1ocYNhxkPiEZM6hJAJp3slKjzLznY8ozae9VxHBRe4/cgoEkG61x9z +jiFrw4JYMGneDyUO0WEwu7+tcVPUNuaCaLC1gEX1Ns+XAiLTKF9wIGBqaQ2T +Equu747n8I+3bmmE8JYlUMLmPPA9YgxRBEK4i/kvbqRwdjUGjCFJsRo7eDpB +EY+E2AU95rk57nFBfOJFUcKigjfyzGG7mKchxwlj+ENtDDPYWKp2EpmYxasf +r3NDRZvCT0jFbgGRKOx4lAKAXlBRAXeNFDBdLzur9PA/rAIW8sQO/Nb4p4jF +RtSJnjIDPetcNY5asOShiRPT08QJP0xXkBqekkgNv1E4fBoteaQYRGVds+Y3 +whYF26d61aO5GryrUQGvXVUBB3Q2GoouywYeXgsogx2hTTp2qnedIcfY3Giu +XrLQOcMt/MkPn3VPgeLw5RBCEySF63waxT0fUuNkPX89OlxQDRmftyQbKBnN +SI/jNVUQw3yFsDMcde5CZ/jiYiJFOCF9XGJJqkgMn6UeHkMxfAlfNUOix0e6 +aoRCAWk8QbRBEu8hzxGmrjYwjOBamdhxJtEn2k3EHjZFiJ/AqwKxbOY6gOP/ +vfqrjBAegkf2mgvhLZ0QfqwjegLgAUBQjDp1NHcH3/KQknjLnF5Am5jNG57j +mSgpTjAUgeGUAif4+vk0ZH8pc3LOtBtEckK1JzxxAq++bxNF8I4eYt09YaXI +Er1swEtFhVV/zp93HqKIkuG8czxjl/6uFwQ7nm7kAteFn/glOOXgsEqOPD+U +ksADlQQOv/dJArcIzryoQjeJP/ubBT1iPPnwAWw8HHcT8LhLJsRQA2dtnQFc +uwyW7c+MlHBrrYQj4ISC8JAW3tAxeJ4pYPA8XmHcQz2FKe4EtydaVZmIRk2c +UZ/41CgpEdbq9k/M4Ll1DzrEDrw0noaGL3mvUncmV+pUzCuODnPvQZA5Eu1K +6OFr9CElNTqJDDOA7SEb7XpP+cButxPrc10abTKC1jqmMpB4EvYgplfUwmEi +gupyof+bBkik0hBOB7/vxYJtPY6CEGvphpyDRnTkEESnC+lcNTLYSGCwfbuc +h96v+bMBMYglKIDNz+YkgpducSauKq1pPGLVcHMY37RV/uPNXVkVd8XSs1HG +YhEjsg1lse8RZ9S7GxZEJzr7vTu9n2dlsR6WZsSxmgOpZA5/xebwl+P+gPsf +XxhZ/N+ARAFFu1ASLxZJPDuWxAtAEqshvDfZaXnNmsKOhw9PjvcsVOlhjuMN +0XG8SA5vwnK4Fxe25aL5azo13OCyEeKuxIlhcFXoSbE7jj6ndjtCKXy1y0VE +SGKFIZqq0sJTKqaFE0xOK4WpQ1LWsYiMMnPrNx07FOthuBTgjphMm07lODac +FMNlLYbhpvnPLPN3UsRwCsumIJ1Yr3OusDfqIcBiQnUuKNGyB3okRgib/1y1 +7JERdaKsAnSMZjNXJ78UqoVoi7enIB1gJz40/4kWO0FTqdSsa3Sc+5HL4lDd +GtHZNHviK589USlBPKiYO3Fc0EgRHXyKbmonAnhJHQy8ib2kUqdobF4ywp/z +cJwJel6UKt2EzrN4xuN1TkW8yAN3D+PVAFcBHP3v1V+NtgZOdyB5zU8LV9TA +kBa+tgcaEJg+M8ckHIWaugZH2cc2KUxpiIXzWucN5qiazjGuVxiIIylhIKmP +wHcqYkVCoWHQPOJEnmrH6yAhDByJjRVHQuvfP3n6N04Hy/5mSv+6NytIQAg/ +Iq7KnU1RLjXj3MvOODM7whrAapNzxtUJdoQygIMZZz/mVRNZDR3fMRD/rYmu +VtYQnSxNkUiSJLg0V6dI7HjmlRAnUVYzzyyGESRhj71MnXt16tzDOAQdfWvX +JIb7OzEcusLmJyMfoLmCr3GtmICUzgiAp7AF5hglVxhU8AKh7PhT0K5IB/Yw +zRm9mw3SaTCSwPcbCZyQwRUDw/pM82IRkvYqYq8lbOELCxp08N2uqBJ+UNhV +fy9k3trFB7p2QyiBhRYprTnLwvEmhygK8TQ35sQOxtE6bMsRPWJShxnIxoGm +HDHVJgRWsOYMpwLBEn+gdpzsboD89W1gHX2IpS814vxZ5vcdR1gPz4H0BYgw +pR5aKAfYph6M7kUHOCMLuC5UvyWQviXYTcKtjo5HicqtbaujnVPB18Ca0la4 +N6rV8E+3bBmr4RGbs1EsajgyisMgsahhIFB0ShEosgt9MezvfZAYjup1GwXV +unDbg4LDMIDnCeEZTghr0gSmI3DsjvJ208QXVqNQz0gygm+bOxm7dv18RS/i +GwdE8PEogqE+R0xP6qP05tuGuihQndtLBYTxlplzgJeIkNpcpXCwv8txseuX +JFedhwdpiCAY3NTRusp+sCjgjMyRVrWEgzN5HvQYaxN1jbpOgzfdclMzf9iU +bBEQxAAkBgXc0reDbXNErgLKx4WIelupLn4lLGtnxC/SxUjOZtYecb7wW7jf +wW+GZQWvh5gEuCRlEcJODHsrHmrvzhnDJcdgK+uUREZTHq2CKQ9fCBOaSOp0 +zzpTOJmQiMXwISHsXhoo5yYQxaerNeiTfY/FwtcS6Qi4ZAS8hvt2XKED6Nq1 +XM+WzQ5JRoxmjwUBnmIGM2zttS5z8kkdhSchqYhn8cIAj2Rh+zsZsnYtvu8t +7nQRehPLup5GHIlaYsEgggGwBtsc93RBj2Dl44AebodH2xevqEjwdAKrvcN7 +HLMXtsRv9AmBCQzQ9DsXwnf5OvnwBZSEuJgbvRgFnvcbrMgdhpjhTsyMSAjg +5L6m293YWREg05t0DpbeX0WAHfQGwlshPC1t/jp4WoAUrsn8jbDCxE4r6896 +OJWm3A8Wr3+yWX7aGzE/jQ646l/6xItoljroMgKp1anPfcx/EUuthWrIRV/9 +JH/xi19ewDgTAWdbZT+4JMXhWSCzbXGYvWB76AmNPWLq6M5cM0Vkt2NFmesO +Lwi6wy3T5Qg79/yOnwXTh9yFgRLmueeaFu3gQPs84Elw50EHJISxxisdNhx8 +gR8OtpW5VDgiWK+7IKWCcZEjVMGOCJmcpYcXK6zIuTAwmsCbNvLyxuxgdeN1 +Zqe9Ztc2wAAmZloYAHZBCDF/Fyrz962oCheqX+Gj+TyIxO6yDvwa6Xsx1d86 +Wtc3o/5bWYcfSPqWQPma/4VEH8xPhuLXfJwtg9XQLU+nH3MCsw5u6p7zqqt6 +Ig8eBpNYCoM2vWkr2Ke7GaQwGsPm14wYhryENYc3z1eP3DwlhcEgvreL+dcS +t7gzmsNhZkL36Tpk5wXGsNelYxCbX6TTiWFEFDOeGBETCi8R6mCbkWAcMZnB +rfOFAVLiTUkIc/dEKGsPq5UOSAffML+Nc13mF2ngTVEDH4gaGGJ3ACiikhyh +hvewF80uBQU5GXD2t+lohQNMYNezDpERw/zXQ3t56Isj1L9ptHDNxTinfykM +XBfmIaJ0nCUMN49fBic4kBAG5FQ4boLw1F5Xl0FdqIKVCFY28KtoA+OFED0O +4oVQgkyE+d86E1g2TeuCjY655QSS3o/MeRnhZlYA7+JqIzo4V3b8TU8Eh/dD +2YXokMdpbgnzm6vhZ7kr+PFwUmVXuJBIXOQKa0dFu8KV9zqODEonHlMiIYRd ++YTvmCMTjrASwtCVu3I36spJRFhzJO7dzvXkHheGBG9yoBvcaRZ25IgfIYkI +igYvbH83rpASafgKPM+XbHquE8EAU6skgoEbcRlvcMCI871d8Cxc+SQxI+Ch +iyLBGyJdGL7b4ZyCB6u5AFAzP+gCL6IHqieY+jhKUhALaGxemgpnIE0YSI+/ +zY/gAbpDkBHRjUnCA9xKEDvAPjCNSY+eAD5c5bX89IO/FhRGgM+xAtiOMc9y +/Te3qXGFajIUCeC0+zvQur/JXQ0QvfdATqF4cy6rroBtCa6KAm6R/tQncGSm +GxDqwIvrcEIVrgsDESWXiKhNAZvDwWOpxSK4mRbB/ttX9hsPqPaXZxNzzk8v +oDNPt+TStGE67oxKcEK4cLMuI+L6EE8Jx56wjgorJRyeZFEswilh8oNXYE0O +T60AHgFZLik1eM1eG4kg4o1VwYe408mqYO0FB29VUIsjetpiFYWgnQ2BRODG +BtThJAbBxLQ3OAbhK2CqwVVWwPerGLD4v6nqm+xmFKvf96O6G2/IOePX/AzK +P0xEfxdb8/dc83OOjT1A1mwJGb9l1L514Pyy7kXtW4J3uDWygp0OXnklAS5X +De+WrzJn86rru4E2vaEb6GBrD6M2vqUrDNWlwhKcI7YgttYBZmJjZhR35hkP +K4dpweNcVaVzalhHJOLksIpI6NRwqIS5N/fRG6ESdsMcooQhFjEXyETclXtD +3GBceWrlVp54hGOE+blpPnXkdAub3h5DFdyNc3dbUzK4USeDJQoRu8Dbiwts +bpj+s0+21oo0q20MYmYiETy9KBHsWye1oCEGphLBqVnSqA9X1ixhbIe8gO+A +hQXp6EZoliIHwc0wfjKiNX+hDKb1jTKu1PH6RkZXQnO13jxHiGqw4OwSEWWX +iGhpRfCO/oQzOSPcHSmecm6uHBIPu4kuSbEfPN/5wTo/p9H0Xq+kWnMu5Qlz +z1ohJA64KsEe1n7w4Biv9s+CXWfJ250QbjqfFIw8HetGnlIy2EOq2XCwsls4 +FHE/Wy1wyQCJSFARiFEzF8uk9jPxQoHLxAUiKBT8Frbjrid8WsdLzGF9Hm80 +/0vxhBU6jSXwt6cOyL+/AIY2eKMZXtXMufjTI/T8BZ/3X2EYmDjCND23LtIf +ocLQwDktCAK/xIfRwww9v8P83Mg4CMllYQh47voKdG7k79zN1JNU/0D+7qx2 +l/f2iI4+6PzIaFYu5ARr/ANltYKt5ZARHHm/+tNd5G/o/aaCD0WTcqm95Uj/ +Fg9olPX5hucadx6aqfCDZeDYQy4gQagYcFlRg1NzGsLEKeuvfj7ymmsbWL19 +cRCiWUoDZyXHj2ypFXBig47aEOWInMZk9QiQ4066hREgByNfnAbe1c9AXOIm +6MzPniEe4h6Fh7grgYe4fVlMTq81CnyZ0rxqev4w7fwqWFoaCbGCIsABJA3y +WZ7eBarjTk7vypOUzmaFK5no+HZ1ji9W4CTzAAQbjvwSGfgV1LrO7X2Gta5P +A/a1Lsd82xmd2+52/Kp3BOAb2OkdnkA7DI10bpjtdYCzC1MBh4yivS1I425K +GpdM3rMk2gu275ZnlOBUNf8URowgbAZC1/xat1NQ7nZootxd38ndK3rnq67u +gaUMkr3m57ruygru5mTvTV1dMkK3566oORSxukJE2CIkHuvoucCR7k2h1MKm +HAOGP1OsiCgFMWtdjgK3wc1moA0RH6I5O78tLBviZW7FwfDcg7Z0vTY24q61 +T40pvQvEoc1Y7/ZFLL0bmNtFpR7iFtyfMfZ7nI39iuPrnhHPjSK/fZIotGAz +A66KaSH7QV8RIUI+4fa+XpB2sDm4ss7BZWSDtKy2nYH5Nzr+67wXwH5B6MHR +gCj0UFb4+Erp37W13rWjzKkLYGu4AMaC90vK9yV/n3l7isOB6G0sF7bi7Hsg +BoHNb6Pm5/JdxAvBjQ03tCE1ERna4GfBZtEMXWT/3qvt3+bO/vVHS0turvl5 +1R+ppnljUoRn/QZbG4KlD7c2NE7Ym2wKwsDexsYJrmdyDiPqEZMm+YcDfGMF +yBDDdlUhYJt9cOhgyT4INhgDwIBF6zgLS3BgnlDu4RnGBd+HZggV4IaZg31w +vniT88wBfQZr3aPUdsau+ReMCf7mqK2d1r2CU2bQpRi9MX7cY/FNQr/msIKZ +ZaQ/mIMJSm8wIgeH0WSu5z7HESwovAGY5hY+gC5nejmFfdc3B9CG+RHYPzAf +3I2APNPRq23tIZQcg5/jJpR9KM0x+AEuXQQdxfLhNOZwmpWweBOzyfE43NVK +46agNQmLVxBndXmAOCthwKFOYWxQ4RLkrKxPNFS2j6DatV/wmPJl8HmzgPCo +6wytoqZbscubKLxNeDULrd5+BVZvP8/qbeV/2KftXi11HSpdcOlU/p0TcIIr +IXB4iD6Do24eIXCaBV/3OvNQF9q93uOWG9HAx63R72WtVQNOUSECKpqcYtmJ +fgHu5tTycrAr5+1n0GKmV37TLq+gawqzDt+60ptSvIOZ1RgpXjiIAjwNtRE+ +QAywcBplF36clNw6Ect8Mq5fTsnfbD+Zsb+veNkGSfcS5EGDze4LUr13mJ/b +2dVNZRo0yCwEOiSUbseE0iU3FwtsEGlgjC/keEuQ4QWgg0szYIeN3NxY6nZj +qQv/cLL5X3zU/SSreZsac1h5eZ981bCeGHdg3Wt+c6N8jTQA7Yu6149BWO37 +081eIpi0b9lq39UjN4fEw12bmd/KkYUrVOMcTZhgwqco2VvvZG8YfFDTc37o +IQBDJCSvBkJI6Hd+wuIdxyi0pyTooKZKYS9j6AJiDPlydyPlqvSw4AcM+Tb+ +jW+ZPTHgAE4K1EiSAV9vE4PCDfRgyOHeYA9DwPF+u+2GhCvyq0pdsj2w7hFM +xMWDGFz3aBbU2/R4aHOuuEU1N6I+lNVknEwm1ap5m1vN29fXvGpOrqxNj6xk +bQ8sfzSI2tXuhxO9ZQtDK2P0LQJAlO3OspW4jziJW7YS14s33O/rW+Xpsr4t +W0937ztxOmPkUsj3Wk93WUYa94XKpTfGAqOnWxRvSOV8Vcb3oApDy1G0oQL0 +wetRF+hbfa24bK+ONCzDR0OIzUFxBHrT4uWO3xhyvVRuozkMiTOM4SmMm/HI +/6DjkHxxlwvwTP6o+4n5x32OpsFk0bZ7sLblXTgcTB7ak/wB8/H/05iNbaEN +Tik4meBEgpMIIlfAKV9gTp85RtNO5RHLlzhW9aCKMFyHsMV1MMMbf1jXe5UC +r1drR5AFM7O3La9JrOpvXnbXH6rUwMVo0gI1rQYv6oemIU2ILSgUTe3ebUa5 +hbI+tUC6ThltLp306ZU6wdyiD51iLUJlq4tr7N+WlbJ92RXYJsYFNjrRmgUR +XjWLbM+0CTWeaeOnybmWuXerGXKmZaRuy1rdZnSuNQ/NXH8Jo5U92STH9WcJ +NZDM5ZU4+Kqv8/Dn/vtVnUP/Uo6LMg3NE0vy+PlOI8onFNDPFlNgKxiLqyR0 +w4rb/sOCYG8B9UwTHvRr06kB3QHDVnIiCemMQ1Y2zMsiVxiMd6lRZBth6KOH +ehYQ1QxpvhJfoAAvCVypsI2Nogu+wB3tCdxFEltodxtbuanIghO379F4mxa3 +VFNrFWtbnVTwHNyyhjOAwN38LPPvt8KWxe1SF1UQdcsmbkuQtvmy7vBzEgpc +OHg/6nGiCN3adO7aVuf+MATO5F75yit75itF717VgzTv1d2N7u0OqrcEojcb +VtHu/emWCkiIxJLGz/fG5bfVrHc9QpqHgZCkb6LxxoL3q5eJD7Qccw2u6aZZ +wHDFLCkQuwtxetRRzyjVG8yPMu3MJuhY6J4bCd1ORuhujoQzejrcjpK8jTup +DIPwgmgAznm6x2NCTqhm1jaZdY6DYkp+YYYvcvsmRW6QXfBEbqrnXHN7rRjh +UDB7FCLN4CooKjS7+Tdhm5UV2bepKresVW7xjVBccy67RIPke8sW8lD2qs54 +GfiWRykYwig7zwMTDbuxtfuOt6H8Tjmi/4Lk3cPSf9nWzWgNo1mq3pb9on6b +Pxc3grIMw4KSdAHoQXfbDktBHoQbdIbrtZ0a9tqOXWE1r50dPTgRlttNNO+X ++Q3M0YRVJQzKIeWXbhiwUJ5jwu8rmzbkEzvPyN8AP7f9BCxCww1C2YVR5oa4 +lTpsHS43R/ogPK4/6naS0bvHsN7dx+ld2EE+xujd0/vn31/Ux5ypPcgPMIff +jw90xgMMY7sMMJPMAtQL3p63Vj53fisb2f0PPyY9wnmFEVwfGMZxXemrnYBZ +BQA2sNad63u4++GUmz/I/neFVNy54eCA1BtAGmaf5FVptX/bIwA0yHRbcUYh +oXPd9k4JTik4IOy70+3w93HqiGydNY0o0JxxWX+nZzRTURdEsNjCLSsLt0Ia +SyoJA61/y101Re1tHiLLROuWvZVjW8+dnMWQmin4a2/6Gjc80WrQuPZEE36j +PdHq6ESTb/fn6bXKg9bs6Gd2qbvWIorsEtoXnqoQXeP07W6OZI55XU/fnhvp +27300TVyCY34FG6+McIhUVyze2+a6huU1iITV0V1rYnLX9xeWe2AtLa9wWpb +H6n48IAPbVzBLrMzX9zSeoVO1k6KaTRgDKM7tEzxtKLzPqwGi++LIwqeaavj +CddW0rRqf+3ifHGHgLyLuLELKJawyXnKrT2borebnyXMhcyDLiwVNdv1tEzS +t0bPkprtdrL5NaNlSyBkzeUF72Qf9fwX1iOa5t+2dLp2MGTGjK69ohfp26E9 +QeOWQOSaf+tKkbjW1i3bSMOq67tzkneDCPQA2LMfbws07kjdbEtkGay+7VwC +gZudSksYOrr7mD9/gRGGVIlNvFyRtq8xzSzwcUHafijzFhzVxZEkTMQRvewN +Xngby6+FjyOwgahleNMYWTtsQVt705xqfkjWQimks5G1WyKpDApqsOIGabgw +qgAITBgH3REJZUezexLGFCSSey4utvVUi23u5S/2bd2G8a3mgrhNuSF8RURX +QwStLI7jTpa1NjVXVBfatoWltBjZ/ppFtifBDH3DekbJBdNqFLFEKCvrgeMs +6iqDqbEtYty3ezEF7g1X3aychX8ASsNfidLAehYwvihoy1bQErCsWTTr5ju4 +GFJ4r3JIITJxbUgBlKzRsy19uo8VtNbELYGaze5Zs4pawGqAuvOBysM9NFVP +Ozu9iaRLH2cECxZay6J/u68fhLtqV9KyNyID0wHKRjOkV+poL2y1kJgMG8/O +J9dPz6e0n4yFDnBDiMdwH94KmMHtMDT/cOOLCEhmDmDSsYfmn21ndOwOu/k6 +9gyjYy/ugwcmfNz/aA63VQ91ttlbySPAybN45nro2S5a0Ao9WxjNec38vMC1 +szGMgrlJZW7Pny/MhQ3yoxm6+0/kIG7Fp4vkEIyGtevpf7eshV1VIEpAY9qr +3c5CduOcLdVhY8YCAcYuCSpmoX6VjO11fsaW1iXgHJh6q/mm8nzaFGBsCnm1 +96JXa/tl6NWOMX9N3GlVHEHY2o8gVNOvkrKqUzEEfH7yYWPWr6XTrKwHKCiI +kPpARzULJ9t4r2lbjg+1WnRs6lDDJyjz/zIhdtGPImRlXbnNbOoK6DNOyGoK +Q7jgnuoauC9zO8lDj1EneEMVMtSmrVqKJCxRVm1YIlCHVJLBwI9LEJ661BxK +wl8IKGSeTXuag/DC45FHHzuM2mYC341lrHw8k4y9z8jYB2wH9r38afiAZjK4 +2LOYP+hA+YM3bNr2NRxYcxL2mcCadSlb4ilIm+z2oEnmy9f3hSBWPzQxHmFT +tUQNKzv12gVhuZucZz5KRL0u3dSNRSzdgmhhlKYVJxY0K9fGJEz7kTk4yX89 +KUPhWgLNan5XUK2AeETMY6/j8oPaN8GYbeYE7MX98pVDeucrL+ttfn8jZUug +ZUG6OrcWpOtVPbKrVDI3SOeKVesxy7biZG5XlrFbVgM02GiCN2YhQxZBBW2V +6Nigfgb7Rbp65kVwlYbV9iy8AkLdDLCWEL1dyARebc0K3lIyCPcxdfcmo1+v +Mj+X8iLxaZ5+3Tg/eG5Xdkm2zvdr+BPfLrty9mAfhIvpmK3QdbFSNucUBRU7 +O4jYupsj0q7TwnGJoirZL9CuSZiYiNfn10C8qp21FkGfzEOuF5gXfbwJ4mZe +8IxniFX4jI78ZpozJtMT5l+1zdg53tE/J3H0Vxay5t+eAC5kuEnhiAs6irAb +lcyckNWvde4OIDJ7HSYS0M8gLGWJZihAxK4dJG0pbbtPClFJF0MJboZsVBXO +whe+iE0BxwrIuxJE8AiWZ1cJIYRshQpm7FAOIAxHiDuVyTBcC5YI5NkGwFMf +ZdmAVgl3CEDa36ifkk9rLyWyJzh4cCdaGe91uCr/sPMlBBLb6tT8497H5Z8M ++KcRr/ta8foViNdjt86/+3f//IdL+uAjFnyt/3jvJvnKRzrhpg4cQxg4mLwh +0nPfN5/KuB6xsEU+3YjXiQwNg2PlwQVrY9gAYk3XMrvlQmQlrJufYMTr0XN/ +R+ZrIwQNuvrswgZiF7pxcwfw3tkCvA/zjFcPDjbnlIDh4j6XiwIGjucSMg3D +wfNwFg2PoxLarmXN+IZT5M274P2/MhTXaNaWwSkV5QsEjaCM1zQaQc6usj67 +OGXQXNUDvAxt2Z1hzfwPcPoI52elugAWRlkDvxz7hntYohG1ZhygnaYDtJ56 +7VOsXmc59WrJCS85csJ2ATDmTxI00JsSzR1FTAEUsDdgji8VNGhlxauqkTmM +GBVkScCa/0/1iLqKpmv0a0ZTkoc5eoLo1xG8pe7p10/TVuywxMBahZiBztIK +PdfSEo5icq6QEng44vLdaUAYwvw3/M2t2oxS844SLaB5R7ZfN2P7FWIFOBAs +ZNzxTEQg3TqnULfqOIGzXV0r7LqAfMBDv1qzdojysSUEfdXpCEG47MuSVRut +LZzRavtfp4jRCiK2+8ngr4Js7XEi/6DXChK25wkl2OcpgWo1/xEf9z7WaNf6 +NUjP1uXfD+qX/zC4D874AMHRCFkQsUbKlrUrC792Zc/synyV0bOUtu3htCwl +D1jLImAhih78ZLRsOnbAYAXRssqS/Rm0rFiyepmYowY/FkQNvn2WNSx4sahh +/+gyta/R5PBndmjNaNipv7HwMNCw0EjGFYmFzbGVPB3BuS3y1xbGE0V3cmXs +6gXkkvj6tZ3Rr13wlQ/QCJidxUvGjxRAA3knD4lAFTHY2wQXhGaGwAExF8ns +8yPtSiiEWLsOCEBgA1Ig3DfdEkQ8FpRKmz2hq2Fp4yJqCL+kGsJGvZZ1ZDaj +REELzcSNltLK+syPIJF05pdVYWKa+Z96MxKhhLq1bLOy9tUNtOs41RHT2pVa +YglYbjlBRkjZFWX/sAfjgtHpcOqjA1u2AVqZEd7NylXsUnh6taz1akaLEWX3 +DmcvgLLG54ABe8eybLQzXbWZcesndCHcxNViJVq9iwEyaKkUwWWVUwSHpfaD +z3IJAu262okInU/jN7wLQ9QOzkKsQBou0A+wdsz5NEDs6OTA890WoekBF8fk +jtPyN+sn4TsdtcAeptQAQL/qr8k/6DwE38qQdtDreCNWDzNidT8Uq8tBrB60 +fb4CxOqZPHs2vFu+2pxfP97fJf/hsY7m27k9fi/j1IM5V2Ch5t05nIw138Iw +c/YKv+E8soAqp7dzKvYKBG23xfHFE+etnx85dwNzdvzRCNUuHmAQ3m/2bXCA +wT2jKTOdEPCTsNZdnXOqclddOqAHpwPCAUYfOnhlMF2m4dtF27149qAyvQPO +CeeqZjTeW9YqNSN8bVmfRxllA+qCeNMjOh6wdVPjAWKvlrW9SsknMljLes0h +E6nqr9jQvlnZPhiVUxH/fhJ+QomKJxXXvF6bmpWI4CLHFWhXp0/zvq/oXZs6 +DTTMB45VZO+yMA0dxSULhm2ysk3B2s1z82vydf1X+bqWEws7rRX2baj0dbYj +Guo6q54CtqRbPQdcQLq95WPzBf1JrFAFyxIEYb2dh0RQQCqo9pBhtgGyvDgA +iy2v/Vid7mHU6W6+OvWecjRim6P4wDGAKd/xnYla64IBExS/IHRUH1IbDfco +Ou2IIOSqWQWxKv2gqLXlbFQ9vEA2qtWkZ3lVraVbkn9ahCWANGvspKIgBZ8V +bdTjnSgtwXuV+aeiTWunGdQ5fXoBvmqVwB0wGoGkqpOrP1yGvquRqihbr+iV +tfVDsmFQNpCqNiVLMhXSBDd3zU7GNctIqurkwN0iUzexyYHVkozV42hWpqrI +gLZaWaIC71bXvoh0QBIVowIgUZlw8N4cAqcvQppXS2uxTlSF4seZ4iWF4mtY +np7P8vQ4c70cCQ94jZsiMB2qXfs1bpfvY64WerjbA68V9D7MlUK+x1G20iW8 +2t42FuBYtfQoJ5PvQ9XU+7XmRxO61EaDN+nuGxc2JWalqb0C6PhvlnJVM4IW +1IX9BxKmLX0+V0VuQVltlTG2hlIBzXUeTL+jBemApitT1+PSWTAwU7nMZem1 +cNqLqdpYjo77HYTkKMd92W44lO1JH2QCylGpl10IN+aLipSGfF2qdc97PohT +rXfZhR9KtUIWwBW6rI2qKDclMFCz2yuoUWehAu7c1n8vVxmAwRXs0wD4GDIK +wh1M4BOcpyvBCev0alCif/sS68CUJiMrQzMJAHgDCbIJnWeSbYrsrRewrwWX +AIzxvlt/Xf5+x8vx4EYOQc8T8k/6H55/ti2o0L8bFfo3UqHHGRV6Nmwu9DJf +21vhsfTjAxvnPzzRAcGBEKj/DPtZ62Oy6C3zRdtovmin88YCwP4AfDJ6Ab3A +3MBoWeANgAI9ySjQY+f9HofFYPqbFCh8wQ5MwP1AgTJKdo4DnugW6PaRTXqa +N/Wi1Wc8HqaHc6/k0PxV1iIlGIp88TJXYKqeA5cv3ztQbY5EqIC3hRg+69vd +MMIKtEgE6/Vw2NZqPXeyPOmkqCkeQbaq6hzrQFruNCKuQJ1GyFqUihijEyaz +9PRy9yWWnszScomlqtJTjqRxcbV0LFdL8Ut5TvGsDPujGZxLaI7GMwtFE4uh +BG2m0kqWIsgG6UnevCJMjqX7WNAwDabFrfwMUFtafiZ6WFFO9XwiocjjvmRU +nTm6wj7s42OMQmoVSU9HOJGp77cxEQ99KwIKQCZVP+ZLHhUQsVp2Ehp2vgUI +cA7VDiK4DCpAA95T+VOa/4ol52IjOUluBpIzyJyqjS+yQbO1aOcgdEG7nYou +6EfwI3KzRyw360Rq0k/v40pWccI/HG3+6HzS96gm2qJlKzu/O6+/+Y/8flBf +88fth4uM3ry4D75P4c/gPuZ/ZxRoCd3SlixA2SuVFCv4pOSV0tP/OR6U68fh +3StDCQLtuVo/+atmFj73j1bQWfvU34HaWN7uAtujz7V3T/wqpkq4ASJsSUR1 +6TTilMO2GATGoHUFgz0NC1tgNPV1pmm9yKuVUva9lSE2l7HmhKbVsaA5G+Ht +jXAC8O4Gl8k/Gv7KWwl7oY0BITGKoh5h21VEh/Wf8q3enCmccac3+6X0proQ +vPhpChuQosH6jSo68uvUka8SqGVVt30hoxf8ulBvFpISCznh7oQvoafQLEVK +zGoRnXX6hCdIrKc7y1Z32qJtpDu3F92Zegn783N6TDcxJOYM0tRjfihCmzuy +zAOqmEBSFBADwlYkc9Qc+VnrCDaj1Kj2Hwg8U9adhYwe9I0K9UZ3QjXq4xf3 +11O6QSI1VKOpER7dadBzlHY2N1hkt7aFKNHdQIlKZ9c9qo3GTsMHiBuHB3x4 +THt1EyAHTMcHNOjjUovqQX68vzF/v8OVeK5jg6rHifkn/Y7IP9tmf6NCdycV +erBRoccPzL87px8RX2/siofSKnMAff+Ue7T/5HWiX73XsLY5OFrnsxa0wkNj +7CJ6sH8A31AoAzSMyQBnm8PiJF72OgKbUg4vvb/FS4dL2+J/uhy7HlkREgC8 +qfSbU/RIr5pRWn3OVM0oVp99PfVZebUL1OcA1/7nF/q1EmvcDkVNlc5guSC9 +W6vl5+s1yE8kX+kB2xdcwAj/MSBcoe9Z9hVoEJAPlOfrvvIse8pzalJ5Nk8p +T3suwfe6Shmp1xo5oEiFNgumvcEFlYf6lsGyy7z8L8/O00JUfxoD26+F0E5E +gOqxr4z6Us3M4fR2yAPI6MGmue+BusIUzXofSkdQUn9+6uYNqurPb3HSQIdL +YYlQ3lnwjYW/auEcgYf5c4/iUKnqRl2+5zc4rALaE0ZVYMIWoFZCH5HzAwa7 +sA+1BQdJwfLsIB1/CpFSv/8FBa9yuhMGuSA8io/wdoaArM531QO8PzvAmtM+ +vvsWJ7y6L5Z5gU3P9eYFZFPW/HXz+vqB3JSuvic3wdN0BSf4n3odj8LzOHxy +p59jxOUEpsrHfeHnKPNvN+ITJeiRa/wy/905wBjsh5xB4LGAGDWS9KK+5t+n +JClI0cF9UI+aP3GcQwU/VD3f9yxRo8qIUdCh+umevdAkLcCCYbtGT/auQaWI +WPxUv/oBj4SFkVOpTQECCypTkf+JGnQj2vka//v8s0msQd/cgEDh6ml+kdGg +kP2avai5G41c5IDh9/Cz2nDWn+dZ/blhfkQjwBO3wMICmBlQVti7YSfOe5Hf +uVMD+Z1QToif4uHKCFcJzDUxI9aeLkLqtKdffQoDWvror3mLi875FinOi2rz +D1TuwsTwTaspjQE3VK5chWCQgBOkTnOWxeh8RYxOqLrKNEFZT9SOBYUZdfnL +1lAoS+01yavC3lPZe8OSwqt7dSc86yNvuWlaAnY31/RCXXnC8muTuFVU3r+v +YJOLjnh/1TzMYim+YQGQ9YBwh0sv2pzL+dCz0gYndQxWUDaUi/pWUu7vntkj +SQl2BNdkH+3/AT+xU6FpfGfY1noT86CwTg7HPg0K3Jq/V38V5vzBCoAzFr7N +P9vmgPzzvyg5ecLA/PvzGDx18xY4qrLKnB1wVkAJ//MJBJz6YGbb/O25rfNG +GI01356w4/cs/t0H0kcbjOPAdvUl8wieerKRksfM/V3+TzAzMffZncnN2yBc +ymU+9+Cn9P14FOCQCGe3XcEzuoVJzVZZz5mD/IJ9ICN1zrNfcvz1Fw0AZASR +KscyEoOffKa88ag6Vx5TIKkUKoRjnpYX4k4ZdDNfLHw6Lxc8qaicZ4WevRGU +ZXvWlG3FvjYJ2Q8lZHTSlMMx7CYE0/XnKwjF+JB5KzvJrsLuGq3Cvpv/ncFR +u7tKpXpGgbNlMW1fOyhIvs9IUor72gSPOkY0NYqVYtioP2iwG7+SR3L58sSv +TkWJgq/Nc4761h0LrBLlK/Oanb/Ob/zrclKJfyKVSNjTxN7Ixg1Ignq9fqpR +iK+b42E814xexA1qpxAf5MnWezmmSY/hUC2iiCY9hL/nPYRf4drwBeowciPD +QGa2tpWGSxNOJMlCKw2tD1l2olB8yMyZkKgHtQz8pB/+gDTsd0S1R/E6+Oet +tSfZzAjCswaAADyvn/mH8/uZ3wLV4YWoDtmsrAN9SMqQ1CGKQ/PLwXs51pSy +C0QeSucen8xXOo6q/1SekIdeojOShn4jiaRhZwRH/fRwJxwGCGFR34k9yS36 +r/BZnOZfP9eyEJjaLAvhOVwaRwCDmr6ImSyL6Cn8UcVkuY652iAJT8E6wIb5 +4Y0wm7ilTWju00hP4MRe2Rf5gnANSLPIf/4+g9+vzrVyEKH90iiSdyqbkEo1 +4UeG71E1g518Kej5kO6gbpaCWWdODQaAJzyc/yOKEA3J18qJz30sxdt4U/js +bQ7pckIIlhBgXUB2ctOFmXttKldoxgv0rw4+8y3O1GFRItaTfOPLU1NWDgHX +br9qZ/7gh9Nch58wos8jh++Yq1TXjLR+5PNdwpvWn7yXNw/lqXyvwqfym1WE +X9dQP3GvVDdpauBnMdcfJeTydFdeJzZVT/4IfsEKRxFPlHpROIoYduP3V3cF +vI2bu0Lk40iWj3BXPNbvffsuDk7CpI4z8imYzhyLe1QQjoKYPpz58PUP5zdk +5JFduvWBRjrukS/f00jHQ4x0PBG+lan7/uNt5gwa3Tlf+Xg9vYW/8nuM0YAL ++c6cNvl85jZN4Dbiozz+AU8W1zCvCWTjiXPXQ9l4+NwOOKt6kJGNsinlUty7 +uXG7Oftz+vLQoNt+vJ141r32nrgdpd++/eEPAsVdFrBHuc8+TT4vry9IXN4W +vHk3U7QNe85kmqavEuCFNH3K27RQZ09I4WDfsRTDOEQrPu+YTASZq3OWI9mO +znVEyVi2rmMqZem+R8vpV25GzIVq0bWAuNWIZxDOp9JPVu3b1D+DIHvjIzr0 +dF7ZVoHs+4d3AHl99pbWbKRa0NtOQ1KtkT5Xj7PPILsLXvm+0G9kyD6/eWCB +MWy0qweO/a9nfJwcHSGUSecsuQUkR4Q1GrkBBCR9CXBL+wea6/hFaY6EG1g+ +3mXkI+LeMCrDoW3BveFiM2AyZiAlX/KU0FAHXEaDOSZAOs5Dc1Gk410WFkrt +nhu9x+z364cpkJLIxoLcJMhGMBQ3Y9kY5yXVA/apjLQ3YjEr6+pO5hXOe0lK +8vjk03Xfo81fCPg8RtFIwhE1YxmSQOaf9T8M1WN7qx5bWcVYQ1/d6Mhv/z0A +w0PfndMPZOO5/VBUgp48n93GC1BPGlXZBlQluY3yc7F9BMcg5spLe5PpOMQo +yct6oa6kIObJnqpclVCVP+reu+0J+YajffiWnjvjSFdjx50VJfSCHg6MRh20 +fF6ryY3yL15hk3Ei9dixwz59Pctggkdu4C/NAoMBySh0U0CoUhJT1yslebJR +kkcZJXlYYydOSvVjztLf/j/SrjPMqirZnu+ee7ubZHZ0lG6yYkBiR5qcc85J +MohI1hGQKKISFHNGQEUJKpKD5NR0JhkAQQWU1I1jmver3q6qnc6554LjvO87 +90HDjE732bVXrbVqFTmkMGOJiMXcXlLUHugTtc18D+YqofspCEWmSK0p1UKR +Rsx+I8ZqUz+haDf+nwR7l/5Olh4l6Hly8BWEDOmhdPd6gtGe2FalUAxakbdf +u/4pTikVOZaJMqzFbL0GUNRwV5dw7Z4MohcLFb34KdZsHRWqEklCHgn7eKCE +HdY6UWuWsEPKSvktWykjSsAO2P0UtpxLxAd44/FxwpPiQV/50Vlg1fWzUfCw +q1p1qoVr/1BPkTY2dbeSS3r7JtBVDP7QsdfYpiL3B5Jg3dNLI8xubTGLjRga +Io2A98AKJVTfdxi2VRb1v/w+2gWIHnpc3XSk7NssUosaTrH2ov7+WGuQgIU9 +BCxsJ2BhM7jSW8DCRwQsnFybHTc4aPgBitOJ5LZGh/UPe2+lQ380vzTkSGEa +B/xWisO+WKoIOEU+XcbVj9LRR6gimKxgFarWkWI7rf1vudH737QYTVGdKpLe +jjmyMoMlHKyp4aA9KT7XcrnMAzuwAuFgio899InQPvZQQcH464vQFHVkt6F/ +xQZp4UE1I27NCUowiG1pJNqUvXNToA7tapnCV1bcWGXFZf6w9nX5Qx+HSPyh +YwV1buJtytfBhfYszjo5ixOUP+yaeUHVjToh35LRBA0DbSho0YlUS5y+Znsy +t5pURTq8G71vSeVYdI7KoFcwMGAonAKNuCwovyPpzRON0KC8jigwqDk+rTV3 +keFFrXlzMab+YtAEze1JtzQGFn1WQ6WkFZK3cUf5Q7AncT95VdDXiKm/nB2/ +mkZpDPx714J/L1teRmYNT8jFRycl9CM9WY7M8Np6tcrTyxaetmHffYoptPbT +l7CIQk0SesIxf6g5zKGZbfGT/MGjH5OGTMFuUkB2SUEOS8Kwv3wI+fVDLjGl +Lw0mnk3tg1yi+BRfS+2tEeF/5XRENPhYmvjv+WVcioMMY4pAhuKZSI/48qRk +5BQfT2ZsSE+dEAHEiBKl4VeCiEQ7OiFFOuL8uJ4pV8FI4muWP9LhiM8hRpDG +TCR/HpJiGxXTaGUg/WmL0BITembF1erRFdIA+WmSNkDibDh6lHjoho2PGDv/ +457badiGTY8cNX/0cEnIE3gQ3fMqbAQ3myw9UopWhi4Q14TCgiMFFsSE5z75 +FUloQpNj57wGdDWgwESMYl4Pj8DszSkaJ8o+s4k1FZt4SOUTKRyIoSD2PiRV +4l+/BpMYOEATxCRGLxCJEpUdLwxcD95IZWvPvXxiLoB2/6J9iDyNQYxiEAZU +C+8tv5CjBmn0bjxlXSfnepTI7OEPnei5Sf+OpGAjo1KaiTr8ypqblCGbH36j +spadmPuSaMAGeUKtOytt6DuHnEScsena8UQOL0qa49GcuwRkE3ltjBeil97P +vGKRhcGRzJ4sO982abQvjhvqzbJTQzQqy04N0CASfFnajvg6OEmZQ2h133hf +AWyvlEWboXF8G0UjHJohbTlxLoVkYkeOtRWXdhIKbC9QYN96cHVUqqhNtTia +QrSRvy8vT94THJI5J4lBPOb5ou1DPXmL9JLg/Nxr4njPsxAgasmDaVsExure +Z2J1fbFkbTQhGGslmtkWUVuOYSv0Vz3ncd8SeTVLJ0ewoyyItnb8YsACotcC +AoLcKPS3mCZgdKlwmAUM+wOCojMtEfzhck03amlw0KYJqUrEBZkQlU2FRWRX +FxQ3gAz0QT9jTnH1Us2It3L4AF9Q5XADdAdTIMjsLP4nWcvTHLVJ06wZkhmW +tiklmulzdXKlYvk4ufK4KLx+tbiNUYsdzmHv6dmn2cFD80lbs6b5JL7ToZXS +v4yH/llrgaY46N2nF0EPie36KoXYpvcelT7CoTJjXYb7IONPo9OYgtuCZ1cU +rnu/Lqff0uYyDKG8n6fg0De4q9xBOtAY4MNLL7/QmK7AwnToFTxeVo1Fv2AF +9cyFb5PmaCpPZ6ETlWdWs5M30CzzMavYoyk8mcLziGbxDJZzZPDOjxS8I1k7 +tAPWktCNnxDBNwHikpXm2581XwJwhN9s2IZpvuIRf+VcWi8i9sr+vVlphHKP +psHVMakhZPjEhfEL8nzjUhnVMbJDpDeRkN7EZAR39GhFOWwrygjt2G44xD/9 +EjCBXdO4DefU1DLybwFOw99tYCcDLv/Q7kIk+6JBHQVZyn1DSjZWe+T/bUnG +FFgpAd1PGtDdBmcI0PFueJSKC0WvnyOD1TfLQJ8Pj/C09EIJ5ibJII5+Asxh +CAc7BjOoz0fZh0m9btAsr7eUhgdDhqjsWNVNWLok9AjIqZB01btzuluqXhnn +D+lRUk0UiOMsjFKyIP8lNdiJ1mLWGjrPN5kSpcAY9LZVITg/enP1UIrrVYH/ +C+C2Rc2fiBrseoefc+3hZz1uWFePG8aUYTw9d8TbcwfrwKr7dnj40OMdxIL9 +sUxrW26ihq3ENsf4CUN6XlqbCR3XOAkXiv78lJFpotcAmX1xrwcNS0eHuXkV +YO/uOJZyzJQ0x2awI8gzu3itHRsjzY4Nnc/T20xE695e0noE5ugOOEP+cZR2 +1j6Iam8eSToo52DNx5C2o4lvsNJbaTqcvm88Nc3nRH38qWF7BnL96tFmyl+n +14TfRT/427v3wK8ryhOvj7sVmMq7gUIRDh3lDZRr5PZJ7NHmyxTziaI/e6Tw +drlxsiKll8fM5srtbFF4rOjW19vScavkI1bg4zhpDJ7km2K2AVxAyOOBaOrO +q+Lq6WVVFkIUnBP2e/7Q7vc+wjVvAi1zdq49uuyo1Jw0r4xrrY+8VrijIe78 +Uq6rI75ci7BLsQdHYkq4TnRazm67/asjR9rMCEnk+nAuqBHUqeT2Ckmvnpu5 +Ls+Xp+DN+rJSFJQD0NqtI0vFICoVaoNkq+XeUMfWy+z1kcoBaELJDWd3mnGd +JypHuf/OeudELEzXTWM6K3z8X+zsQMnWjsV5bLicCxlgzjMuPJ/VhjO2FJ7D +cHGcJcNNtKvUVq77DsPWSpKjS9pLu3AwjBENwLzbUWG592nemF19r8qZj4Uy +2uZZgeOeoYXkHLQYjeNYjvVxcvcxJ+edI2bnnjU/zLMccZqL+xGfGOpr7YHi +L56t7VFfmYFLYQHWx8C5okj1cRHBEYATv0rv+bdQnCHkih9JF2+QqHXi4zHx +8cuYVARsBOZS1BNCRCf+i6SOC//2arnxyNMpLddP1CFJN7U2DjZrOFdL6biS +p+vpnWP267e2dvtCNcsNiE5AObCsNFt0AfpgnF+rxXlB1GlpKFlmjl8kjdaC +cOj2y+YhZBwAKaDQG67zX8g8NRw8fkHDN95U0VfU+V7595DhG2WajjTs0ZYG +jZvToEc/kmXY1feIdOeM044+duMY6GaGO6Sbz+LfzJpGxb/5NdgPrCZ6DzfR +OreMmmhvaJkPtu3ywbYgFdYfrYgzxcjGefaa+ab3fK2z401YtOpv2K6/uqO+ +NqRz/AvOFLgzDbYf0tVVkM7xbnCM+MtxwzWFrm61cffZpyZqV+0+Q2R31HHt +BWiy+Y43Fr+Pj1sJvMe1vQ/n+/xJ4m0MV4eKS7y9Fse/VsJhj988y+On9FtV +0f3ozqbr/P4+7Nyjp467zbHyb2YWccWXXu9e9ljIJAvZSdFW03TDjWlHJ1P0 +NKFouG4CO/v5mqITqA5vgpQT8GmNr2A9CrUV82B3uT1wMHETpU0cTnyPFJgT +FWeLiv04rb89m9IHfmrQAS52aA5X+tejnTU0Pfzy/fDr+5Xhl0+T4NLGf4pT +fzulJx7PK01RA7sos4bHu96S7osZ0qc3suB2GFhQFnrjpgDtvsiUjVpLgeTa +6i0B7M+zxVjlzYtGcjX0rpknoYaOGJghR71sEfY5a9zL0HAp154C9guwvvTD +sFmMuNfCcWHLwEEmDorojqbfVjH9FnsG2Du9EWDtoMe4hHUijT0UrMqLLw0x +SJl1/yugF1VowjLEwGwkkGnevpITbSO+vhDgaSrttNfMdSQJ5F9bubVgYMQe +BIEWK+zYmiF2cqLZS+CBgd8aGGinJnqoPVEoXpdpiWqWLAoCXrAgIDv3ek4t +5sEP5dqz5FpF6Wmptgdz8zj/9Qzx8hL+1ftBzn2dMIus7i2gXTIYPcOLrLZK +d95nFGzlhX6vy3FfNczBFJ5x4023BjgU7AuQYqX7zg/5vvdExvj0V1zzYjCf +nU7ondtl8s7SXp2Q8d3FKdxnPUTfhRH8hRD8IQLsIX6V0R1hYNm/T+YVj0gX +UDBNgMBRaeKvXn00lfpf8eDvEBgS1ffLWIKB41IUzYcU3wQbGqKG6xIqHGwc +fobjMxMjCg1Oq8WI0D9KbMUq6hkRS7H9XccoyrkQNROCS8UtJEizIHKBuEKC +v61gMo/nP6Rbz4cCaeZD3Ak0BozzHuJewE5/57ESsP5YSfhEjv7izrLZhABv +gWGF/6Cxv57597MSS+O+LXnUV9wHKM1gV89uvJEkx2D9r5k9URJ3Cv2phO3n +pNnGHut91arrbwUor4HuO4eXK0RrJt56vcZqtKk2r4tRm615XtNyX1txNZyd +zz9tZuwisQBeBKutySqMeAfrgoXVemvzfeqqG4uVc60gsOvN8X5l5nhdklJd +e4bXMQY75t0S7KUJRjtRffabp/3Ouk6vqsXbk72DF/44mOesdbbP+Bx10j3d +w46AeeL6SbXjh1z17FHAuAaKCmvD+1ow/hpjwt6SrTk6qNE5h9aZ7ZUOwr5y +kmJL/ICG6b6tMBe+u+dJkjnOJveD8w06MhgbkEmx1r/NqU5OuX9/UJHasQtb +mVr7Vhy6fHHo9h3l6BY8bG8f5eDAGTKyBZdb9y+4C/rkV5HOODxw9aFjflNa +BEiuuNwuUZqoWTWKi/8MCHsI6bQcRadNliBsugZgJgzwWX0wkw9aOmh0BLUv +Z/QtiBHBwqOzYf8mJ85fiYs1OetNYVlliLSY0dPuX+HPLMPbjm2RWPwZoapY +59hDwNfmwxy7ZXMDWHj7WF+XI3MDpmRNoN+xgEzpr3yZ0u2sUaroEdn2fnJM +BamoFgrJcfK+yg1MeizWjEGR2DnVzDIMtEZh8QSSgU3OMOD2PSK42xXT1r0F +zSxERKNMaFpTm5HyYGe5bApEMcLmGg8aovFWCt1jQZMNas8GiJkSCXlMaWp8 +dayZQ6BEE5XTHCYn2vdGuQzFmlQl9KPUS5laglrlAFcLlprv6iMdZ9F8F+Ke +Hi5CHsQ93UJwvm63/xX8DMsQAEiAn+KRaS7DoOJRiIUeTRMgW2Ohx+QzhjAR +i57jUm00ZETPkAFCEgYlyyEH14ZB7GBz+hidU2qcv9orqpW+6SHEbF3zAWpp +SdN83UrtU0SYGlpYbpFgovX1Qx8cecXRNRxQQP0ShxNwMQl6lfeISrzpWAlK +3cNFeosk8TWx8FYBe/4p2uEKNLLGI61NJOnVhcQMbH/JeJYzgiAPEV601PlJ +6TiZQU6T5INzPWRXiia7LI1yn9Eo0z3Jed7Fd2lRS5tjiZMBW5sl6Nkh40uI +0fLMGpAthDrRbTbioUKJDaeVYuKFPrvcgP4z5PcIi5LpmWEN2IHq0zHZS2Lm +x6LKqAnTy41uOfMM1RXBqhoOGCJj23CcPYtgW4iNF81Rs6yq6F7XlaZdxQGu +NM8C6KfsBdDXi9kLZrl8DJduYq3xBD2GNqsIuuvM1eIoIKXSDPRC1Ue842iK +2ZqiGtx2AkS1EiCq+SUNopamnyYPMo6gbb5XNLYVMNBkCy23wyb26/IL4GSl +p8g38mOdAXC+ficCUEUPCwA1sY4oB9XgT9Hp/PJJebi84W5msrJuhKMCPB04 +XJL2f+B4wTtkNWBjGe38KLgTBhSUl2MFNQMm0TtJLdK7oz1DAievkWyCeB4P +2ONhMVc6QdmrPxrzmOK0o0ATMVTv4ESpBZrSzTbkPUsJOVlT67ulaSz8VxCT +mRdwA8vCF6HA2hA40i75cN8sqadObPOqkgHFIsjgwBUjrEFW5Pr4KmKbzDZn +h6NMZhtUsyShlWcgCW1lETvOXSXXObzFI8Emvq06wI6z5iuO+lcl2/4FM0ug +gpTbBe/x0DOl0UazTnLmyMNGqTlSjbm8TBRiLjKXjeaxcsVC0SHtxtQzBiIv +aHaR8BaGWeJ2ODyg7Aot5PmgpAMUPsfC4xo6rBprJb4tY0ReMqxT0lwtNp6U +QwCMs/7lNYvZbNP9Nttku/0V04QO/x9rDnXiFcMkQNVg29lPaSAIsnigU/w8 +z6b0p3x3fvrCORruFBAL0VVvNwBiiXf3fEZ3hFjqQbzVFT+6iP8orivqUfbu +vzUJUDQ4Q/zbFQ9LF4hrOCIuCcAQW41Mg6uP0IPa5KhUHyPllSrHpNA+T49U +OUQOFpBUiUKlNVVgVEo9ShA1aSr5qFm+hGWfKvm7ilN+8QFe4UEclA+ALWEA +9qcAYJp7UgrkWp4SJfC1nbNGvt/PGfpf55eCAlHBDxzliVA0jS2xgNeEwtth +aCHqEFVoWxNGSymuCR3/WLXRPYLWX84OUU5/CbqorZ0j21nFMVnb2zzqorW1 +jXQCe2NbgCMsVjaIkgHWBrj6N/hc/WrdsG//UVBAiBurLfVhLDcWxsKvZUUM +rR/WtL58mNkPeyJBPUhLMfy2bcxmpFSRJaQVscj9hmsKqYkNS5Rl7SB2ruMY +C9uOMQRdxjRmB4di0Y33rCkOFBjJOqYz6KTzN+yfD+Xx0Klmr5LHPOYPF1U1 ++qxPVvzJExkSvWNJGYOLPSH3A60N9moDqNpej/uViLXqyYlyMzsUwZzWCLYu +WmDrOwoVRVPYVlHH95TbBYeSvqAoqONJL8OJ8jNFHR4naulAOF+vM1zs2AKK +BmWKklEb/ljwAPwpjvJV0TfhQPf3e2+leI9c0Rt9eYSXjL+nQdZNML7wNhhS +iCCLTV/d5JB2x/xmxE61xmMqF4mr+A47/ZHlwTHi2I6HmtQrPRGw7cZv8JIb +fLW5K5qRSr22HGh8n0Fy4F8ZxdSLGq+9kWIXb6QwxcDVQ5mKltrJcCoo1s3j +MTBlIaxFQGKi9S5y8S8e5dbnAhGJ5faK2Y4RwqIdOuFrlIpggloxWa43+U15 ++nVQ8BpKMJcsNRFb4h/TxNtwWTt9u3oDPJYb+1d0UJB3n2+790552a03z5gQ +ILTyqxOMp1edWkrqMKwWIawnOV4c7ft4OlHox1kctHkRo9WDJ7GfbsMnEpM4 +CF2lnYJVtb+hTMfN9+bD9opZsCdpj1xWs47mbtCuqVgsRlaLyJLP6Rpz4EQ5 +RFXTJaqaLNM0FKpS1vux0npvIapqIwWq8s9Nom4nvfYJFph6mJ/kh22HlpHq +tMU+TVnse7HFPiwdWjgqfi6jB0GqkOau8A8EqjpXtyuCKseCVvEErXB1uXk6 +/ZdQy/BbRQMzcO15CIqGpIu/iut8iofSg78bJv6geDjCsBEIvgQEQwqMhECS +A4kHcwl4hRUBRqke4uFRTteMckr+K9npERN1eVRAexcwqn+zvcofq34P0e5L +tTiNMjuk2vefN6uS0ocZHbiYAkfu//iIaS6l8GmUhaluO+6gmcvTB1jZOyL6 +5GxS9RLI14X58C9phPUPUcLLQ68CVvM65TUhdwcOWqGrA3M1sFxjvnsNWhIx +SY7Qo2gwyyh41O++4FPv/L4tlYbkU+60Ycv22qvAjDBYO3Bt3S64TfXpdlxJ +XbuSOjFNs0H9qG/eCQtlbHRFeyBM3TRaHtklPPUS1+GaHlVhKJ87y4emvNIe +fnwaa/nYdZMzXHv5g6NjMxANWTtxERGx4eqJKDt9B2m48iIi/+i7RUNZObx6 +7a1MQuouR+DtJCQbDQ0fY41KDeMxeGWtVfrdHFF35zW/BC81/hnervcjBaKt +qvk1GalQt9tffguZqI4mvknd6unKk+DHGkNE0ekKlzq2FJVCoKAptUSTU5VY +5KK1d5NIfuLgTVAgjhBOtqyRKRYv4Pxi4U0wViCgoWSUqgw9CqrTKgWkmNpT +k9IeWhI7bGdfD4aMnOE00cK2yLGWMepfmlqqLdfD4ohyctYzPkPUQmvCxWaP +/TqcP8NWTbv4V1J/6OgtCHu8WxBYTNfH0oleAstMsy+H4guTQ+FGKXE7N0a8 +84dGifOdzEhg3xMD25gQChLYI55Ysm1ZMogC93GJ4xmSx9OmlYPgjC/OJhLD +tcTCHNHHJsTmOju3uljmJe9cYtulatdWgDyHPPAbp5n71dKcpIgw8Hrez2al +62zjVccWA/ncwVKOw7YC92bh3gJMo0UpbnZbcYBacCuBwAWzI3C+BNMEMTNi +Z4X9sD9xhwAtarfJcponxHyI42VfJRMSyW6KCio3IxCwqFlB9pj76B+S2GyD +0ZCAQIeHTZqXHAdUSV6pSPagsoaDLuJhhGJsRBHGJefrdnM1ECGKJ4QABH/V +SfyqHn10JMXt7r+tuBUNqIuoxBX9VQZRQYRNxG+Hpoc0IkFOiBDKyHRGJSMR +kQhsIl7U4lFpPICIz+g0mxZCfS4iwElKoDKX7HTmUUQtzNmoRHFAtczAoR40 +tPif52VqBApwL0rxjbxHNu9jcT4ry9EaAkwGwxVYxPfsuIM8Rpj88FVeaSg4 +kkAbu9cfLQEfChSC+ZAzia2/EwYXVIIe+dXJZ4ocD7Lz2Dhi2cQhQG4W0Uf0 +OJVJ9hCpJC8/t/OatQ7A+IY8aQ27mdfRItqua3A6Yd3GMeqQMYtyswtz45aJ +M2ij9XYbeDhqC8B2Q4mHfYuovJZxA0ao0IUDCp2GINy64QYBHdgtQ3eS0YmQ +grVO0eVY9OXaF2R4PLtRdaSDAiqOWkmYa2YExT/GgizaleRqV5Ljz/wOWqOK +rHtgoGOT1cbwcMTynOtoxxCaQhUJ78mWTWApTrWJhpC3An4E9knwOkWlW7S9 +GQYPoavJGe51m79hNZF6fujHKDNT1wU+I5NFA6Hu1kPuOuw92cyHk23CBj1K +bxt4Vef+TOtcBLPbFcFzLS7DoiY/E/XzQeopco5vvF/U6kq7KdOnMHEZxTWe +qjwFvq8+QtS37nCxcytReTLhl+nixL9+L/UQFzffCWf23wJHRL+wp7AUZfNh +Lt9CSm+9BUaLPmEgLjcuuE/PfeCSj7Zq7sMCOZmUvzJcJrOy8ahmzkRxcp8Q +4Gay5QCc6QvutwGOd+dTmndez28ykg7vSCxwszt6xVOUVBYcrRVLKlM1IByQ +qkD9hjr+vH8OexBZBnQ+P1M5MSWysG5JDJ8TiYV5qC8xzsKwX1RXoaye5sRG +Px7tbGOuDYE8jI4v0S9IRZOn2DGtidONZTMzL2J5lvyRrBZtG+XitsCQ4mbf +MD4lndGnmJznLkggdJkOW6+nijUQQlEbnYGjR3A3gQcL9bFZHa7A8y1ZvH4n +8wcasv20xnHYeG8hbC+fDXuSdsPBxM0UloU5qRoAJb4ic1Gfpxh9GrrDZZ4V +pgSAn7GsfWEsPjmspeZlMTR6I5JX67KpGbZRk+SFMhdiHol70no7JHSJP8Pk +FgF+mJxJV+TMeQJBGd0iEgRldolI/FOvkyuhz0/1OsJP9Tvgg7+jz/8eDhkt +7Eq/uuKfXDQgIwJFDyMWYmiEjM3gdCJsQsjWEDQiyiYNNTN8HCmcXR2JHwIa +xSFlQyE0pJgZ7xLpZezg7mCQ0YQU+PfEFC9XoxCR7dSW1iRyZ8/28TPz5Gye +ys16VSIhdGAvrgx/Lq0If3xUgXKxUPlC2xGhoK28NfqHfbfCCZylLiwBB9Fm +JFOyEQGhX2FM4V2ivlalSRtcGI/+hOZ53aFRbn+ao0uV6hbW0FpEj8+Us3NI +hy+Q5kylaqkaqZAPzsrFUrNWWuXPmypjttrpncqGbAnpbZsxeBZZ40Kx6Grq +68JqemX7bj29EvHSLhGNdBzvrAoVOlcXOgN8KHja5RqXLGtcRHd4kYAaR7Am +7BGxojNpePNyfbWLkxNqbImL2kEcmTMoxs4iFEhG8dsORVsRmrGT8i13UQu/ +pTOk+RvxT2j1oaS9uWv0SFsyFYGlrdFm8sXsyjO1M8BIpBicLn5Hdow1KMSO +i7rae0qxWZanAIyVX436lWZsULtqXwTPtroELzS5AG/VY/DyWQ00CGXD3qRd +kJO4Go4lvgEnKs2CMw+NhnN1e8LFLq1FZ1QXfpldHX55pwq5qc/uuB2+ySkD +BwtKwfrDpWCpBC2TaUPZnfBwQUXRVjwIXZHcJM9eK9Klmud2F4ClDzTQgGUE +OaXrePQob3CwGVl41rN+wr8JF8lPo0HF1p/wUKbRofSsonA4SyCiidF0OqSa +gdlNFujdn2oGxtWNiqtFJ3sNutKbaK6Bkkk2KZZUYxY34AATJ0OL0bX7D58I +neawfZo1Xol4KdSYeAWPspxAC9unWoMX1wde6lwLvFyTWc0LbFNkhyK+3MDe +sKsQTdhOp5Nkqyd6qrm95cIgmhXHLY0qOlVUIxp7Jk05gNRSIhvN+GmdOQLJ +zLxCJ67vk8U0d4YnDdsEVIlxwRCqwzM6idagFXOhiGJwqPRztN9VyYddSQdh +f+KXFCeADupCjWBeJjfPt0nPSvpmOpyqMNVCLxNkZKdN2yjHjswBULpSGa+u +ZECL9uXosS+fnMRKkgYsjnFBix+KVJHUY5ALkTYRRC4CvtTvIH5bvz2ClvYh +8dFOfDRoR/Dlrr/N5lzpkwlX+tZ1EceE4Ep//FV/AWOuDEAYIwFNCLmeENl+ +XIQ1SPiQIBVC1gdRDUpSw+hxiP9xXEY5I+hxtDuIxSklUD1K7qDRxpuNGCfV +aevBOF7GJ1njm38TvpETaDNtpoddPn8olkfhGtKbqpCtmrSmj6XOtCaRMA3u +ID636x865C/ncEnYdqQkeTBfEXgGl8SNLigLAwoeFH1iXWif14Js0o3z+lKp +TaVdweNlFsBTUuJXLA6X0jTySarpL2WFVq4cb0ZTuiaoPRjGH7B5zW1qMYOQ +Q39PafeRNIRciKmJ6JU9EcvBKGBKRFezkBaLfFgloktalMxeDyUij+smYk2N ++OZqGXs0ZZ+N9No0J9mIs5GPuR7EgWKRzljRdsZHeRqMWrNvDW1iQY0OvlUZ +Gmq86stI1qsyzgevyphxRfqTmSfpf63Rkn4sxE/vWARPtyyiPGTMS8K8vDUP +HoUvK+TAgXIboSBxCXxdcR589+AEUWX6CGjRRpytDPjl2YegeGkl2pD13b6b +oPBwAmwTeB2jzND28iQtPb2Vco56SEcavt/sSOtmQYqhkKanH1HkmSjtLZOt +/NnZ4p1nOJESsM3KTLgHcR5BGbS+jVbWOJVyr0QLOEGLCaJGqVx9bswoVSQq +QFKOqUe8Ak4kQMAJAgcG7buazDAia8QL9CP2wKQNBcyWK/xftNHHbEbBg2jf +2jXDJ60JLKdU1O3fXPmA1e2PD++ylocmlfG5irTQoo5185Mjxcth0EGQt34f +vPUnsgMFX/xxkruY1rUI5ra5BIvEC/+uuPFxanFttSOwrXI2J7wmbiT1E1dA +HU18i7y7uMMFA7xPlpvJQk3FJ3k/C/p0lUDz4GgZ/GMJM8RPsChztvZAJcY4 +ISPFWHnaarDJd6+rgW5xsdPaUvGwOQSFGf+ljrd4hwje5xG8yvGjbQg3nf6t +S91wEpd7Zoq/cbm3uNEv98EbvQ/e7X3rEluBF3xdecFfkRd8GC94V13weLkP +pQcveEvRIfVGekyK1VX+KF3lo1OdlvIaT4Gr9vU9MUVlR1r0hDTtTpP2Efvq +fl5SEmQVYTriz3erkIMPqQg04aIBFxMccdkfRv6cOnQjFIgre6folD6l67q0 +6JRuhJEFSdA3vyZ0zGssuqP2VMYycwdDcu4oUb4miC7oSTnBJCkHpGil1YOv +6bcti4cdy7P8WjSDJzoxVasrWGl2xLBzpATvO1WqSrJoUZhJZU6V5WP65S6Z +kcHrT/2x2Dh3sCfOe39TMYqLRT3E65ucbB8RTT2YshTW1EOcdtJqjpUv9rBX +a8aKxCNMtD+VdqhGdG0i/iFfdiwyJzfiV6IDLbafXsNiG/FYbE3EBiopcbqV +0YGMZl/W11zU+vgGG054knTtnJ4oE61lv6OCt8BapqKJBlZKek5jkqGfSlyz +b/9hrI4guYDG2DntLsOC5hfgjfpn4cPkk6IQHoUd9+yE7HKr4WiFV+HkA5NF +cRoAF7sJBD0+Ha4ufAAufVIeftzxDyjIugm+PMzhpZhw+HjhbTCCpogeIBKh +Y34Tcdu3E7d9V1I80CFVN2eYXDyC5MEEqIVKh7rp/RuHlMKx31phvs83/LeP +HVPpagrIuKYc7VLdHTUI6LCgEfbpmJ+6tpHKp2S4WsmgQ0dnLmycqHJv5WYW +MXRS9NawkTLDlpRJD936cTHPXdg+dxoHxHnmfhg6J2gIQNA5LsDNYY6eDwxE +PDE12QoQbMKdRZbgqc+h492Q7vpHCSkHVS7GXKdpQbPYOGyLnCiHMBvYyrCB +6kBKe2tTLWTa585HHUQJIShQekUQOlPqLC2SA9rz5PmZdZkURrRUIWjA84Jk +HA4ETe1RROcECbh36n5PA0DrHyyAHZX200YQjJspLLuMqAG0o36D2z6SnhZA +YZoACpOJEqDkZxzmoWFpdHAoIWOYNbAzSDo2VP5LP5zJ8YgVjA/iDD7I6E4P +jeVksFXDIVygLKOO8Ws4iBA6IUpgyYIeKVkQZAgjZHAVZGgbQdwgftuwtfht +o9aEIP759xFE90zx73K5ByKInvjRC3FDr7oRuNIbYUSfuuK/QCAK8WQIUIHj +QPgZRvIAiszjojISQiLBkV7WQephhSSEConTzGYQ+BmezuyBsrQauMGmEWlm +DWQKkCWYbLlU1TzQHFY9aAD7RQtevFcF/lhWEX4TtfKXz5IoWRBDoXGY+lj2 +jbCvoDR8cbQEwYpJAlYMKcDtbQL05rWBprk9yP5GSgZmwWCAMzlIn5URE4sM +nFCOUVH30vYs80AJ7vbt+qbUinifWqHXaBDtabkzXLlCYys+jnKe7fjSiUiK +c7v17LBwhFmDZIdtxTBrxHvjIiK6olExS7AmGVUJcxE9GH4zousYNjU5kuRM +28gQIoyzOPH2Et8Nefg4RBBENEHAZYuKFq9MEp8KTFjUpxPRpIFlxFCuNqVf +SG++1C/iPKouD0XqGZ3jokHyBQD66FBPVJfD7tMupjmyk5yDxN5XFHtwVg9H +dlHblWR+Xw8SfYug7+RiYg2UdX/UI3IwckAxTOlZBLM6XoF5LS/CGw2ZKVj3 +YA7sqbAV8issg2/umy3q1xC42L29OC9pUPRaVTj/xd3w7cGbYEfuDfDh4dKw +4PAt4n2/CwYXVBY4oRZZQPGdR4zQWGCEermD5HqK0ZCcMx5qZz8usMFkuYQs +aEbNFhReM4peQPefJuB2WkA4gJ5aIaywUjza5HCtrn8XwoJd9vowZAHU6jCa +XeOZFaMhMDSPXGNmhU6Xq7WDkDlWeKo0UtgZJ9WD7QITRJ8qgugJGqJHvKeK +cEKcBuY+nEDrscMaJzj+tbTqpPmM2viRa3C6Y6/LznPcoEOmxULf2kOyPNF4 +sbQ3NeaJF+MYNdFMdY09wnN6+OR4RQQfEiAKQe6mWCDpg6cFCpjOp2DgJB4N +Ru/nv/rwmz+/6SVCAJg/vOn+XNhdcSeJA/mYIU63/4skChBNUHEqfIe5wffw +zf/9A1IEULc+2RYU869Y/34+tr+XtCXQvIh/VqSreOie5zteVBmcU+MrvpO+ +6s/X7xhhpl+RAnjNt8Vrv2FbvOGJHQjjZ0Te9Q1biT9s1Ep8rVFLvPXv/h9u +/a71xH/35W6ZBAAi4v7PdJFMIBDgIggIIavgIggIIQggDBDS2oFD4gFSC/g4 +dO+7WkCwtINiohaUWVS8GJpVUAYIJQrgrIraTDHRYhHQ4PBUbcMeoKEBmYOF +D9K1joHCfy6pDL8vr0DLvGiP4/Y74MT+WyA7rzTloeB1PrbwJuiXX5kITyQ6 +64u2hwISRCmrLcoYz+fNk6atV6X2+a5sX5ZKy6WfDbCYgJ32EgW6vuVUR7xF +A0QtQtW3tzQZRKKHOXZFAshGUzxKYvGI11cyUYzmXo7HkpGAJSOir+SwLhTx +WCgSvCR9WBsK6A6O/CV+3jvH4Z18c+08Xcdi5bvK/uAbOcqhxX9vZu67UvTX +JOMZz/2pwwVI4JebDXBIdRqPY2C/PdAmHIcwyz696xV4vs1FeLXReVhe+xvY +XDkHDlb4Ao7du0BUgpFwqWcH+HV6Mlx5vxKc3nYb5IqeeuXhMvAsTR0lwsCC +auT1bZfXkjb5ojqEw6GYvIG73KvlqDnuaVIhekYH56TKlOg0cga+7vP6XmvS +KMj5orGkd5Jhp2+SIcYkd9RtGNFE1bV58Xh/aE4yv6W7473okV7VeG3yM5dd +gs1CBYPJiI7PiXhdMERFRWIPO3gGOF2dl+GfeEj3RWMYFIiXlw7pZw6chxvo +9ZRTmQjtUBh6/Xt6HUkImvcTTQf1mHEFek9hyIYeEmxZp3XhJZdv1/2BjK5b +q2bB/gqbabnRkcR3yH93otwzcKrCNM73wkuKOOxHmb9WF5RqSVGW1nK0vJx0 +Cyrb0Lrd9MyinBJwqO3kW4iccvIa4ruIGs92eCGR6ExUdURfQxG8gULwc6NW +8eKjpfho3OJ/vIsudca7CD9duNSlnngy8RH/1uIzApe64kc3cT9d6o73U3e8 +s+R1hTdVJl5kveridcVPH76xQvrGoma1rn1hcZ8aMX2qUrrVbeVU57BVPWZp +LipK3R8vHXlPsEpN/ae8oKjvxLwJcTn98fY9ot+sBL+sKkc09g87b4fD2WVg +h7iUXj2CW0fugu75NaC1wNo0qSgupDoCV9cRfSXXikVyFuBtxszyIkoj3/8K +6+wrOlplN6eKo15CJWT5LiDL2K9M/VIqTt6+PRybdK7z5a6wPt9hZXDbG/Hq +XiX1+aZLqbQ+3xF9vkvi+S4ZjGOlwT8eTzpeT3KtLnNem3IwaVHu7c4RB18/ +pgpE9NUVs30MB7SPBHTDwd4ZzpIv4c2SXx1QN1YeY0+/0157g1v6p71jDUvZ +k952uyipsU56SIrbRNzK2GP2Zeg1/Qr0mVJEk93kpCFNrRgm9y6C2R0vw6uN +f4Dldb6BLQ/ugNx734TTVSfCxd6d4fd5NeDcyiTI2n0rrDpSBuYdvgtGFdwL +ffJToGNec2ie21W8kv3EdYZG+McgOXsi1MkWr6YeW3lWbhl8AVLkyIoxbir6 +16gpafjaimsszZ/75lVV/DupWFRhllftpNqhXuoN1npRm+2VlvXoFVW2bz2i +qd5wwNvuyk/xzmuJBd/73QmWziJefrzX9iXgy19a+yRK4ntfAt/7sPdeo/c+ +PtZ773i3IoQlAZy2WRHA+PesAyF14bDKi/LfhCZmVxwaywpKj3z12SyW6n/h +FXeiyV7iR1aom1Gur1EEL7ZzErTx28s3IqY/oSiCLVx30cL1nXoFBk8ohkdH +XIUnevFaqLdE24a2hp2V9sChcp/xFvckcQuWnwWnKk2G0/dO5EwAVHCrD9e3 +nyZj6eaTLZkmXmUOUmZXqwsja7giWJ2Y1x01YdiDtcHWq7ULPzcUDZfnusOP +5uIKbNI8Ij6a/a3bz9iyLnWoh4+44jrWE7/rmBkPlzplxon7MJPuw0y4zA+y +tF3wxsP7UHRudBXi3de9LtK36jEdnPip4314BZ8+8kEeV7VwNcVNWBeFYX7o +Kkw3jZuiZlXM02iLhp3IJi2KZMJrb051+H0BX3m/vVcF/v1xebiw4Z9wYt/N +cPBwaXi98G4YWnA/dMhrRJJTevYESBWwmOWkRVw3CPYu4TpBNWKludoElE2l +YrDOUKJ8pTkqgci3b4AYm5Aha8I2WWMbPbfvlkJq8pd78KEzzwccj/q+0hq4 +ltQHnC62G7wXGxE0JZTN08ysOfHcfJGRO3lz9g14yB1XHvMcfPB/w+Yc4kgT +NLaNC5BZqTUTP1Yzw5ZrCzxhue2u3to8dd4t27c+844byybq3T4fFxwbp9q6 +etb1ZlKLWvsjehdbUFlfaRgNJ68ztIjgQP3Tl6DXjMvQf4ooEhOLxDVWDGMH +FcOMbhfhxRZn4aP0fNh1/yr4pvJcODugNxS99SAc21QWPjlwN8w6nASPFNSk +ZbstcrtAo5yBkJk9GlLFlVX70BRWMEm9nC+ZyVdkvsNbFhvJLCRfU8vpFUyP +Tspy+H5KiGr3va+mdTHFGqjaGpZ91Q4aptxh0/UpjMBYg6QXFX+1G4VHwl17 +SuLrWQpfz5u9V08JDbmCrh7iAZCfp7vGJOKIH7WiBehVJNlR52c5Jq80rKmC +68iNKvwhH8V/de1YryC/fdUsRrGQ0ZV13+guTI6Z06slXisiBvB1QjsekgAv +nIfucy9An2mXYcj4InptcLzwzXrfwfqqebC//GYoKLcEvik3D05WnCG6qkk0 +vYQdFd8nA/Vdcs7qoMjUQz5dGfLCzB3eJ9Kgi8F7+u5oq2+OkG6UkK9rKT4a +I1/3U+MWeH1QxxTBTxcvkjBeJAl4kZQUH03xt03oSrkz8EqJ4+ujz9ChQ0+J +L+SKJ3348OEufTl+m3XT+P+KE77ebRQOw8W29fApCRfb1YuHi+3FvXSRLid5 +Q4mPTvjROZO6sxB2Zw7dSXgl4YPXVDf6WvdM7NPwwa8RtygupWp8KenLKEP3 +ZboZw0ZsGIuCJAQ+xrcOMoIYBIgRNOgbQkHvF3HTnNt6BxzOuRXeyrkPBuU0 +gNbZPaB+1jhx1OeSFZGbpyVSXFghjzI3S3xsvQ2S1Rx5N5vG2iltcXMRL+FB +DdEN+nTilXHwFn06E9gIKE7nDZrwuCEWOkzAI1pSOwTj5bij5bEVv/LMA0V0 +7IN3Y03g9kJy3Byx5nvQXpPimXTUnYzMrOLuhQV9FLuIwn9DknMoas1nJgQ7 +FMxDGflIMUzqVwwLWp+Gz2vtgfzKy+DrEcPgzMoH4Mt95WBWNhNqzXM7iUZ4 +GKQIlFDn0FNcupE0wy4DR2j3cjPMBJkaCZPlOqohtv1ZukRH9Q2sp8ohV9MR +R3S+TkSX4oj+scfrUkxIgRYU3ah/7DezVKp+7Lfhjz2i4QL92Evjj710AMVl +VJywfzORNc/u3aSB93wVbeLAeVYbyJM8s/KoKaj0A+Riij+4zi//AF3nnYe+ +0y7AyMcuwxP9RAFt/DVsuWcP5CathOPlXyBKCocnfqg+jG2T6IQQBfO8KJgM +uLtIqUMNXrZHbI1gW7odtWuhlUOlETE1PlwP8aN5vC6FpeHnpk1uEB+Nr1EP +I/SVuAxR7P4jvtBe/P8nRLErFr++TTwh+uOEYVj/xP/F+mvuXyiNF1rVx6ck +XGhdvwRcaCN+dbFN/QRRLuvHY7kMYbkUCL69+JUol4jl8VedsEjKculUwHpp +qqWqkD0zicGiothPFkNRCAmCI/weh1JIbfjl2Qfh6ruV4OcVVWDHwofh1XFv +wSujXoJlY8bA+5MfhrFvz4GGW1fInnk9FTQDi7cpb6FjAowJFmsrQMAYgPjY +hvL/tn0lNXeL8OLAjfhO18J3Gssb1rOtWVjF2Ngcp0tZvIxskG+3KWBxXMCU +G4ASG5yAtc5mAiqkzYT5Vjur2RuBJ+6HhiYEmfBEY/HaN0GzEvWvopahCiHq +V0sMUEDDHwoMGEnz6vfQfcGP0O/p8/Do+LMwu/cpeK11ASyc+RzM3dQExh9q +AD2y+kHDrCeg3oGnIZ3ulTcgVdShFFGDUncvh9RdK8WzWjyfiW//GvNjEPdL +irhfUujHsdkwEypymgfTkm0CLqSHS8lthu3InlL6pxLWPxUsMvtL4U/lVvyp +3Iw/lWT8qZT2BNLTg/yFNp6Ln0+Cbkx8qpCymVnuc51J7STZW7Z5JE0u19al +R2G5Vfw9Hz//c1jy6POwpucCWNZ+M7zeVPT8dXZATuX34JtKc+D0g+N4vSpO +gBPm6ixnt9szrCItVJcNLhkMo0JYMagLd7FihOBCkybibDZtkiA+Gouj2rTR +DXChWaPS4qMhVpG7rllF8sVvpspSgf+3Rvz+LfH78LX/mKpHHP43JdjUNtaM +FvWdEvgp/s1aYulo2eAWuNiygUBVLeuXgoutxB9cbNXAFTWlgfjbbRqIf8eL +bRqImkKP+N93sW1D8dGugXOrKC8NRWERT0fxdBZPl0ZwqXsjUToai+69ERQ9 +Kn4/qTlkjxsJq0YvgI0Dn4KjnXvBsa7tYeHs2dD8i82QvmU3pG9Fg0/aVvEu +pW4Vr1HKVvFCJW/dj8Vg6wFI3qYfUQzIOlxn20H8lf6d/tpWepAa3noQ37WD +Cdwei3ePdB18KQ/WwV/diF+7G1/HqvgWin4GX8XkLQIEpWwRv03dkoWtRxby +XeJX6fir9E1ZSPRuyhJVIQvq8iOqwIYs559Qb0MW1F8vnnVZ0EA8jdZmQeM1 +WdDk8yxo+mmWQC0HoeVHB6HTO3tg4ILN8NjMT2HkoldhyJKp0Gvl09BmzTvQ +cP1n0GDjWmiwaRPU37QF6m3eBpmbt4tnB9TdshMv2i27QvhNQw/Klj2Qhs9W +fPaK791elMq2Yihp6tb94tuID/5O/MrFb2gIv5/iY9v+OPxuRvA7h0dXfIOi +v4cR/T28kTlE8f0iDvEu/B6m6jN9G34P78DvIf64snD0KgulXTzs4puI30N8 +8CcsPm/H7yR/Czfxt1B89+h7N2Pey7C/fx840aETbO8/CT4c9Srs7D8NTnYc +Dj+16QU/t+ksXsg24s1sJa69FuJpLp5m4hVuKv5JF1o2wQ+8mS+0aCyeRviI +f6j4vEV8NCwjPhqUgwvNG/iOXbw+LPrYYZcy2jpXS8Tt/LH4Wvjafxz70rYO +YLMGTin8LIcVoCTWAherQgjrQwSxRQhRBpaVpk2xhOCDZaVJM6otzh3cslHN +aYVliEsSSmcC7SANeea+x+CbBybCzkkt4KPt/4T1K+6GI+Oqw/GuHWDR9Oeh +7YoDVBqxRKISgS1yXX3D0dVH7TUVXrodKXRR3Jgl2UknSnVpFkxq8we/CCn4 +SlTGlwPflYN34iVQRg7sYQ/C9wS3JHtkHiRNLqEFsKKkwdRMoGIfvuSOhwDD +FtkRbSTGnYmOzyFdIGyybO95n9B4/YPToE12T+iZ1QCGvzEPHh9xDMaOuAQD +Zp6nYCHs1BFoYteA4BO1EgSjCEyp+WBrERIDJqqAeaxM3vsrt7ATFiCTAvua +pKEwgSVhusDE9+xG/T27U3/PbsbvGRWg2vg9u5tlZ/E9u5GtE4zYCezg4IS8 +ZWld1m5RaQgdIUoS3zGcosTvUqq4uLFneOrF52HfgJ7w7eBk2PpueVhSWAb2 +f/kPuPRxOfjj5arw76k1CcIVDclgDVJAvMu9GPpd6pbJWmdn0jo7IVrsSCJo +h3qIJfHB+wABpcCXEWzMBdJsg79qjS16a4SgreqXRlhaAi8Ywsl3XOuGe1/8 +Zo11YHw3XKw//itH7edmjZwy4hg1wo/GN+Gxwpu5KZPkSJo3wxNlPS2IEvmp +UQtuBkIsLYsz5twrTlkbyam0416CTlxnJmTSuxPhj4QNjnF9/+AjcPLeKXCs +4ktwqPJHsLLDBzB/ylKYM/NDeObJFTDjybUwfP4+aL9YNLCi70ErCgITdLCj +KVS+igRecGpOvYvEo66Tcyz8HhLZxUAoTFjVg4/S9GFO28RQKszRROrtjJfc +G3LDdfgR+EAd8Ah7H7g73JZ1O6Nrmh2sjQ2kPOAH4vCNxeZzP1GAZfCNZdoa +6mynB2/k7UhiE7tdh15eepw4Zdpg/6I2Cjt6WJg6X/YlbbGmJ7BXrsYjx+Qd +WS/76bWEdbnX/pR7790CB4sKkbr7I0jds0xg5PchRfTsyfteg+T9uAT5WUjL +mg4NDz0GLbP7weDs1rBQoIR1O+6DtTMfgS+arYTFjXJgdq/vYNRjl2DQkxeg +59M/U3vaadFZ6PDa99DuzdPQ9t1T0GbxCWi9VFQXgedbfiR+tMu/oh8vchbY +7jalIaEmq4/ITeAq1qTR54dD2Czg2MMaHZ2A4SiKMK9H5TpflaJ1PLLs8D5g +tiqbHcHUsZjufGNunCzs9FZgF5+2iduekoyyqRUSL0SpgBfiBvy8Ub8Q8fqF +uIWBFKXGiBeCCIY4/UJgsd+PjcI+bTElMYPeiN30KoToM2y9EDsVm7XDqSrH +X76Mugb4Jdgkf/DrmFBBggW58T3Lof26F2DYB4/D2OX94bFtzWFMfnV4/2AV +OLzzLriw5m64+k5l+P35B+HXmTUoYxrJPJSTyF0xTEpOA6U5A8nAvrIXRjcH +Ojt6KmULDSBsBenKHTX6RvC3nfCjI36tAwpoxFYieXmpXb04LJ4lsHiWwOL5 +17iE/xNf6CoK31Pi/yuSIHztP/5LNbJpY6qRTUrryhjGwhiHFdGVHEijliQ4 +CvzRsDXZQVtrO6hiUhq0cx6g/ug8PvU6sJcHPT1IwaADFXVQ1ENRF0WNNHkA +2VpRQ8VBl9P3ToKTFafDsfIvQU65VbC94j54P+MrmNnlIowZWgSDHr8MPedc +hK7zzxPHjlx7O3He0LKLvB/SRyj74I1uztoRLqfinGEPaJVUR80YcVnNN0mL +mTSRpG96PleSyCTrcozCqsajWME4hA+l/B+Kk4EEUvLw1VRzhKimlpEAgCKx +SUdhGo8Ivb0llBJIbm7qxKXP2xMCRc36dq01ko9zG0oqO7ZZiqRCVFt03NQm +Nt+19BVULqp8xlRh/UwW1lXSMvEJS6RopyBrxVKpYb0rINlbZMVI2f8SkaY0 +m581C1IPTYGM7PFQL2cYNMrtBV3zmsGwgpowL7sKbN9VDi5+Xh7OjOsGX9V6 +DnbcLxr2egXwTIcL8K9+l4m4HTShCPpNuQy9Zl0isQWnHHHhAIr8qOd1ePMM +tH/7NL0kyCmi9odiDYo2tM3kI47Hw5g81A2bf6KKtISEq1hqRAIa+UpTrAvD +GidK4VK8SmFPlVZ6k6rSkjMigrTuBtZO5eR8uqzNDg/XK4krTr9dEWbXFRCn +V+wQvlj44IDNlkMlFEfPsT6k+ZYKqNc36ZeN7FCl9MuWoN8zLRqQrsfZYdqE +Ip+dDnN18fTWMQL14/VtrCk61SWTvZmxu+e2Vi+VvKnpRfqYBc+979OLk7L/ +FUhBTf7QU5CZMwpa5XaCHvmpMD+/CuzKvxVO7b0FLq69G35fVgl+f6Mqaf6o +/WMwC017P55M1jjaPfBoKvu8R3CRx30GVOjRY/BwBk6LcbFHwrMfqUGO8u31 +rqs8fVj5e2ZK9YhMf91JW+om4TOpT+oyIPsgOQnjEE4L6NypXgJc7MgiVrzE +0z3KXldZOym+kCPKe9qQIUNifDm2mGaMjaKldIiXQjq7WYJktxu3wM6yJRV6 +JRI2bB2WYQAG97alat/OmFQ6aMcm5Qo49zHZThW/C88dZHQzVT+1Nw8tpPTn +CCOs/jWG0ogjjjuitxTzEr4t/wxlKeQnfQD7KmyFTfcUwOLU72Buq0vk0hk1 +4io8/Hgx9Jl2hTxn6D9D2zU6fFDQR+UHnT982L8mI0BLg8LkiHacvh2ayIMt +bwgpQ5EgRTMnJFdRoAUf5A0q5k4cX5K6IpI43qRCgCk5g8Zmk1EmS97CNgwy +ZIT0YURTFn3wYSzDTLanXSbLcknd+kXY0MySD4s/OyLsfmaozPL9VmmVtqo6 +BYxwxCCPH63zrNP6wmnsq+2feuo7Twl+zG5vmopaxv4Emph6j+11+97kmDb0 +Mhx4kTIUk7OeI2seTm3XxDD+nHEU1N8gdxAt2Macl+7iOE8qrAKvHLkN1mfd +DN+s/yeFLlwe3ga+rzEO8qq8Dhurb4elGafgxRbn4KluV2DCgGJaxYdr+TB6 +tNeUqxSbhznAOK3WZf7PNLWLrwWCBbQsohBIrwdG9r3HuxjwNaF7Ydm35Phv +jVP4GAjo8ogQ6osUfswLsmiof6Ue9MeZApouoDkD8QpF/O+PfS+ss+8Fih8g +pYxkUYMwMnACUbxTCZqCocqfoCkYEmJLet8p5WNFQq4WqrjqndLOVyrw/GKF +9IsVxMPoaJkdroYP9GI5KXK8UxXz6IKu36pdCimoN2mVDN1bzugATVX73iWT +FaGCg/NoHiH10BNQVxT5Jnk9oEN+JvQrqAjzDt8Bm4+WgiO5peGHHbdB8dqy +8Osn5eFPTAh9vSptdkF/M/qcad4W07n+xbv7aHAHvdE4zPMom8YI4dMFkMZB +I0PSdbjYIKn4P0wjxQMyaKSoLt8FlLTK9m2eP+6t/d2OHFEWVwONLYXkAFM3 +torHS9N4Z/LRYb3vGaPe/xVrOjIXpEomSL8Gl2xdtxu1Vl4PLt4N2+riHZbu ++fMNJErXTweq3x25iKdJ2N7ZQHd6ukkrYw+2oyDbQQW9Dxv+k62ijuuQENZX +e4SskrhO6bvK/yJ4j8MEuDTtcNnFcKjc57Cz8m7ahrA47TQ83+wiPNWlCMYP +LKYg4YGiyPeaVgTdn74EXZ/7mWZqMNsCQ31wyAGJPJzbb6uK/TI6wRLZ4XAP +BwjhsTXtwBGes4goMGd5zaQLiPOKOMaw/hdWKoHuvK1dyHVlC247j9RJJies +h5GJ4947Fe8LspOrYy3HSmjAxHNVuOzL8BzreOXf2B+vjzWe6H3YH+yNk302 +2we9I9WUCmsRL3zeuWmQQG6HE7EbB5oyZffiVrxItoZ1rKWoADvxIcvjTjIu +6GqwgW6Z9Zh3a+4aCfe+kNPlbX33Dd85TOeulBXD3Dumt1gmoz7tu+ctSNv/ +hsz3epk8ddxrPC8qyzPi/pnFk8HZT0JyzgRIy3kMMnOHiXtoADTL6wZt8lpD +t/x6MKSgGk1SvXL4dlh/uAzk77sZzq5MpCpzeVBr+DFlBBy7dx7su2cNrLuv +AJamnoAXm/8ET3e8RPb2cYMEPMF7aQzueS6G/k8WQ++nimj0putscTfNvURW +eYIsoldR9xPS0ghfcJqM76jvyLjcju4pvqPa4johDIeJ50HvDxnZeNqYj/mu +Oi5j/JvRopSmpplxOLImIlfwGuzTmJYF8MoAeRa0G1PaM3VGTiSg03F17xxR +KCnP9XY6dBbIN5LDjY5oeeI5ki/VVhvi2XMiuclDCRwC5DkOpELFK6HhQEQf +AmxZ9sWZQ0AtjDYTiJffjfXOu+yrUd5H7pNTabWV6pAdOZQm3vE0GlTD9zvd +uvV8+Gm3eo8/kbjpA05fwL5439uElXBVPb6nGIFbB6fysh+HDIGPGuf2p3ey +R34N8U7eAfMP30T7A7LzS8OJfbfAhW13QhHehCvKUevzxzv3UM4kZmnjKCqO +pGLqBG0jmVqbW6EnkskmTbfiONkSiZuxeDSPvhbbt+NwtlvLG9IJyV0rPEjL +d6S5J9G8jYFe/TJU0pfsmSi2Ay/LnqpxosuS3OA96pIjry57TrpLfx5dm/Ho +R8F7MvF/uSdbOEhktaQrMk7SV5RNIu5ISWG1dkJsqWeLZIM2ETXwTBx/O+UV +qu/peX6q10E59sVnvOyB5By15+rswnNuaMqsqyLZiAWj7TnipuW5bdUWqVt0 +AM961xpMu3oowl60SEiS4egd3aZVnoBTFafAyfIz4Zuk56hdOlz2Pcgru5LM +pdvuPQhrqh+HxemnKa9xVvsrtK4aU50wQhoxc++poi7NvEy763FSFUcFO78s +sTLWobdPcw1abG7YNlE37HFNuDWXgRUWd6J2ODU2HZYT8nInqsdamxd8vWJ2 +V0Rfrxn6kRtVpFyZzv1XTkRLb+ZSlREgnks1pC/VOE1eB12qIV1PImqCdLec +OlMjOdq+z5xInBrf2S5TnKyU57DWMuwuLWXH5rDu0ijtYYNFkayXezGwU4uT +xkG1PONzVW94Y2oJ6TOUA7jEpqzQ1Jxs3Sjs6gOnu9zSuoQmonjS4R058Kva +OL5KqZWjq3QeTVhhO0fJ3RgzK67T2mhpzxlD22cxirZRbj9oTldqO+ic3xB6 +5deGYQX3wJTCu+DFI2Xgs4IykJtXBr7/8ja4tKQirW28PKAVnM0YCN/eNwPy +Ki6D3eV3wZpq+bAk4zt4pck5eLatAIbdiiiVEYelsfXD13jQeN4fihGmmOSI +1yzO9eCGCpzxodd64U9k66d9pOL1Ri8oXbXYDgoQiVZDagnfDWgL6ZWXwPID ++eqr11/fuzKf+hOZ04IUQ4Rsqs28J8JcvqsN8SCZRcdVllcDSB255Zkm24lm +FGBUjrxrplrm2vHYhaOy7mw4qnRqiUxz5CKiHCfiPTtqkgvjvTwTX26sC9nV +RyleX8gldLbffu9S3AgvfFR6tjYoc06gh13Ua5v4ILm2DiiPjTbJmrgUHhrX +vDVPmDtNLN7a5jXU4fhY5rp+KMcC3+f5C8lZ40Q8psfgi4/JSrUOTYE62eMl +h9EfWuZ1hs55DaF/flUYVXCTuKfLUAjk3sJScPTQjRQQidnO2LX+e7W4qz+q +AH++LzpXjIig+/oB+H1+Ne5g51h39lPizp5cm+MmkMKcJFOmxsul9Rh0+Zic +AH406P6OusNR8I++x6/wg/f4w5S8rYO4++E93pcu6b40woyPQ8mejstUKLoG +epGVvlcmBX/SGHRY3ub/y+0txxPYlNuwlfe61mljpDu1VfGlHEyOKWVafpLE +ZEdX7p/jeLMucvhcpqMoXYoDVMW1nKLZynPU3JoGl6bbscnV1/NQTm+tPoKa +XUx2RTvyGXFFY5jbdxWehFPlp1PIG67xO172ZThS9h1xTX8Mh8quh90VdsGm ++3Lg05pfiav6DCxq/DM80/YyPNWjiKbzMVhu2FgOZ+wlaly36cUUPUO1bQHX +NZQ7OvnoLWob3j+pqa3W0dQW39zHHRWIYeqUlKdDpixZS8VoJ4dskfM1P5qv +4L8ktEzJ0RqHIkmVUBbSJGmYTZ+e+zmBremefjfirSKGwZJh4clMY+3y8aMh +zY+qBHKbJI2okPJNyoDPOx3W67QmXjGuSsgah+KdnGFRbauRwlZEta4ULEmB +GR/IAI2lshVQLey7po2l4A11/75ErSwHWM2ndhZnD5Asw+xYXPvF290n0SI/ +3LaRkTMC6ucOpu3wuO4Gd6Z2zG8KXfMzoH/BAzCi4G6YXHgzxcp/JkrVvpwb +REtxK/y89m4oev1e+PfUOuLEt4Rzmf3g1INPwNFKr0B20mfw5b274POaR2Fp ++nfwasPz8FzLyxR1hKvTJvUvhrHyXkbiBmPEcY8Crl9DahYDE7vNFO/u7Csc +hoKBYhhIipPjGJTyomyF1f0s32eMOKf1cHRH2y2xvKPpnv6WYtNpxA8Xt4gX +3eWlvBTrgj0x573IOFZHJrNTGoy4ll2NVF1eSCGuYEPkhuV8Itq6PYtrXA6g +qWc63vW5vo6XLtj4a+LSiPcyjdfvvXnlXS9p6wa88iryRPpojPrr+nWB1BhX +Z7toEpepmoC2drWUBFYESAKyvd2r5IDX5Du8UFIxc2jNNe3lzRlLce4YMtE8 +txttkemRnyba3UowvvAmWCiu0hXi/dx+uBTkC7x4Kusm+HH3bbQEs2iduE4/ +TYLfPy4Pfy6ryIsz3+IWGNd8/7GA22DMdP7t6Rq0foqWck6rzesbnpRrxZ+Q +RPHEFNMWj03VrTFfr6k88/WI74ododZNhFlNHKqTq9XCdHHXqsxJh6Ku5Zou +jr+mTRf9MNWyr47JxpaZo7N78dg03qNJ/+09Grbu0da6wfVJea6+O4kYbi9n +Y/DpgEOEHWhpWRhvzjCNq8drYU+tCzG8cDedOKZ623hmiNMkQ0wXqe5xxd/G +cPNMeZEO4mRT6zL94aFHeBnKA49RJhr2vKerTKLFKacqPEW5ad8mPQNfJ86n +NXF4qRaU/RCyy66BA4nbYEfFA7Dh/gLKX1uccQZeavQTPNv6EkzvckU3ERhL +gfEU3EAUU55bN+LoLtJyGGKbF53lqAvrgu2gCpHsi9vKvriNbhC+ski549Jb +cCyKkWNrgRPS6pHcc2XR0dFt8jrJQoftNtk2GGhxMl37UtNsQ29II3pTiSJy +Rpw3GuoUYG6Os1x9Deu9G/vD3sJEnoF4Ze8yjJuhnb80fr+Qmh6WLi/XNhAw +DxdWKcg7vpRc3DZNP0d4PYLSNh0ZaU7Es2wB5HomdYHrCMY1PCodH3B3r1Z0 +ncMBj4PN/U3twUeyxvH9nea5vxfL/vldpvWwdcA5HLuH3r9IbrViShotMBi+ +pe7xWqKXrkn3+OO0xiUZ++mcUbSmrn7uIAq8bJ7XA1rndaA96J3z6xM1iCsz +Rou+GteJ4to7XBez91gCHM26Ec5u/wdcXlkOri66X7QQKaKstBSnuhecfmgs +fF35eShIXAb7KmyELfcI/PnQcViSJu71RudgfosLMKtdEa2vxvDvCQKHjhnK +663pbh/H4WUY/tlHYNJeU/no4A4lWi1C9/sFut8pQBTv9xdM/42pVLRmG4/U +62c05U0JM++oXvykfc87Idq/Vsbc89SPf236cc+Rk8dOA1yl3R5T+q2jziGH +ttvMuGs35/bZ9HbmIdWfk6GsUG60MH6g+hgiL05smMGxMg9EeNGMAgmOKw27 +9HBsioHJIY0ZXJ6ISpY0Fq73MieV7QQeuBwJwA4RCy5HS0EhOdflo8XZg7vd +7FdWR3EbwYatFjdeUqrBShG2VWGPMszSTyvL9bPaosolbt5jMAVhZY2T37Zw +xct0ppiXmkvtOa4+wqD4OmgzENgCz06zvF7EReEKSAySxeD5xwW+eEHgi+VH +S8GWIyUhW7Tr3wiMcfrAzbRakjHG3YQxcOcD7n7AHRC0C+JN2bq/9ACLz/Me +opVPvyPl/nRNbuEJa3Abj4kkjDc4oeQXfzs/TrX0FuYYrXdbjFKrq6xN5iPw +a1aL77hyy6dAHXHc6w+2HrQ3DdJZmA9zLjbCk/7S79SflO5+GSpMU+KRv4U9 +rB6+kXSHhuWqMCbUCWQQqU7bxDDyhqPFuWEnCVrti8/EzamZncNEpbuMOzK6 +qr0mtL6M8szTdQtP4IOzz1vrFp4fjOXpF9XKn60zkMPrEIXU9Lb0xLpXG8VL +Ze8fQwtcMJ9dIRFcRHuq3HRa7fZt4rMCjSxkNJKIaOQDyC27Gg4mbqJlMdsq +ZcO6Bw7DijrfECJ5RSCS51tdgpmdrsCTvYppzdxjw6/CyEevwmDpaumDqES0 +Tt0xO1JRmlhStXLoQyZvWy3S+1o19JbLj3iJjjJEKbFQfCubS+OjpCtDui8K +ceKY4u31Op8v/Ls8seZ59pYTRRnS/RGb08O2OR2ZSD1RbiV8+KaPfEyBkQLl +EiNP6VObm2PVP0/v5Li28dzRoeQUq2B5aCN6KYr2zzpmbcoWZYyhwsiEvnsN +Ql/vgaKVEbwW1u6zPtPRLqt5v9QN4lNxlkzqm+YrGqAQl4lRZ3sXh2iZ92CL +33+LA+E1PnmFe7T9Xp6BMMrBOQajZD9FCzhoXW4Ocv5jRZ0dTXW2bu5Q6uMI +p+T2gFZ5nUQ/1wY65jeCrqKn65VfDQYVlINHCm8VWOVG4h4+OVIKtovae+Rw +CTi972ZaD3b1g4rwy7wHRU1MhUs9W8D5Bt3h+xqj4ESVmbS5NCdpNewptxs2 +3ZsHq2p+RfrAaw3Owbyml2BOmyswvVMRrSrDMNUJg3iJySiJW9AqNmgiw33c +WN1rSjFrBgq7IK9mYZeueNCQn3jB8BOMX36gdWudXlccxRlax2Z4ilNenmKx +zVMQV+Gwjh/n0xT04UTm4rh4cSwYgyAmhAstkLw45scvzVb58Iu1pUZxeBy9 +gNBFHeaw16gm/n0ss4t88rQyZ1CM46oZOBmxE8MRaY5wWPmWt2RTjAhOmISl +ZZlmTKRlOaRYwKzwX0Q0IW1hk0tQrE5Db3uPPVhEVpcoP7yjVxduouO7Mer4 +Oq7FkXDI++dOe4/0b7hA1Ud8ZDAN6W6Ldc/A5/E1bV3hPuE5WkeNa+1qHpoC +NURvUIc4k0do6UOTvD60AhItlF3yM6CfOGOjCpLgScI2N8AHAttsEP3AgSMl +4NjhknAy+0ZamX1+xx1waTNzKLjL6rdVSfAH8iji7KE08R+Bcf6DGOe1++DP +lx/g9dwLqjGfgjIF4RyWKn6bwSswiVeZKrmVyYpbqSOxjuRXfHiHdnWNkSvG +cYWXq6mWYtrQWfxIGq3udIlqCRs9gx+1/lNKG0becOXCUEQ7VxDtsENhAC8f +I6RTF3qWY2BT5r8FNQ2JLpFaA0kNxjpHIbZemaGLK4NuM7rp7WzpfsHB5ex2 +8gLQxtZUXDbPvAltdXV4LX1YI5cfkx/G9fUhhC5OM9Yiag2xwIu0DFSzaBQE +MFXHkcsaAcxpAjCTBYCZBieTZsKJxDnwTeLz8FXiC6LWvkaUSiGBmFVwqOw6 +2Je4nfbcbqmcD2uqHYVPkr+FxXXPEBc8v8VFmN3+CkzpVgxP9L0K4wdfhdGS +Cx48wdJoEcxgrZ1zWXPAuIsXs3mUNqvqqqeevmfRLRbfa/ugLA+UR9jQQuwq +Yl68VK/HrssTQa5dBR1f6XOvIWzYc9KK4DXcro1UDEhxZZSf1DSCQEpYBjsF +yxlhiUCMNVfyu17jd0xhY5dP2NB53Z/i73crWYOXZK9EjGZKGlEly/FrBn2Q +vWCZM0S6wy2JY6+SON6WEscbUuZ4TTrGX+age6JJYsCQrBnsJBflECnkh3Im +QU3c/ZEzhqQP3AeSqaFIPwFFeooS2Rna5rWFjnnNRJmsD91FC9iroAoMLLgb +xhXeDjMP30RwZLmAI5sPl4JD+WXghCiV57bfDsVrysKv71WGX555SJSpNLjU +ozmcb9hVHLNhcOqeyYT3CxOXQVbZjbCz0l7Y8EA+rKr9DSxNPw2vNzwHLzS9 +AM+0ugIz2vOC9if7FNPCdlwwiVgfs981NJnAmB+z4emoTL0K3addhW4ziqAb +4n/0wGrphKedcLurhidR9IqxOQiIEsLzJH5U7Q1CET8qgVGcBF4vp9qFJRx5 +b07YN3ItncAojqvbB/GI/7wAKK7uIFwOYvTIKhGvNT7iHZkyOkr0MVufG3PS +wtYPOcNSiikGPsitpgpD+OADHbNwsEtWSoeuPms+94HvrJntRyqXQHKQuGNB +DtBp86tRUxxeFdPepx76gb1RDLWysu9dHwvyCuWVssIyj5Y+41FBlYXW5ZEy +OIYMC+jOwWOBuybaSkake34yDCioCqMLy8JUcRReOHIDLD1amsyGe44lQOHR +ePg6rzR8d/BmMjPgHotLm++iNVWIHn5dnQS/rygHf35UAf6zrCL83/uVaNH1 +nxJB/PHy/WRywPjx3+cLBPF8dUIRxJbMkYuzZ/oYk6k2a2KYEzJCoIFxQnII +oUQIsYRArYwmolQb3PGd9pcYlOEyYl3SKAJR0LaQsJc2QTqk3P8gxTSguVi1 +UD1Tqiqupaoou6BLdsFz/JCy0s0NkFd6GvsgPX0UZCC40Nfp6SU7EDagtVD5 +F4j0QNygbIbD2GqIXgbEDijBKPJDyjBnqo7X+AGj13BpHW65PalJkLm0AReX +2x0rqzDEUsgvuwKyy34BB8tuof5te8Vs2HhvIXxW/TgsTzkB7yGOaHQeFjS/ +CE+3vQJPdS6WpEgxjB3GhRKXZWCRVLxzD9G7UXFEv9dcLopMjpz3er1sLVlW +P9WbtY0iSb4J8FUbm2OLFZbVkTaKrNJLQJWjWm4iwnUOjWiaX/LD6vFMlq21 +nBNGQZYAw+qjbMnG9bIgDgU3YqlTT1iXPJ8Py9c7GSVZjf/QKmm7Aho1xgwB +yIVUqZrz2BL2qDGGApaV0LVo4PWOhT/CPpGZ9RkujRFTGo1AQ4gDt3EaFIJk +8ccIOpaLMxFEg8gxNfzn7l3sDLL8Fm9rvwXrNa9Zes1LBEaUZpNycL5Ht8E1 +4+iBrIVVVnowatCC0olQK2ec6NMeE4BklAQkQzQgaSYACS5mQU8G6jidJD/S +o+Ah6F9QGYYLUDK+8FaYLSox6jm4MHXj0RJwQPRvR3NuoKWAFzffCb+Knu33 +t+6BX2dVF/UtHS51aw4/NepMJObpeyaKc/gMbaRGAnJf+a2wrcpBGrJZIcDJ +kozT8EaDswROnm15GWa3u0JaD/o4iDcZyIvcHhsRAFAmXaXczN5PSl+HOIM0 +dkfazxVeLotnMRaHgueSeZQQHk7xI2MZyLJjGruH+JEhTIljW6bPmimASoiW +3JXhk6tOr+wIWn/kpTrVNJ9HFXK1JhuS7QGJQauOWG5NRagcMVSK0oI+Uy5N +SYwW+hSg6EMeTKD4gI7rJVDM6XdcSZ5wincokECpLZXcLN7Vtg2VXFUTHF65 +LAoDFYcQfYbtEuGFSIZcMfOBIZtU8Qu3vLl2mz0/4XoDBjCfzMwIebya65we +NqkSw3iyyjKf0Nn3EitaLLLJFWsulcwoL0AydRfP0WFm4WgaH+Ic28w8FBrl +DqAuonVeR+ggDiyGwfbIrw4Pi8M6pvCf8NThGwVkKgPvC8j0uYBMOwRkyhGQ +6WhBKeoizhy4Bc7uvh1+/vJOIl1w48rVNWheSYTfVpaDP5Yj8SKg09KK8J/F +lQk6afLllftpETmKTLSUnIQmHwkzmwUnDCBWRIyBUB4yRnyPBYAS3380vPx7 +ooJSRMo4DKUiLEThxKSBUw6xMwimUsVPl9bOSDfMVSRoro5MIzyFVhgCVcPS +1B5VBFVDEVQN0TwNS1LI0wxK/1t4yjAx5xvw1CLjKIJREc21IISSC29xHV6Y +yBZXDi72VDSL+IxwvrYSisjrqeiWXjSpbuMnJRidrW2JRriQt6ZtXzHi0Q/V +FIayOBiNoyZKHuYJmnpnHDUNTpSbKbDUHFoO/HXiAomlXrew1Me0SBibzv2J +O2Bnhf2wtUourL//MPlIP0o9Ce9lfg+vNRR4qtkFmNP6CkzrWMy8eP9iGD+4 +mGr7I4+Kuj6WtXys6b0kpsLF4FTLpeCkrTA+j56Hp5GWGMXTeMWnbz2V2VRl +qshefX6l1ueZrVmlNzlGcdlahjcEjhOxNSnFYDOHowpv5vrcwMIbg8hxteou ++0rVUoZj+NwtIie6fPKqbqM2qUhVP0Ht/oXQFsPyaJ3JU1g3hLxstW/gn2Un +16qwn1FT+qmlOYU9mpOotwi/PmbWJ+wHW4jBljpTKQM7yhfj0Z7Y35qm8dYr +ZLPnOROFt+b58BbOnMySeIt1qBroIcx+HGricnilRYkuNyNnuA9z9YIWud2o +hJMmlddUlPF61Pn2LnhA4K7yMFKU8kmEu26Elw+XgWUCd607UhL2Cux1uKAk +nDx4E/kCrmDSCJbsV+8VpbaGqHsZcKlrM4G9OoqaMECc6zHiDM+A40kvkQCc +lbQWdpffDVvE+fxCcqYKf73Y5Gd4vsUlmN22CKZ3KoYp3YtpRAsJIuRPse9B +DhXP6XDpu7ExWB+JwVDD6j5NnttZrGPR2UUcpmZgFA5bGIXDePxU+3GMpsVA +zO/Lsc849U+0Y5iRmGtscB987ZARFxkj00850pLbki25RtlyFIG0wk8gqWwF +Zmwt3SocS4SOxG6oPIytD1z5GFtDIBn7m6tp25DGSeFgS65kbEMBLJJHQmYC +ycZHtp2NlyGupwbKn9chtyny6eWT63QOoJI+sagkg5F4zuU9D0ai8GhrflqZ +1dTQF25VxsPHB2+iOHhjICWXTWoNcx+Gprl9oFVuV3HI2kKnvCbQLT8Vehbc +D4PEARtbeAcJvwsFVlossNJqgZW2HhONzdEEKCgsSRTTqUM3wvf7b4Vzu/4h +8NIdotFBvHQXFH8h8NJnidz0fIJmnPLwH8RMSxRmuocx0+tV2QD8kk05VTMG +HYWb5lhGnZnSGOwVsUIInBAq2T7hx8m7g1BpUrJoXDyaFiYMoXd4HG2NRcg0 +BiETS1tpxEQReLImdaS6heAJMdLwdElBGVWrZ/l//hcalWGaztfvJCFRXTXq +cr4uMUixNSiUoHohJOodJD+5iIdCCIXwY4D4PbFIaJ2pM9BhFcplJMRGXvE1 +gYWcjqxEKUaJ1CiFhkZbaGgcnJaI6DvFLNHkzBSpTs1gdilpLilUSLt/lfgy +HEtUqGiJQEXLBSpaTUrV/sRtsCdxL2yvmAWb782HtQ8ehdW1voaP0k5pZLRQ +dL9zFTXfjSsvsU3K6TiaK+7DEw0lz4xTMVXZrnOYiu9CCpYc5qeq+qO05fgG ++sma8x0tHjIIyc+1R031B40vmMkFT3N6xDE8u25ENcW+Ls+TRRYFe3yFMORF +PL4aKN2/sXGObBMjUTEy27cZHsl0hi5EhxR57Ltc7yytyiKKXJsoIplqFUlX +GrgQafSJMytgGsdvmOGJ2OujF1u6UlOyiywEs5AWO0UhmEOz5NQONpvMGlXP +eVw8E6WMNZb2P2PzmZE7nFb3NiD3b39RXHsLFNNdylntRDPaAjoTe5QuGtKa +0Du/KgwsSIIRhbfBpMM3w9OIZESxXSqQzBqBYnYeKQF5h0vCt7ll4Ie9t5LD +8SpOUCypJIrl/aLe1RLNmkAzXZrCT407iFPeF8488CgNmX9dbj5Z2nLLroQD +iVthZ/kDsKlqHnxe4zh8nHwC3s84Q4hmkUA0zzW/TE6cGR2LWPLqxazSxIFs +uh8znM/XSB+qwWmh/grV4FmbyqimG7FLsiOZI835yC6pzoSQzXntMu6yyO/U +CZDCOr51OoS4RlR4D6phigndxu+fEO+Q6mDaKmwTYjlMKWGyl5G4Bo+pZpg+ +jmKYXDsuyhahw2bUV1HElpU4EhBBFjVip9Kn3euxxTEhTXDctD7T1oCRLxrK +ooVdOxvKMfoz/YG2zzhm5/Naexm0ONQ9o+PHfHPsXmVMeWmWyhn2xQFwRilk +L8mTKWmfg8Z7X+PQVJ5Hyp4gheRRdPrw5OFmwBY0Q9cBOuSjz6aBFJDvEbCm +LAnI0wnW3ADvCliz4mgp2ChgDSpnOei7KeQT913WzfDDvtvgnOghft52J0Ob +DXfRWLCtopEPh5S0CvB/At7833vR8IYUNUULLawGf1wL4syW1BBDnBBiHIFD +PCLbZEQ7T9ZxErRrh/bcT1LOHdLbEOmQ5ib+09qwPJY2DxusgzKbGph6NNVr +XubH0YaeNB6dcqFnhb+/pvl8faOYncuQYYNqqJc+e2iU42pjMEIdBji9vYIZ +sz4JAazPAIN3akvTTT8Nd0g8U+QPCWjD4fsoEe1RUU5HUxqWhwQiN/FEHhb2 +wJ6nqJE8kTRLwB4kg56lWSc05xwn6POGB/rkEvRZCwfLboZ9ibtgd+JB2FYp +BzbeVwBrHjoGK2t/Ax8K+PMuwR92JsxteRlmtism8p/KdH9uPNGZgLNRw8dc +hcFykNNAoKu60VQQSAtviiRaZJFEJL6ZPBFDFAUJcH6nsiXCBZFFnOplyKLV +cqjq02iyqPFnFk8faGCWC8mCjD7pUfNTjkz5ldvQ3OtDJjL6OLSPC2usetxo +Hc4/zBnW7eO1JDhPhK/0IJgoLhP7qEYxZAV2XFuCC7IA7Yq2ABlX4ydk9/lY +nBmvCdnCVYypQiTBTfcNS0XDKubppSNICnEpSojDPEkNq56joQ89RKXFOOxP +2R2E0KoGQatxVNgRWqURtFIuoUHQiKBVHzYt53ahQt8urzUNTCNJRD1sfjXo +k18JHi64C0aJgv94IcOrRaLoYy+LeQ9fioKfJeDVsfzSotjfJAr97XBZFPhf +PikPv4sC/vv8B0VprU0ToQyx2lOwwPfVRlKWz7cV5pLpriDxAzrHe5N2wpeV +s2DD/YXwWY2vYHnKSTLbKZg1r/klInaxfUGxHMldRR6h8VkLeAi1RrN4PkQa +oB+WBFJf7TQqlkJesYFakkQyYh7DLRT0usYkkn7QZ57JYT73cojbYch1o6kB +nmHukwEWJI8NKYBUMuDrK3RLeyW+kEljCdsmQInDJBgTf2a1UMgzhaO7qFhu +pWDNPiw3lOK+UpWjEvIYoC39zgx0ZdkyvhtLrgsF15GQt444ru2FZltTyGtr +IvSG7LIl1wVGgou/4yOW1Zi41Z0NtdS6aMUuPUqx80I4kyS72Gq43rIqgjcK +IZnoYeULlLRw9hSS4BHGIR1MUQi5Kgqhv/QCdmF2iuIQ6pKS17ugIgwu+KeA +crcRlFsgTvXbR0rDxwLKrRMne6eAc1lHE+DIkQT4uqAU2ahPH7iFzFDnJZy7 +5INzNDa2ktmqP5eXh/98yOao/4hmi0bVUeXDBJg3qmql708cJ3vRqH1sljKw +7ve5PlgnVT+0YP82PUj9q+0wjeVaNJZUAUOI7/APlAQ4gSTA8Sku6YCuzpj5 +5TH8A2XRjikCoqvqf4Ry9TAlTXmcXC8l5aI4hwiO6Sgj0RlKCgfKH3ZKBatz +xEmxQvdjDQ3UkKOqPkJ0HT/YQK3ao8bxZPFTmOhyRjmng8Ba0nTxzKKkF8NT +sXqHI2FHyyJge5cAW0HZjyCv7CpyRGWV3QT7y26HPYn7YGdSNrmrUcn7vPpx +WFHnW/gg/TsD2ppcgGdbCNDWphimoWLQo5iG2XF0DAs+qgVU7Mey63qASuCw +lb1ZVh+t1IEFP5kJ3Zd+1IGUnSR/1VEpAUEJHEtMMFwbW+WTE7mtJN/PwC2O +HVQrj/rD4kIeY3ZhyJ+jbIbfPSRWyDNFSwGrTkgviM7mKKtNWH5T7Xb42lAt +pD3ZMdthH8PvU+/CUeOy15gUsxQ81SdvkH2yMmu7HhZsp3ZGOAapWaJdSZv7 +skSAqGExDoJ70aK/lqiZsf+C/jI4zQy5L9SBcYzTnpUNtxLxZrI9lbAaC3nV +sxGrTaB88FoKq+X4sdoAgdX6UiVvKQU9dHYjFcZGqkzRnNcReO0BSo4eJKr6 +IwU3wxOFN2m89p7AaytFZd+M2sOxeCgUFf1bHIzZeytc2HoHaw0fVuRVAs8+ +JFrgOpTgcbEzYrZ2ZLjE/u501YlwotIs+CrpRTiS+B4NSRxM3Ay7K+yBbZXz +YN39R2B1za9JjCfc1vAshTvNa3YJnml5hQbs8Qgj9Yy91xOSIhs/hIfWSPiT +5is8zhioY9NkGrtpE5bEbiQAyiOu3OLPSTOWOupqIP8vCoGI33jA7TtPEI+k +zEJYC0JYDHhKP8HUgwBfViuZQsWgzYqisps7FShpyG5RJlwPXNPheTGn830x +7KEAx6WPSKNCopOaHYPjsq+P47RyaJWUsG783ACMFoolFYYCjFQqripAKNzw +N4TCPuAN97en1PzDpGqMQxUEe4TDmiDVbknVoM3l6TU68NNkU/aEVuuT5bhG +PUqMHED8dktKjexARitMjuyWn0aHuU9BkoBo/4DxhbfADIRoh2+AtwRE+4i4 +7ZKwTRzm/aIBKzgaD8cOl6BJ/lOHboIz+xGm3Q7ndxiYhk1Z0Vo2Yf2iREXN +vFlQ7X0D1f70QDUpMC6Sk3ELBVTzwbXfkIXTcK2G+J4LwOZEKIXoN4/gWEv8 +mQBsqDROrWOm5yZTQhFCtX8RSvOrjyEUH0OE2lwzUzeOoJqHkIu30wS0/Khw +2n+tK2Z20+As5AFnvUPIpLmaRHMp5Mcl5ozy8gQ2C+mBtRCiMtILJSbTemGC +1gt/qD6SHVQWgSZwWQiBmdNeQ7PTKB0ih6bMVAjNKv6LoNl35XG4bSqcSpID +biQhPkPGKjSps4z4koBnrwp49qaEZ0slPFsJOWU/F734BjhQdhvsTdwFu8od +gC8r5NI6EpQU0Wz1SfIJWCYg2jv1fjAQrfllmNW6GKZ3KKaBOAxRmaSMVzGm +fhRM6/4Uh/z5YZqu3S8YI5ae9Hn9B9NrSzNWh3ftQFM1gKx9Gq0NzeZE7btQ +ldgzQLfKP0BHddf1t8lSbAzAaTL6OxqnRZXXmBAtYokVqp5e26Lqs178hd0o +f0F2pK/p/CD62mpixZRWsZKYshX4v1cjLxqW2xM8LBf2K488sv/8X2DJrPF9 +KsAvavSVcmCeYcqyVDGeTegL+2WOHJrCIqQozNWzJ0F1icAUW5ZKUsgISsrH +PhpdHk20paq7QGAoRmIkUWuar+uc10AKkrWhV/59onCXE4X7ThgtemuFwjAC ++F1RvD+R48k0ZHQsDr7OL0XxKyiRXN54F/XQvy6pDL+L3hidrr+MT4aih+vC +pc64ELctWTmxqTtz/zg4WXkafJM0D44mvkGnN7vsWhpX3Zl0CDZXyYcvxGld +Wftr+JCskZJFs9AYNlTTO/KMHtrg/9XXx6RJ0RKtWNdFZFP5FJN4OcPLqHkR +mSVgLjSorMuLCpFJFl3FDShWDSOTPF551DLf+Y698q6xZ2khMy5wsI+jEqPy +EsmWFcMcr1LLpBvzGotvjGrpXH9dhi1ierKP9AqlQHQV0ujK2C5tiBVgWI/4 +ArmtNWXelH9rmC/kGWFh6pynVx71bcQLki7/CsDybVKijutti/96TZ/xVM1/ +zbfO9dNyFEWArEOT6Sw/RKw3g6y0nJHUQTXMHUhyJseIdSYejGdi2QrZK7+q +OK93wZCCWwXQupmA1nwBtN4QZ/UDAbSQ5d58tATsPpoAOaJzOnykBHyFLvfc +G4jxxtGUH3dLsPXlnWQwwHOMMUrYVZHMibyYcnEtl4Drgwrwf0sreAAXRjn+ +5w10wUtHFzrhkR9b9KAlez7EsqefI/PLn7N4wPC3GTVDRgONeDVQBl4OC6Gu +sXw9kSxd866MiaTHCUXJoeNSlW3eI4f2rPh3GTG0spNomdaLPnsrudIhqEXy +ZV8Zqej1Z/0o/Vlq0o+QV+2BTinmxGoPjuLE/OIlsmIhRF/OYPZsafwlfVs2 +N4aRSErIVP6tyk9wPFJFBGFTGIRpH5fkyBJtjuxFFjXLviaA2Fs+ILaC3O7o +68LpwX1ld8LupH2wo8Ih2Coa7Q1VC8lZi/4unCRcmnHaAmM/Exib3aqIwJjt +R5kwsFhzZuSwHWMl28mEGN1cW85aXODiAWQLvekwXgHEy511UIDsvYBUGN0r +m4T5Vt65JUJlxwWmZ6d8VLy8nkPkkhwK9JV42DRxNfhSJFVhjkmskQbqkEle +Ljq9hvxpKZ+upVjwZmi5Dti3oSWqJQ6wj3Gx9vnfQ2a9XZw3cs5k2bq+GUPL +OrZbWcfC/hBn8rwTllOF+yPCbR+SBd6eM5Qq53OmWd4XgN/2q+gl2/7+skya +9xvIvAyawnC1D3nVTjaSCQyXM4lYtJo+DIeNNrp06xGGGygNZUr1xHHEjnQf +YOONzt0ueax8YsRkr4L7YEBBedpCM7rwVviXuBtm/z9z5x1lVZWt+z3OKaBA +EcytEhXMgGSwbXObcwQzKoa2DW3OARVFBTNgxJxzRFSSZAqqiCYwYA6tdpv/ +2m/NtNZcYZ86hd4e741R793Hs7vfuLeY69tzft/vYycvfoQbHQdh8YnmbZiD +qJmW+bK5q+FG7cs31sX5/9NTHfOf7u2a/2w+mmEew3Xhu6ONltt/J9Zyh+Cy +HgbL8q4X4lcaDISF7e4jhlq78flb7ablb244Bx0Nz/VYghy1hwaSo2EM67kb +WM+hpX4/cjbIZRTcDWeJCY03bPAlBgtz+MsPX2PgdoABgBwGNqOJpjvUarrv +Cq6ketOmrqRx9BGMaRnlH2vCbRua08xvmzjvXQyypfOOevRrPUPey4gsVda7 ++Iwca82IkhIbKpzB9HHLx06RU3hLryeMh4Vzl1KvN9PovWYu7viK7XHJStaP +D3/pXPOt1X+pZVsVShBHCs4Z+SlFh1HGXiY3b7K4f9252rLKbRM21gxo2WJ0 +Nn8qDi3AQ6V0YWhGTVW0qPjMrFEcnRnBzoireFaQNsT7KGvDPmw0dYjZoxCV +SfFk+Kbb3cyCHREbD5a3w+q75kc2rJcPbVgjP8t8y4E+vN7owzFmBtxv9OFT +Zga8YmbAJKMPZxl9WL+oNl+8oFX+bv2qdDOdtTpqxE+nuoUc2OBAI0IqUjQi +JCNhKQfpSKcTO5Pj/4ENY50o1ji5o94qt9QtXXLyBk5PelpRIBR6SdfCu6uq +RR2u6cqgGEE7Gr3444Xm5wKLEgedeF4f86//77kJA93Z6sSKUcsSzMA/KBA/ +23oQhhgPw8uowB8OV142VIRlduzzHs469mEX14t3cW31Ls78nBDqQbuL8xON +pAc/3vy0EghC8x8ivraPNjnL/IcYQZjto2ARvJcDamYnJwnd2XQ4+tygKIN2 +c3Q6hfDj4nZ3GVl4r3kRnCyc1+4Z/NKXEypQNqd0nGVeibr8tY0b8pc3X4Qv +BXz5wx0Ggld3qT3dCJaG6KHhl4LOqeSDs1ZlOanC66BtyhdzsYH44eQLf4QK +v48K6TwrIl/cfhZ+7JUQycf7nm66a14gre2aha4XGOJZ0P4XZChTFxMNwbLq +sEwET/XpzrA/qeHKSkXgTq0QJ8ylE0kpSRkvGOI1RV/yqYOr67gPggUJE7I+ +lzTnc8lLuVd6MPn5ki8UPTPcFG2Ga5Y6smLg4BGUhzLLVUJyVJUJybGckpSk +pDq0epishClOH1tnJ6TiXJKKPVgqwsG1j0jFupNZKlKCEm4zsDaQld/uduUH +Scpd8gPm74BWGlghDKrvnh/WsLF5JjrkQxfA2m+N/LyFbfNheLNpTasEIxef +5fDXDAx/MXnZPA+fTVkbnwS4zfxiRv/Pd22c/zxqSxzBP5zWD7t4v9kPJOOe +aPUFig3MITDQvd9xmBkYo8yQuAsNseCtgKEwpdN0m45+dqulmMB8kD0VY7b7 +zK4BrwHZuKcZBvvSMIA0ps0u8EAoXAXyIh+GgyC8JMcA35CHcELTSkdJaYKB +dvjXbsl/XUGmgQZICSZI1kr57Miisf9YFdrUW0HQjmV9qs3wVmv+bC/39QlL +QikWLatz7bskG+1mcCkqxbI9DKT6UzLX9BdQcICU3hB+hobxJA8SXNaQ4Kyk +Tbg23lD9ZjCUgMII5dK+wqGSlfUJNluJLaEuDxLsl44sySQ5NbElDEE2cdmA +vyXUUSTyy/pq8EoVP6JN4VYWWMPhaYwdHcd5Tgg/6G3hLth1BmdZ+DI8vL6L ++TL8S35iw+pWEV5nFOHtkPHkDT98Gb5uVCGUEsw1f91xawihCD7RgpMOvhQ9 +VThhvfwbUYUvtjPjoD3lP2V7KOdacdaFyhAApmZ0IIIMzrZjEltEVIfd3Pk2 +UIc/XcMnXMGUqW3ij1fY3GimVoplXin2AXlI1jsvWCH4d4uAZww8X3JpnVjO +BzdZEdYoRTg4dYdtpu+wkmLI/FNsT4FYHA+rv55DzZ9ZCWitcjXuJEtn2RLM +32xQvBXcVKU6w/OskoLLeTu4LJCC7xspCED199pfx6faG/FUu6Td7eZnbCQH +660cfAG5GDPbvZ5PbwcxiJn5pI5z0ZIzftMFeLYFOzU46+AYdB9Kwk+QYXoT +SMK/f5tftdt3FIuQTP5hvDzgY9CpJ7npj0uDs5ylGiMSRhYe7IEbY670QUVp +Nb05vMNm72kBUENd50XX3HftNfcda64BHOrjCoequdHxxjB1zS044jQ2oEs2 +FzGX+EQTWPk1ZUoH/RSVTrr9g5PuZL8MkrIP4V3XHm6ytMqbkqKeYuRh6mNZ +6+LIA+br7098vd8D/37T7s7ubDxOav102lN3g7rqXmuvugQjG6boqJKBuCDv +zteg7spbB7MeQm4DUOrBvCd/Hcx8AGaAFQc2g7vNO8jM/v05bro7XXjrt2e5 +14dzEV3zoxra5cc2rJn/U8m9GxbBZgDip5T1H7+YQnHzzBuwhDcCuCF8c110 +TsNX/28Pd8p/GWsk3/XdzBg1ku+f/RDV/DVKvj2w/QEGGZwkYKDA0IATAuQm +wFYLHjz4FoTsxKTOs/MJXesRevZ0r7fzx4LTAGQobvj71/nwXY3s2+M7m6O4 +SPx4cgHWG0PJU3B01V6BOVMBZ4MjzguknwwDz58n0u8bF2cVf54GdTjpp4aE ++RHtN9pdg1M+PRkcJfiiNI+AwOjV6hAWhUr8CTtN5kjZ4joc5VXK85Jh1tC4 +m9oGcmRC0udlRYqvVtT18ceFrphyJQ5qUmCTlBdxUD46DXh/JQAkX1IMI1vp +E++44HvvjsQ3niYPCgJ5uEUgi3FWTr1k2WDiYB3lxuncCwhkvdLTwSb6XjsC +g01/yU8yIu5sJeIAiXyv+csLDTnP8V/gKUtgtVeb14PPjuMQ79eths184LVb +MW2t/NMpayNhHoTcVyDkxq+Pf8HhDPBDtOLjUzB77359mJKvIOZ+v3+j/Pdx +LOYgAcurvt9k1Xe7WvVZQacSseF52BN0Ak/byj8R09qPRB3oORengD8z/3sL +59G7oE/Ip800YE1Wf4M2KvDa1cB/3Spc6Q08XAUbsrKNn37KBrpjSjaBStKt +BmXbCrni9hyKRroSirZTPScdSTadcuBIKuq206i3VtIOqNuY9bqx0W1dzzXa +TV11gRvvabfLmCF/JXLk4br7HtrsaJX3TvubkNix1IzqJe3uMPrtbqPfxtkr +b327x/HQA/V9YLmDSy+wzYDiMaXD7PzNznU4zl8xX/EvdF+SP9PrbfySf2jA +8vy+v36U37Wt03DXGQ13NWi4vb6PLdbH/IDj/DS92judxrgcfsTIc+jF6uCj +uUrSXarYlgd4/SCOj7Z/Ix2mIub29C448PHd3OsEURzakmvsKetIW1byPsO9 +wg+ZyRnqOom9Zk7gERyths40st+T40xZH2dUlAIbPOgywwjKUrHC88w5+uhL +Ai+FFrC0pPADXJX/hTTJV5zYy3RFByMGwpVejYbLwhyPjHtvrYRxr3mRca8E +oz7Tnp5b+XZzs7r9jvRuvzD8+3q332FcGXgpoWfnuKVe9zrn4SOlZx6Fef9U +qVfx8dEN2F/sSfpV3YHrt0U/n6QqDjOPBai9oQ3r5KcsWB1r1K7gO9DtTHYC +BMLLQMKEezDk5sCQPY8WfPA4fD0B2tA3wOH/uxn2v47eBJMW0HYOubJ/H24U +376i+A7EID6MMQhgwdUAwlVLzacgmEQAhQgXAGj8BFMIbP5f3ZQS79D8GW79 +7bJvV1727eNGBPr++E5MC7/v0wu/091n35CzA/+fhZewk1d7AIdxPWKo/EYk +krX2ZvyZ9QLiWAkStvsH6o/HDPoBs7V8E7AK2u5VwPqnmzF8R1ZoJSonyhVr +FBh7iXUMluhk7PkEg0/MjDP4BQOpmR1IaihlzioIf/2de9i/NsCMYTAuHxwK +B5P5C0uH4rfUj/OiqA1hc7Uh9EyEfrxLEVCimAbciltUKlnmiMbpeVwq3pi8 +TLVt3KkkpnYLJ8q+ZgcSE92E56MzeKu6MzmX9U8sbYbCr21tfv4whKTsodBE ++9fvyN+Jvc3k2DI/sr5zPqRh3fxklpmQtB1hpgYgiu42MvPhxeQwhAvyRCMx +py9hlyFITbgiz1/VSM02CFJxUnMdkprsNtRSE67JsDeEiMd/n3Z7Q++iHMhN +ZMmFl2WJfdwu1+Utgh0iS86RniPR/M/w52t7mF9PuTL/bCTnz1ehK1HFQcSZ +2EuKlEBmXtrL/JpZdyI7FJnlSwvF5tqgiMfmwV1QZbaywrLKC/IAzNH2PRqv +w05awkLQ+QRBePY8HpeE4hM8AfMaJ9JWcBUvrLEC5aWTmC5Mi+tB8y80IjMb +4odqZT3IMvPDhMxcHsnMq8wPrQnf9daEfDVuP8ZIzTsDqflwPt+Tmi8jMWVG +e7oeT+04M5/UaW7++kbz8/GbNOQvbUkX5Kd6v4MbBDgcjdvmo/xOkJs7+HJz +GHuOxEMuGwQ5HOHa8JR4bYhvh+DUE5JTd74cmEqB3PqpJzlDfKeVnKpSV77+ +aYHYTC8QJbibedP+8bBSaXFBIgQpK3xX3h6TeLwKUFuArMxOQ/zJUtG7kl0k +Zj5ppeRMQDzW54Q35FLCb+iiIlnUTy02IKy9hSFf0Prikrvc+pLidSYaliYn +qXXN/XoDt0kgBVoThnZL6DtsVcisG+gvGmnP+Gi8Z+RVg3sLnAItOin3Ve7D +PpECvYTL6fwUSQ/zXoDLCNYS8GaA02jgPH1apjxvet+4DydK6B2hdQXcnHrl +g81bcnjDRvmRDRvkxzesjTvHc/g9uY7fk3t4bfH8Eo4GmjelYVHL/J2GVsQY +nb5m/gWUY0Ph5HPt858f64S1Nb/eupmZ1T3McO2df3+yUaKHbcNKdHdSov2O +xHsIHDfgePF+x6vw4xayY1SU9gztH9tPySd3nI3Q35e3oCj/k32IvyQfq6BG +b2LHIqrRPb7HowOq0YOUGpXz87Hf5/8aSp4U7VzUaZTj9Aka9pA6I6wV6WXf +eYr0IMkKFylSbpk6QCvSWz4JMsMrKiVUUhBhPkY3dxcND4lJW0n6GC5rrEBm +88NLXVjFTqYnFostWiyLO1VsfNtWTyZXzuClU1710yn6ujHHXjdS374xrCWw +IwZgFrQeWm2ZBaVTobLUwG/0IEZkPZtYqwn3lnTXOLmKtWW65D4ukb019wkt +NzA7WCfPRFdye5vSlX087KUkzczX6XwpTCF4975wg8YbxECeB5vnR9V3yo81 +2vIfSltea2bBLWYWAMnlQfNV+pTcos08mAqsJnAoGm0JX6eQYoF7NNwkSF+u +GevL19fD2qt/v0rVV3SXTqwz4Tb9ON2mKU4sCRemv4xT7sW7lMbUa024U4PO +xIjxFuZ/hEZlZmsr2B+XcY7wky/oZtTrzYAU47GOL+uNR+uS8zVKBJlO1+ZX +hlacVlw2LS1sxGX/o0BVlq2qLNtbs5QlcOxXacmy05Hd/2H+X+2N+VgrJD/e +PE6fgJCkO3Owrwx2ljaN0kHEJN+clZh0N+dRmEyRiDDZECmdAsb0Be0eDHaX +z3FKBeyIFBmeClSXTrNxMYH7y80W5C92W4xOJHgSHu1vROXWH2Ao8Y7tPslv +Y1F5vTay7+sb2c/BWzQ8B9TjIHtMSbCEPT3kQPrBw3sdFIYRwzTLzQkkhC4S +rA0pECHNz07vd4xo2cPRupxf8XFdqbXYvyrRGrOG3URUtbO9LdnRGRa/UEfP +bNoThH3icmJqioacZjMrFvKutwIlV9lA6wDeWqqztHYLTWa3kOO76JE9WW8q +USXapHE0v+EQ/YT5ZyrxXWhRWdYLgoyasG6v6D3sPz2MGN9qbeb9I8jLdbkP +euFVJXoPWSjO1XFjAr7A7coXivAInMJCkQ7TEjtOryvhUdgbrep0nN4BC3qs +WGzYHMXi0Q3t8uMXrI0ry3MWxg+ELB/GI7m1RT7XiMVFTG7FteXUtfKv3lgX +YfT/AWYyLA/u6WoGNEWTwfL9/UkDWDDumH+58+7AX8d0HvCm4NMXXC/LOl2B +MWWYJgvNJymYmAH2B4CBqR0o3gKfnQ4uQBeOcdt8TJ+ceOFwK8yr9lArzIN4 +QhxGl45zjiHHihyvZVLAGlNHXvQBW3sXI+F4WSLOHEFmvjK/W2aWmN83d8T+ +3PyZmSlmZCRhgS7aXIIRU4JFpvkkdabosK+0rA/ZWYnP2Hs9wByqB4IMDN+z +XcLZ1k/IAHL1E7Gj0YnGkhxQnm/gIwr83wIuFboXwzSzrZNxdV0FYwcnzgyc +OpBjCdLMqW/Vcjh7JM8M9aNOMdL0scV8Gghq95A0dzLdCJOCGwhO/RRF8otw +nwWcT/G6OJ+LphZYzTj7Wo9W0JPxMciJqjvHjI0zbYLFlexJ6+9RZkTQPpKs +yvtwkmVnvGZIqu2w+s2MbuyIWJlQN95sxsIdkGrha8bzfP6GZMsMMx5gL7lg +US0m3d7hBPTyuW0p4WK0I5ABnXZcN//qdUbPgKfxlQ1cItr6GqmE3erHx4Aa +2DH/jfXj76AfGQhNO8qu1uMIFEE8i6OG1HtKOY1rDVlWaZhusKO8rrv5M5WI +gT8bvlXWGveV7kzOGpLxNV4yhnQkqkg8kZdQQpZFNDbBxtjcKsdP+x+tGkrp +0I07yJI9cJsJ4aVTKKECgrH7P1A1mmfRbh9ROFqDIi0gy/bO7aIqNdajyLfu +EgjH7Jh8ud1DXoJ7yOWedHQn73ftyXuk2kXK2Vtsi2n5SLSZF5V9kc/f7Wfl +E2En2YV2krBRwBN4T7Ixwo0LnE1QbHHHdp+ihIR0CxBih6dM7YdpIP/3jjam +DO3HJc7h1siuKbFXfxt0Un+ZoMPqTupE16PaCnhHKhtsfJfmeTO/QewR1UEt +cEFbzeqHonEHQD5HPIzvIEfxzKWjYZyXAtejS0fLQsC7i9ewtpwrR6hSleoy +NebjMPSUvAjaGpMFJyQXBAkGdDWryRZFq0mUmyUvE+3UZkY4webKAqlPUnwa +vyudiY4ckNoIdVOuqYI+10bKNa5WdMHLE4EXEpw90CwVOCH5Pu4y0m47uZPd +Tg5COBm8KPpG7hyR2/DL0hM3EsCUPaJhvfx4vJOvga8LNEUON6/LjebnDnZG +PmlelpfhZVlCmckG86IsZXfkx9PXQL4sBGJg2/DfpzrmPwOYzEz9X26EHLUR +nuf0wRLrfw82wnMfEJ67QbE0oiIg0AdTD0basg0vy9/teC3fze82M+cRrhAc +n09vPxkLPd7YaL75PF1ob+d478BP04+jT1MMy+zxfX753r74hNninJM/2Boz +FJ+praW6o8OsgVtIGJ6hm4gI0CB7DXWzEqAJNpdGgILwHPV51rKyk5Lmkvln +zWQy36pivaZ7+gfx5vKeZXBQlySNuqejCn3P/PXxWKiOewi39MxZKqN+EC9g +nSWKDhW7vrmZUg225NAIUIrqxStMG7SGv5lwXbFJvYKx1TR1Wmls0cm8NjiX +v6n8PI40jS7M8fqUQgq1NkjmRZlqEqjnp32YU+OFJt1M1EJzenAonx7ac27O +w04ff6l5he3z6WH7fM7yPJkD+PAB37KSp9tlnl5sMsR0/o78/dqPs3SbmG9X +YB+ubUUqlKhdY0YIYLQgTwfFs49xpg6O528YkfoWLzjnw4LT/CzlGDYc0DF0 +M2sNwvUYofrZFEH2kFDFSPZ4Eart/EXns9RUQsf0DpjRI7HqLzutf/O+LtRg +Eno4vYWnL1h/vXmLxGG9Wwku6+ZX2O49r/VS3CUQrOZ3yK48SbKWQK/CH1u1 +ioxsWXoO7rry4etP+6Ehk4WpPY7jgRyzM7TQJIxO2cWmjTKlhWZrik7DQhOX +mqf7uGleahpxmn/kruMgVLuclw2JL+SYp74EF5vL+UpOYRptyLyWkTvhcpMy +1mTMlGDN/Y0o1AmUuW43NZ/afgaS1oCNCEvOVzdbQJfzrZYilgfWGHD7AifW +PX9b4anU6/6uVCqsMg5w0UubxT72e9tnkFp2agfWYEtg+9557tF1VUGp3qjz +2Z942UobxNEvgXe/Kuu7Fa8eWgVjP0L54OLBWzkYeSs9BC5Cqee+i+RkZRn2 +8JOV7NYBBNkr/hE9RPUUqtOSG/O6EHy6YLCr8doXqlNX8uZpUs24Tu1A8Wyl +Lfc87VtyPOfJENWjG94yupiX9fTPKIHdrCiaM/3u7P50Cnt6NcLUN21GwtQm +sWEbejE7+HkTquCL/tncbUO3sdtQWHMQChtXHXA6n+9O53Qm2w1XHhDXBBsW +vCgI8zHiFKpMjmxYPz+mYY385AUU3bx4QZv8KvOqAFl3NMc3H1u0Sv6CxDfN +qzLPvCiLF5CZE85k8Hp8MXFdXGuA1erHJzrlv9zfBcFtv4zcEq1N4ICHQnBP +oP5tv/zTAYPx6x5GItxtlm90Sf5ex+FYb0Tn9AcQwQr3FDB3vtVhWj6pU52N +9OBJvfe79uMX0txjZazsTGPl6l2/I5GqNqRAzIfRAoBHNHoO8ZPd9rSuzZ78 +QextSdm0Ax/GaNy5SEEfrVD9dyBUv0kkvfnuotLeB9z8mfldNDLV/J7akeQi +3yxUy06o2mqVMrVM2m0pDKmy/qjOuKyXC+3E9vnguxldanRZ7zsZ8yB3q3Bi +L1nRmpU1mDtLh4FoeG374nwcYH/D4SWI2CzKEzLFv05R/P+oUBXzzxSs4q5g +7LQDzM0vMJvaxLfG9Afcn1ij8hb1omKROjUWqQMikerXZ/tcSD8l6BydV1tH +p/WLW1bY2YGr05VObm+rs3Xp5D6K86pSgA3djFDtaoRqe3TknMJCVb53gRMG +IwXI+8AKA07Qi2akTIBSyiW0UZ0LG9UlzfPFi2rxGg9ACCtWZwZidbLbqiJj +UsTqy+bHiFXarLazm9UflfszFqydabtqBSu7QEWw8ob114IrfewGtYly2KTe +0A22qy6EpFyh0bWeXaFlBwm/At2gl2MQ6bLeVqw29Qz/ad8hvDrdKgx1l4OT ++8fd9MkdUNunwp5Uct3WvFm25s0Pw91p13Pl5k670+MCD2esUJdB3BsVqng5 +4x0qRods9Fs8naJSHzIq9VGOgD+New2iAnGMCMlAfIrvALvUOtx1vLZJA8eJ +FufP9nwbnxPYeUikCJKi8KTcqpWqSovq3EAyLcpPyUmqfQv3HLq+XcfFL5Mn +41tq1rvGV6vyRFgXFvs+vTToWJUC9dVqvg/ygOFkVmtdVns9+K63rGC1WtLN +w7qMoRApVPZIH+pCVrBNdSkjI1hLzs+fEKuaGsTlWBl2tWRlasbCSc/Hem/U +902PeqF8vOnUaqX+0ihJXixX9elMbPyNxckxXjT1UaNp0+15dFLzL/dlfhRw +iYq69c7sGQ8uOSBapAb1LIgGCfXqNZ5e7T1bg8JFr3KkHPWqu967ZeopXthI +KELbW6vn4eZRGcTnuQO5wmVvfFhoA7ITdqwSdK6vXage3rAhBo+OgwiB0axn +mgfmIvPAXMlR89vY9vkIb0KggxUeFyjuWrCYHpVl5kH5iOvl4fEAvMh/njWP +w2Od81/GdcXBjtHzy3ph4+l3Qwfk3w5i3boT6VaofwF+BlR7AttieZcL8/c7 +XWkG1kik18JVH0JJde2eR2M51ga0n20Gzrz81U3J+6Mv+/dxOAkAlrfs+AW2 +kgOWzPsk3s8dby7U2lV9Gks8HS/8ArUMraE8fORTOXnlv/R7P6oOn84SV/cg +l18l4upw9Pk8qpVJBpfGBn6isoPkWuBlimXulzK74Lpdtmaunpm+uu2RKIUu +spehpxfSZ/czTC0qeyd/zkyan6zwC9wl2kuq/8//7m7pR5Js3d8s1/P3Jvb8 +qf4pNh6hcO1TybHu18YE69Xm4XpVELl0C2pZhKxU0yvVaoCos7cezs6o0jAa +B5H6zwhjj34YSfCVJF0vVDFHZwJwNQVD1emGJg0VRQnEgr6KD5y/nfkippqC +QQ1bGvnaJSlfr+Y6QIg23r24df6QMgS8auQrlH7KrrXeyNeF3D8DpgDwDllD +KUrYtcgYMFXtWwV2NN5hMNEcEO5cA4OAzcw/qjtrqLfm9zDMZKWsGAUYlwlm +gdEiYzcvgY7NVkvnmkZ2D+L0PkXzJ9i/GhlrhIW1CwSWARav1WlXt2T9pM9x +1iHagx2izTjMfrJCk8tOtazu/KdlxKRsrYyiAiSSYLtOHXkBd/OvNbo1O0Xh +y6HiTyBF4W41VK7xfnVpu9FWvZIDYBwyS0S9Es/yKVavL3AI/rV8Zrs3EXlO +QXhIJ83J39yQ96yb0p71+R4QiH8H3QCP9l+GKSVRsIC4u3WHL2IFm8oaHKVQ +d8I7qURIPl8ZTIuSS7jw0CpW3AGfuyzBbQlseiI075ymKfQx7TDeNQNQdq6M +OXaE41jI/t1vtCDPqTu7uUeguQ7O65ubvbdZKiYsXuUV0BEmVxdWJGhrtKC1 +/YP0CNToWKpUECr/qV/n+rr9YUlblrS8egF8cnGNjg04B2qq66aGTQF2/0qO +sJpwn+E5AqY9kA7Lv1bYcpMUsmFanoVsv1khF2k4N2MENlR8RnRe6Vzzc7aX +mrfL17p/JKyoQ3xnwHwQs84ZAH2EZEfdhc952yoxu5W1pEJ+6diGdYyYXTM/ +wzw3F3CSfgTbUoGs/uAiyi28xP3SkImdx4R1yCvA0wI5BfCZfTOBXAKw7QB7 +6i9m7MNoh1kN9GIoCfME7c67GkG7L7boABhuRfeTcE5+0OUCtKmCCX5pu1vR +LQCpSeqXfyWf0f5NrCqd2HlOPmHj+fgF/byXkFzOCUlK3IOoHbnz11bUDhPX +wH4+ZvO8w31Rq5lL4hzQDiWZRXL/sUtZlcKP7avfRUl8u5QNexIjYctf3Te7 +7NMBiexTmsUJitZi3Jq7jew4L4vvQJyYd7KTzF6QygnbAB2Paqj4nhewO1Zg +/Jp/NJhkIeENKL96I+v3ddXYWmuKZc6xYXtf1dJEayai1i5k+6QWsn1UTzUf +lGQfm1lZWxXAKaFpK9XziKa96I+FoKYHmtaGKeUr+zryxtuhJJcgp2klOCnX +n35c3fNXVb0lYUm4+Dj/+17qy1kFJRu2MLqWve/sH8BLz8I2WMMFX8234qAh +K5J4CMCO9PoSfzULvYq4mhUfwbzVAm27JvYsfspeArgKWW2rja8SnJIVrYSn +eEXrAlQJffsQaVy9qnV8KN8M+6uYYRP+gl9uRjPsTVuaX5ukx6CsOaCwsb2m +h/lHIReqmoVkWdt0B0EzJW6Pt207uIMt4QK2rOt2MlnC2tCTXcLWaAMrLGJp +D/tPz8P64UZx/ukDtApABuoSzkFdzvWIw7giUSTtNZGkjReyY/Be54ytErR/ +CCnMFLbXsvZllrVv5DPaTaLQPdsHJnb2F7OwKwELwVNsIcBbHwTwOULrS9tv +09KWExFoeIUwfqVCt9BOoPcjFuaSkre8D7nBhfM9eItnfv0olLeAaV6erZLK +5ysslM7nS2VjjcpSLdEXuogKpbyvxGVONwPRca4eOnKR/572kq2ctvWXtUrY +Nmm70SwoxVVpfO0goxMdMZlri8qA5GlQOKg2et1RyVyQspXBf2um3Y/LWftU +4ML2nuzZivo2ncW/KVjWXq/y+KG+hWWtc71SzOpCzthqc4GLWmkG6NZ1elkL +65NjuCGIDQbzD43cr1bj1qc1Ljw9RzW0z4cYjQt86NMXUA/3ZWxfuxFx8K3z ++/jpeV7a5cyzM2cxOWFpabsaPjGfmucFViZw7YPnA56LX8yz8OvdG+NoB4IU +MEOhjO274weyzt2BdO62oHMPRY4eDFwA3QEyfnmny3DIwXf6Ems6eAIHFVyO +prWfys7Yunz8pkAD4UtRHzEeEEYe3LG3M10KtS4TQTRh6pKDnNa1uf6jOcgp +ES0xIKjBdCIbEKRt0i5xK0W1tAlhmG9CsB4occsavXtgQu+qJW5G3PlyYEIw +atf8fdH80QpZ/wh4R/QRo3fLNidaxeI29dmeYkuVNFvqRWFLKci8shvUCeNO +JloLULUy0UJZG0yzmW6a4SCzEKnMR81Ptqj58P5UwshW2c6zfnaetQzsBi+r +sooq8/3nNT3f780pceXf7tlhbfxTr2t15YS4723O/1xbNdFb3AbMmtcr253R +FjsYZ80e2nFQv4uKdrLLvmEzPAodjRyQtay8vWhhWzwKXb+IvqPv4BnzCDcU +vWBmDMQ8J/LqFlz38xYzZyqQuJDpEom7Ate3LHEnO4n71evroWXWsqdA4r6k +ZK5e4T5NEvcnu8LtmP/6WIIVYGXuhoEjQWQusu3N/+iN0DW/Olbq3rYZ/Nmt +m5tfnV9c9otlrpO6PyNDABlV13U3/6ze53qI1KvNf583borrQInbXifInhYt +BQWhLGt8JU3bPPK+Mjy+BKrW/H8V+fF2TSt1QheqgNbF5j/OqNrseJvvF11L +rZNa10rOX4wG2g4batt7ObRFEKl6u7J9OtC24zG8Rdp2Kq5KgF86ERj0uLZt +wLXty1sssiEuNB70fd9aZO/ltK+sT0Yl9O1l4fpEFRYX4aqHnpEuuLMmhEsU +phoYAWG6V/vVWOMeqBO90Qr3I7vCtcuP2kDj+gBCqSOOuo0et47ZLIWwp9Vt +c009JQIVCVzlPmulsxLmMZiH4laMZ1hPTgGJYnVbo9UtvAaOGJB+CNgyOzF+ +CAphL9VhBGtCjCDZEJppG4I8CvhQ8LNQG0jaKCkh0AAFl2LrQU2Y6iX3wfMe +x5oE7e0FgtYtbPtFgvZqFrXafXCp5z7owe4Dgtqf6UW57NLWCNqtlWNWRO1O +tvZSGALkmt0TXbMganczj83fI1E7CJ2ztE85oqGdEbV/yU9csGZ+GiNQL2Wr +20jz2NzOTgRgCjzN+xSoxpzB8PtFCDOk8hPYm1g3wisb4GMB+49fH9ww/8UM +eRjgPw3vgQDA/5xuhO1xRtgemhC2fUHYnoCcAfj6X975EjPtrsYvdphi5Ep4 +lCNfr+J0AlzqxM5z8wld6jH2BdMoNOuLBYqiX1/mN+z8TX7tLv8m3B07ay9T +4vZCJW41f8C6axMMgjAGVrTMPVSOTEVY1asqLHOv/8J1KsnX+U3RMjfDSs4S +psGauzHWpK71svNWiT+hxMtcj6j6qGIRUHq1TCSUJ5GEkiFANYUhsHba5Md6 +WaStG2ZZ6c+XtjDRarS0pTNU3wIUweoxisBb2L6cB/VrKRdCRvW8w82saizl +JfUbxbLWL8+8VZ2UYiRqHxUsdd79C1DWdkMP1L88/5MESTW5RMp2nU+fqSX1 +O6tKDZg0PVjadvak7ZksbaFaY8QiSn+N4UkD5exPmEnznGxvA2MtOBMWsTPB +mWsZWSA4VZG3U9fJP2N5C9grMdmivNVbXKH5B8kwwWChxGUU1i+BxP09KXE3 +CtwKIdpgU1UGn0QcWMKBW+hmxRvdslW21a9uW1h1u6LXidp9IJvaLU81v+rI +OnWWWc99QJZZ5T5AVJVyINC6NiPv7FlxustjD1yh+AMgbf2Ul/PQCn6fWQQo +bbUb4e4g8RXK2+dY3r5k2QTgqZ3efgrLW6hYovTX6+YxGa/Xtz0oAYbOBPDW +DgRvLcU1PIm7s5O4ALW5IiFxrUOBIxue5e2fPgnRrnHPdY9I0qVQlAzT25Dg +oUg5FZJ+23tV24rU7JmpGexySeqWHeH/cTTdGqFb6zAGtOpw9z2SubTGrdEI +A1upR0e9Vil4gaJiWWy2ctpWkLf4DjgglmR/Ve63IhOrZJlYWVSnPPlVxBna +E5474zVLnfHYXNtMn/ICPk05CoM9nE0NYsCCTU1VcTbFjxAEwTxpe23e1/Y0 +pfwICSwW+xF6eGEwt6+VB2abhCfh70wrEERWGAqD9IaTtwPdzhblbVes7jy6 +YZ38BPPwnMrkgovRaLsaUv5vZW/CA4vp0YGA2ATZ20KKA+LGzMQBHg75E9bB +RwQeDngo4Lz3qxn+kKoAr9lPV/cg+j9I3GONxD3ESNy9SeJ+se0+2A6PLQBb +DSWigZmcyztfjB/0cJiCD3byKTyExyYMjSGAb2o+2Xx8v7GhpjkvzZ8yH9yP +ilcBjklswJVjEhAOroVJBDJ3z+8r7nDP4R2uhMgqGXG1Z0HvcY9IEQ+iMJnf +HXVwyGut6Fv41GO2HnDbCqV0y3qHm5Ebt7mdZvv63iuKvDZLNQhosatzZESS +ftv8e9rsq8u/gtalD/qWfLFaFE+7kNpCjqxVY0fWi/WqsHheJWiLbQyYMLei +fyElhmu8sgDicrEdy/x3Bo5WQT8Aq+BmiiBtVXBGR6oaNfdQBRezuP5VJeog +FMEJj5UWwWGKLNjt9vHoKr4dV5hcW6ngKiAP6BNc01QqtI3U72SE8HbqkxuE +8KYshNf3hPCFC8krBayuGzldBh0CDxgh/Bij/CBh9triYM/L82nRotp86cJW +ZNMF7qvFIpAYlrQZ7HpBDH8+cV3frvva+mxpoH3vdy8FtoZnna0BBfFTvm33 +l0c7wZ72kU7ZWg6ZwD0EvyUFsdv7ptNom/k23jJrYrTxgtthVDdwO4z0WrFK +sPcFHbzJykMQVvQ8yXPeMs2AnLd2pSviV6wKG6uVbllZFUj3np9date6HyTI +BssT2tcnHOjVrrYsyGp3dIFtQRMPHkuud0H/wmWQXLlTzAszDStGgfZtc2Ub +OwICZcuWojtOLAzkzgUSwsf5HVVq4IsPaaSf5uSEU/esYNWr6AiHCsfr8qCR +8JoCHexdBI0GvnVFlTp4GeTOlA6m7AZW2fs62NWWtrZV9u6RWOIhvUJQQvAy +FN392MkQdtcrGZw1qoNVtNg62Qq8uexji+qkJwZtVkkxrCNn3CRNvaXNeD/i +LXj5aWgewrrkeaCIWarBqlmqQIBdC2+kS+kbdS2M8pa88oroJa9zLcQRM6Q8 +WkMcRcxiZy4V1cMLI8yubW2DqYBiuVUAnQsSNdsHi+utEp6/A1MhXdxsECvh +Ixo6mpdnvfy4hjUQHIsvz4I21qUrAJ5x3Dbgt9fQa7N4UYv8nfpV8uVz25CL +YerauFqBwAcyvcwLAVdAmPq/Ajbhxi0JKHtBn/yH0/obNby1UcN/QzX81c67 +GDW8d/7Z1qCGj8S6PzimIT5hw4vwzAVzD77xF7e/U7kZKIoGKQKYVRM76Sq9 +xcwRdI6G6PzEOIXhu5i5tDv0nARIBVDEPJvOY0WssQqhizdEK4jtaohqUUVn +g3byWkXcWERNnatGfMnuhi+iVtWCiBqqYqNEvbGWLjLIqo2oob8Btr8+u5BG +HTm4RBPvol0OsAAGc4NVwnjm2vGZ0NRb2e+Qld0GAG29klHLFFkB5x4UGjSj +uubkWrjGc/L28aK2teEKgAefVcIFRQY47IwGbqmHXSO1WGfl1ddiPZBw7gb2 +Bq95NZbA/SzZ2gyvWZpqfZlqYT3funf1NYriAycF3BbZBetk2j6cf90l+DTv +gxSwwQ2bGBncKZLBFyyktlawU43ixlb4NL9vkQMtSFLtDXb0TufP9PkohWs9 +V69LrFWQwpNCKUy7YT+9RrthnWDzXb4dRQ6DNn2sE1gYnNPX/JkRxFmb/HdO +s/1234YkhzX6Vjl+f71j01AOg7y+bXPQwRbQIK7fWg1pYCsE2iFECDc1o7Zi +q394Lt2PaefruXQpdaZcuiMC0mzC0dBJYROsU9cPoC3zarCu8UJoKWcDNbDe +ztVYGqVAEtjhFAj6BQSeIgk8AyRw+8nWwQsp50kd53I4bT4a5gBV+2I3CaiR +cQ5CInBfhKcGgiJ3MxAM6rSglHskPDccFhEZDPhaAIN5NY32xhgXdEfr4LP9 +dfDhYd1WUM590NUVgmuyVAnbXkevsGjbVP3WPqn+Rbb2WlakH/fIHKm8GMGw +k7U/lG1Tgvcu2DvhS7GptzEpPLfJUriZk8ITpdyV/Q6FK+HUmxCvRV5yGnjV +RlIdon+tBi7G1dZaxMLAVMhj2t0lZCyU1dJkLLxEM0ZnT6sLYpFpNwymDS9Y +BGtcraKC4WJFl2nxlZENdCEZjEgL2rw7ON/FM+/6tIX95u9sXpwdMDdCi5d+ +LIG3zA+r72penQ75USiB10bqAgTVzuf+BEL7UDb6nsWrYjb6SS7ZkYUw0Bca +YNmyoCW+LvCyoOdh8trYDw6vBuB6YEmC099MebjywaYCEsSVZfDBVMjV83hq +sjbj9YMNL8TZCM3T8Mm/pP1YdG3B5/w8M8PmoPfhTQzTwif7G13mmTm1wNX8 +AfZWkRni2fQ1fqJjYdfu1DB9mcymwAMhUvisIfSprk2+oRSuxgdRHa0htSD2 +vBDmd9eIYfNr30iwjaRwrWqaTebaPDeEirUVuyEy1+W1hyQZiqsGm6dw3rbR +K3D9Vv7wnx9Mu1bYat1/vFcdmxLAjevfsmqy9oZdc49329/FcdMFXgkw93MZ +MbkvohHniqrd5/1bCenrYWNSDDHN23YWiP6eBeJ6z5yF7t45w1yL11xy9xLw +9mxGF57uJwuYJ6Z52oIs3H2exr+4ApeDOMRGzbFp+fsvTszKILqBU7OQKLgX +LBFmED3OgTZIz77Gjl8YSjOtLaJFvmhJ88j1ixKYIbkfzVzTSeCpTgJ/AdYI +cf96IbcA4hBaJJ7p4MlgZ5PwuGQggY0QXi/pBv49AjsUWCWi8NvmyhUshAdc +D4/stlJ74HWtBv64xynS74rpipBi66q5lOdBi98NJaJmibZg4+14ceZ2v8uU +78FaeoPd73uRrdd5H0T8YhdD+7He/te39z6kdsChAH5J7YDfwI4GZ/OdlU/q +YARw5zrsaoAYm9sDL8HKr6d7v5M/0ZcoDQ+hCCa7713bOqOdfWi0CN4rFsEX +HOY/MqmiH2GPefvgxuJtuuAnhaxUvogDb/4sLvQpoDh4l8Sg40EJYfT/hhAH +8sixMUIAlBmtSJrRk/DMorjeoWQDzx62oTDWBlkxxqLr96Dv69Yrh6DJrIXD +9bzhJZuVLaIFi2B/J+KHmpvpZbA8DYHft7iup1x4ImwR7Ee8M2FGXNwFySbZ +dNJ5JdEM0RJ4ROCpC5fA4q27SDl9pSWswA7BrDG3BHZ2CMcbG8wRtoMwVkKY +hkAFzw9VMFXNHla/SX6EeXxABQ8xj89JC9bAKNu5TAi6iqMmkqS+P6CxT1xM +y+A6838ulLqgOrFGrInWCHL/ro/7EvDOoRI2Ax6GN9zrgKMDfd8/nGqU8JBG +lHC3U3DgwkCFYfleh2twAwBf/DDkYLBRV+FLaORCPlnHmfnEDedyP6G/FBac +jGRux27/mRlSX2ARxMidv0GsA0bdoL5WqeFLmLV7YWCVkMWwN6x05C10BfPX +u9TaVmKXJe0Sshw2g+zga+LoW8oycUDCMqGib3rAZSU0TZT1dhg2w3d/YKaO +N+zGLQuXw3uG/DJdVIaGMJt9yMghXBP22/jV2wuDjgjlA2sbYRelbHsAe8Gs +Dq6kgZuFGtizgQUf/ZRxaOGbIawSTo06zdMNaTRcTXOp+9KvagOckMHT4r4H +N8lCJ7AEb123YegG3ordwMQlO9v7VncQGe0IDrbAUbB2N5xIfq1MLyOFuxkp +vLGVwseZaXQyS+HzIYOwAHhlZNK6md3BaIpYTOepp9mshdvgxbINboHssnlG +Bgt+dylPKS2HpTMC6InAMVsRymEwSLzh3MJf661wGIpjOfwf3gr/10rijnoz +HDIgQBF3ytaowjysvBLok0h6JSgmV9aCOBMD8eBN1185Q0RzI4S7/5MXv82c +AJbl78Zng92h6znm01OZHiLxq5BjFGU7Na19mZvramtHWN/vu5H2ZQqZXf7e +EXh/xyX8v6kFsOhfh3CY3m4q95SBD2KO9UFM2Lgelyu0BCYvMDwr4L57vO/7 +pIHN03K/eVrk5jimCRr4oqhvqBjlLvE3jXjQZZeD9TMSLlSikssvE6gHei72 +d09FRgnpstbBGa5LoDvX08Hv53v7OphAZqtYE91uTgf7vgghsT8FO2AIwtWQ +L+JZy3ogj3AbzeRNe+XCd8HVA2W27dzp4IyEcK0SwjNCIeyXnHtMBxbAlH2u +1U9CkBBRTUCJrkrKPq/qb4Cn+m/DAHwbvPeBvMDNfPH7VgKwS5ifjCJuMyqI +38T61xO/IzwuWe+C9W/PgvVv9wIHBHnsTgocEJpPJuL3EE/87h2I3wOU+D2Y +xS88N4fh5oXAu0PQE7xGfipU6EIYZQGHUdAJsRo+N/dy7I0I77QGnsLeu3o4 +NvKWZRm7IaRAQrzB8CzAE/Ar4H6UAP4RBPB5fasQwMdhKgMGL5zTlnW81Ajg +4TgJYfLBlz6ct2CqEeMB2s8oCgdcRZhazie8xIJnUsHcW3dUXmGOxGE4V6+E +D1aFE5474gcrgs9IcB90NE5X9BaxH8Q3fIiEeJu+FlYiWE21mz6NeWfRV/7H +Jd0K7k5ecTu4+eK3bb0WbAMiWGK/duSl+3JUU7j9/l/s8W2eUXybuHfCzTtE +9nJQri4AnLWw++BiM0TzlBAO5t2ammETNEu8nrIC61aJpmEeLmi08SxSwNPu +1hctReUlVrj/DX99GvMwO7QCy9g6S2XiXAOaS+Qe69HMdpmf6q/Zlfpr6qW/ +RlQw0HpBBXf0VDBcps5jFQw9NtexQWs0Nny3xpY0GE1woZKc3AT+Pn/LWwoT +xVcrYbAIWyU8O1DC01gJK/KZ5Oa+sko4tRz2PRL/iSzDgRp+DLwSRgubv2IW +FZHI0v1230YlMEoYpRwr4gLnMHdZ/HKr2g/fvKXI4CaBH0ABdztVlC8oYTE8 +1Nqd7wfwow0PhCYrge7NznE1ER213TeWvu8Fa9/3Iukb+x5cDa+sfovlb9r/ +EMpficFNIw9Eh9nmISEPxOtd66MVsBSiPdnnPeWD+BC3K1CMhhJ4e6Ka0YbF +gTOtBE60GfnwTJ8AEa6Cjw8gvrJR0avgpCdCB070ozEqpEHomByWqGVY7J61 +1ofDxOPwvl6NSEwuUzyIcCdigUA1NiXHa+BgHZKVre51zrhmKd2bUWdac7sL +6Zfa/5a17M0Uqb25REJCMxxmo+3Sd1L0BvAmpLmGPOhgNPkeakLfA1niWiYW +ItFtkBNwMxLPQhXr3mQFmlRKqKdi5g1BP6/2/MriRK17mRIk6TeJVIvpYStV +LUF1aLRMkadE+3616hXAAzwruxep3vodLOTBqd7u+eD6zbi/d4P8mIZ186EL +1mT/7+rWcjccwPBsubtLJU9w7buEwPDTsCm+OTXFMxAeoQ/m6YAnA2x0cDeE +5wDGP9wCf31oQ5zZEHEGS9qPV6yM8j2Ple/VKh13F/uBH8c872xrhHjLfLPP +ss2N5AlmLE0fSckRTfweZYa4Zccv81FohvgGzRDoC2ayL2QWLj2wWP3id/qx +Beo3kZjT5LOUKSIi/equ4GE+IIKGWDzIzPd8CQuDy9YibOaZ+Stj5K95ulLA +3/1clTktgWv9S5ffFkwLYDPjSpgFLtsR57jlicxcMbm8WeCHcKVrCxtv4fEi +wXUy+v4s9ZtE3Vz1RwVw7PTi7/zGAGdJa5cdce6DPvRy+XVq16tx5np/8cN9 +Ln24SzMOOYHP9Fsc61wjzrZcr+YF4qwCdv6s/ZjpS6E4aXDs2agCvmQBteKM +wHCcuIKp0RFIEY9zVAFAaK8qWsQ0/lCvY97vIgVEsyq4bjXnEJ7pHMKggj8R +FQz0iEmsgtVO+BvbKwwqeP0SzL2sjXVJ+EK4QwCT0Pm5MEPXJoEHDhDB1jQc +uiWQoTYGGWp2NywMNVgEN9UR0VzJ4NNdd6+E3WpCxy9xHmoUmhdXv2B9uMT8 +B1DkDbWv+f/Usg7DsvMC/Su+X61/XezNtz7cxtYHvf4V+8M4a39Y4NkfnjA/ +TxkN/EyEgSANzCgIjMK95TQw+IARBwFxOCGe0RoYvMDP8hrYWiEG0oJF+4H1 +kuWGvzeigxMQeU1CO00Fsk8Kyiw0KkIT0QaFrKFhbItAiObXyhbxZUV/8P7J +cgteCRcUCistzCfCNi4q94hXbmHxv/4+WL0N3GhUpRx+OZbDdSshh6HpyA9J +R/GQvprtPjFmu+vKohTePeWSa6F2I9GJMCt2AdcxC/OPK+J+CUXc11ugyA7Y +peC8HfCci83PReqkeK5FnYkBopdy2cl5USvi7YIk3M6KCVFxD2wVsSxceitF +3MUo4vb5UQ3rkAtvwRr05CwE/FlbMkLw6XEsPzeyC36BHXiTZRcMQCJu+oQn +5QMwQzAKDW3B5pmABQksRH5+HPLRooo3d6r4/Kar4uWdCIv2LqriW3EEQuqX +7MHPYLxBTBFTO8wwY4ycXK9aizA5uHAnzMXD49C99Uk+djsxRrjLlVXGzJDA +NG9iL+ybI763yvh0VsYeSyLYC4d24coGiYIeDIk8VMWUQGlMyrgmTEGUkA3c +zAUhooriFooKvMyFIigfnJE6rnF+MMWENP/S9OzD+ZfFfGDNhqxmAlI/5V9Z +HaspmFTGEyop4xlpZdw8GIB+Pi4rK2EsG4Equy20Lrb2YB54/1IDz4ffVAT/ +zojBv7EvQne1BYAI7YtIpON8izBAgI9T4HHyRkhLpPRcpIt1tC7u6unikxA8 +3hYPVhfzwepa5DSSY2ssE9Qe4AjvU4qi9hr3u01lktocAUgsaeFoaqCNITln +iWrUiaHTc6iN31obh5vVxh5d7S+sjddLQCU2wHyEEcfmf5ZGHptfh/8+qz0T +HUqgkIHha20TnUQf46L414dtfYb5t/j9wQ3NPxuxhWPvBDiQ79gEdLHbFoMu +vn2zP6iLP9ryDN27VgJFDK6IjYLqtRJ6gGu91uBlngsYmoOvLIEFOLtUKWEN +gCA1nDJDvM1miKU2CRduhO/zNsI+FEIr4hdVKk4rYkrGvYWKeJZvjOgqkDQH +ibCbYTZHPCKq+K++Qfh2DmVDxz2o4mvRf0dc4CJVfH6RKk6AI05IoOaPVBvi +wdYs/EO0Iabzono+GCBxkAdSa9ww3DhEwt4OaXNS6/UkuavhEhHGqiipLMsS +dygsfhFa84tgm93oVDieLXOv1TmbcGSNaBVYI6CKfpoSxRErQsWlM3oPajQU +3qVGWnpPQoIJrwRxWR8LM7oWtqq20AK8EPdn7xfw0WI93D/0RHhaeFSgh0c4 +PTzLeSJoreLrYaJCXGCrP7srKkRP9kS4J0XsdsV62DLSPF/Efgk9vKPSwwNY +D/fAQmJNiBjSsCY+NafJU2OemWGKTwQRubsWkxVPm4PfNJr4LeGmQRJlYa31 +R8B6Bdlp5sn4SqJy0O9mRj9q4gc3xHltNbGYhG1cThhqKZMwaeIPrSa+Cocl +DEYYhDD8nFH4RRxs4PSClMPk9gQyh9MWfNJjskFtix9ir8Q9Ca/EDXLO2vU7 +is8JW00oN6CLOdlQtS5mv4TthTvDP3FpXex5Ji7S2vj7Cp4JffrykxAHCVLY +6WJKRIRkids/cQ3tY/wSIBx2d34gPZcZZulKcBWDPQBPvL2lLCPlFw4BkhAZ +Luk2IKTnRG1Ai4I2oBrzvzdEGEmjeh0rfb5L0RFemNcDtU4ZvzZXNRw3RpBU +JRlOFmeUnGsVLYv7pfHBZBe+IKAHJ7vefK9w0ilRiRZxR0CLCJwSs0YWQNPM +UPOIERch4gY+7Lt5fuHTPH75X71CHg13TJXxCM5mxwTOxunio4wuPtbo4hN5 +WJ2NSBt30oJOuJuta4JIEg8j6NFB1XBnbIbWpCWxc2KBck742riNLdMg94Sv +jYU6bL3Eb66b2h2bXw2jjs2vRghdw+Xx8+05ZtdBYnYlEMhA/42AE7UWOKHr +5ZSZogQLZPP+/3ZfF67f6OLkMTQph2aKMZvlgzdrSlVGrZPEW/wL5XBgjKDd +cAvHAO54caCGL8Ol8OXZcDPB2RzRXnuCw6oLqXEbGSyIQ4OEsNHcgnihl49L +mSSg4k0viROSuL1I4un51PazsPJtkpHEaJaIFsUMjcBFMWXmxDDx4NZ8j+QX +Zuz27iZJsth35GlZHILq3U3yh6R3ONU4ajlF5/kwiUE6hoIbFvWKeOYJfj2i +yImGSoA0/jiujIualZR5wpPGKkvXTOfohK3G+eqacF9MKIl1FGLIexRYIM+3 +r4KfKZnnxPF4JY4nBAvjGk8b2ya4lkoaT1F0+UmhNi42DU8O3oWyfhfYRLdm +/DZ4ZW/6fUi76dQ7kVGJ8ZuFi2Iizat8SYFZuK99Oyhy3XeWYkXMGWZ+5A25 +NGJFdK87z2NFhGbhfp5ZOO7F8ITx/FAYU1pubxTG7p2hu+Q2HNXugxBhRKfV +023ySPPWoGl4wRr5PxdQOdMF9q2hyPatXNA0bnGwLDZvzCTeu9ThLZLukO9h +b4YzDyNYGNJzr1IpHIrjxwJxLAZiYUkcF5bD7aOQapyg2/QMI47PZXF8JY5P +5Em0G8spuofZRPy8GXPjcbyhlaL9bIz/SpJOGiyfVg2WDyruzVhhSzBmDczE +14Rf9DpRd4iK/h4RCGRlqTj9xBhCHCLXwvJkTyAnbRVRqZz53TfDzfwdUwLZ +/JmRyObljL7+bwxEMo66KDIx+mPz5MUtmSF3rSb0jqGxuIShurJGS2bUKtfc +z1Dw3liAa2CniM5manMMdgoZhNKV2WBGkMLqRAG7gQActsUbzW2PUPXSOKwN +EmkMawEvSkfnstpEsYa3FqDpdwFPv9Tk88rbExNPgyR8B0X60//GaLI5B4Xz +EPcMcMIyyaJtsech1kk6wQq7jK+PPHf53saU8QlGGUPE4WwFmLja/Fy3iNwU +tzNjDc5bDy4m05dw1uzWmGMP05WjAmAT6CsGPLpK2Fn8sFbHDJ0gdayTdoQi +/uJNP23nMdhe2QA8xhnZK1aNIBRorzAK+b8eiKKDSOQSxO7MX17LojA/v9lm +OmU3ZjQbuCx4gwwb43u6ml9Y5bKAjTFukUUaV78xbuHk8eZncuWb5UTQitj8 +xhMf+CJPF6t+jBKIYvNv71ARw82/1Iji7HyPlVZZFt8WMNPuYFkceoc1OsL3 +DwN7qM68FZW3xVNZGs/Ed4Q8FHX5G10kSke1GtpLLO1xjysfxQPso9B+YozU +sWXPD6x8n5bHEc7TyePU8iUsKdXxuiPCQ2T4joRLljBil0J2eo3KLJG1tzhm +Eu2lrovOXvyOMxe3TCfsNHnYI60tFImcaRy9o0yopckr81PyuISUtbJWx1lF +eVzW8jijtXFzjRjWEWsiC9eEG5PCKB16i72tCfbFwT8aOShWLYyZxAsUxVCr +89BDtEcp0sVuWZwuPgZdzPQITxdfzLqY7cR15wa6+IxGQnSOoxbp4mhhXKyL +D7S6WL009ZsgzB5sxUc3rI224n8gU42KkS9ZSL49nVyR/LYsjV/m7Day1YDi +yQTPdxpa5e8DXw3txQ4zDK8DvAiwH4Fp72tjpksAZ+1Co43P6Jd/N9Ro48OM +Nt53R6ONd8s//5v0yx1FuGHUxnpxLNr4JlwbuIDdE7gKmNPuFVvEgejhznPw +U3/8JtSAKZ/43vJY1QPZ2WXZa2w35v45QaR7/DWtj4/yo8Ge5fgk33LslS2n +9LHCqDeqj+HTX9ULxaE7tUD2lsjelYx6l1vEh7Kor6OZ2gyEdrIaZyej7YC3 +RH4oLJTXClmtkBNB41QZUcJ2nLW1+ngbXx+niohWQiP7rgo1B4uBw9fHGnlK +gZ0iuToOz2UJ31hjPcoz/FHn3cS80jl/dayJa/7q+NS8P5bPERLyb7ZX+RiV +ihjk1V468PCuFjlBItn1AgkNXUTyEDO6IAcsn/Tnc/3llVxMNyqwVtxvRtcj +3BX0LOeCxXY8ydorahlD0SJvWOKsx6FQRovFnLbOYjFT1siK0MY2CxfGUz3N +E9a33R3fglDmMjvPavGCWyWzF9n8zpjhaX6PLLXtSdgjG7kMAImo06MFh/N8 +T/JvoJTv2yijdF6LyHPxq/kZvHnBArkG/utWQdDuw03PDvTw9QodkdTD1Jlh +2REpfoTeFZMgfjsI0qWtE/GueCGaicU+8agyFFcWxbMkWAeimNkSKIrBWNxh +LtsoKK8iATt4TMhcvBSvkdQ5R7DhRwYQwkgS3J7BmB+XJgljxV07+5gf/CzL +Sb6lwkKIC0J3IXvCKza9UsOIwxrmBM8+YTiWl2JfXxyjNqYjY+t8r3HvWyCx +VcYP2qchTmOHZjt/ZWITKK2xl45EcYNalThR/Negj64/lpWuvCqeKKq4Joxc +F78ErapBz8NrQGS1sjYVZ1Q0VxNuTshTXMPxE/su0KZ4yp9UqjGiQqnG5UGp +RlAvZ56ObooqHLUnR1gJzVSTfUvaUhwp4nqtiAcqRdzNKGKod9ooPxJtxevm +x5pn5aQFq2OrMiImFlLZxjWLnGPvDt690LZ4FdwWj7ectVq8RAJxeAk/HcuA +tTab7cVaFb/MqvgppYrBYnz7ZkQfvmoral0+s1/+/YkD838fYVTxfjvlX+60 +R/75Nvvnnw0YnH/a55h8xVYnGFX8T2L8eKp4BKvi0ayKH8SNAFGIoSf+dTyI +oaWi02wcaFAcJMWZAIvUpUEPMIPNDa/P0VZx00508HK2iu89u3ElZYx+MIHm +KE9Y2NJcqIw1jiJoaxZlfHCkjL9Tm+PVmlDa4R3JSBmXNZYtI95OswSlGLzH +4K0oaztZVjGO9441lRWiKErcXWckcduiViJdQu+d0FAR21E4T/Vy1DZBDa+m +1XBBB4e3FWjcSBYF7jLKGF8esIeLA3cDGgWu6eSEa9Ds7yUmVO2GjLZICZuR +xocv31x8GgHS57nQHRkp4pZMqOBw3UDO/UVtdAJgG+gfuAI1PJTV8JkSwGP3 +l4ytm82PGCrGLSY28WMMZHs+MBtLEG8mG47nA45C6psRpN7KKeJ5bKyYoyo7 +Zq4Rr445lKcV8Zceu3h9Xh9vIOvjlMGC/Mdluz3+79MojJ/qkK1raRU/sQn5 +ZxfSc0YLJYrd+tgF9X4LsMYihltZAVzduvjDTc4hy4SzTWSAUbvE/M1aFmCE +fTl8NayGh5t/e9WibP6lRgtnw+2K2KlhaFN2VDWJ1i22rcrpFTHF67R7QiJ2 +gpl43jwQxWvi6e2nOtwEronZWLwhtS+Li4JWxYvdqhixE7IqdhUc9zF97S4+ +R47eQR4WtXIJVfG+BaoYSGzWaPy9t24RyKdG3g9VgM8wgpdcGevMStiBapPc +vE4JQZ5efFtWxh+x/06UccJVoRrrpLlZuY2FXK/MxpzQrtW0+tSboNBEtU4W +exuSP2VXvDKquLYxVeyBJ6YU0NamavDEcldL6tUuK0vxtETELmQMhx1N3pbY +x6xpxnDKUtwjiNj5jGF6SEQTD0iUzW3ncYb9LfHuylZMmlhukbtwqnt7Bt0P +jOET9V1s88axuG5Z3XNQyE0SuMPepnjRqrb+9CV06dEdcqYkVxa1zJcAgm0+ +W4yZQYyxO4FRFOriTQEWn/80vEf+46VGF5/TN//+lAH5v4dsnX99wM75Fzvu +nX/+14Pyz/ofnn/ae4jRxSfmH295aoEuvpF18d04EiV+B0xitBq3n0RAyY6z +8okbkpuCInj0gW8L5fu/b5PD93IMTwMkxVEBVc5JM1gBpEJnJTxWcYHtOKWN +h1ShjX1YRVxoV30krxDXlukaD7s2HvthCGZ3ZXZxg8eezlMGYWQkVbjOzkZJ +Fc29OSiUth14YUy3s1qni19UutjheUgadyiUxr1JGidIlFHcIkSxp+Qxe8ms +n4y8ZOc0wqNI8YiLSGyqlDlqpNNbgFQj3fVOGs9K5O40jc1ONs0kPpXqM4Pu +IELoaB8Y+YxdOELl7xqVx508eQz4nH8xNf1i/qofzqzim6yronV+72JwVVAW +70m+eYHnGMISE8wkgwqPKcwt1s6KBYpXYSXy/NZGIq/GErmtL5FnWIlsfkuN +SM7Wsw6LL8RhgfwKI5GVTMbF8auJxbGRyZTTU4tjIbo5mEVWwtVxKxvWa0Qn +Q16vc9bCOi20TC7cFSflcY2TxxvDML6IyjVWUc1yoSoeRqq4g6VMoHPiWpTH +tmTD/JkRxdklalEsspicE0sscUIviu+OTMWwH1mg3BPzPWmsjcUpafxmsCwG +aUwGY5DGSKLA3F0DXh7FReEtjHu/Y9vpHomcFBLxFqMxxVlG/h1i3oE83jst +j6O9C8hjVeCs8Z9eI5R+TxJvib84jnGfBw0P344oyp1ssts/arKLCjxogQJh +bdVkZ8Paa3hB7V01pMLdEqNnYcd4ZdIkhdw8Wpj0olehhGiKVPikJtyXxD3N +EzJy2LWJHHYF4bvUBRHDd08AfcLtTjKq4vgsABZ5XcwV5XH/KuWxV78xy5fH +vRqRxz2UPN7Kk8dURNe4PD6qEXlMVj0X7hYShRCJ+6vknRiMO+VHGHl8TMM6 +5oERI0VbfmBc0HtUYm0MD4vUor7JIZbZ0ge1sGW+VLHa0GhsHgp4HOBBKJbI +Xai47sYt85+vMRL58l5EqDi9f/7d0K3zbw78u5HIbKbod0T+Se9j8xU9ToLW +UCqu2+h8vNeFEhnKOReiRH7UpvHQcNz+TcxSYHVH57lsqmjAOxh1Db3t1scD +XIVHCNcRyKTQKq4WY4VQjJVMvjCUyUc3LpNPCcwVJ1QhkxtlujVBJocmMpLJ +/lZgf0s19vIWGVDdPrTVHtp8vIGu9XBlnn72whV6PvxOYhY2Cu2ReZgV6mTn +MQt0cpukTu5TnU5GU0WS1p6tWqCTCxzHk9lx3LJAJ8dbgoeyiyt0dlTI4UWt +Q9cHOby4udmH7GjH8Rnel//AeX4Wb/uoWSiE6uxlvvS1Ti7ISXCXx1GImVw7 +P97oZPGDyfXr8oXU6QGesBsXOmPF3WisoHH2OK+Sn+Ov/vFBPm8Gd3xYF/IS +ciFrfoXWysvmBgaLGQk38hTd/fEXxXoLV8qx0eI7x7QAvUxr5dUiueytlFNs +C1cL0tn8vfCK8qwxeaP8sM2b0Om8ilXJH3Q9n/0TaXm8rMOVGaEn7gpAbNcy +iC2GsfmbY5bH7W7nOR/6KO5meRyC2TSKwmXvtESGNQoY70KJrLs6tEQWWBug +KV5PeSrUBtlC2ySLh/0dDtx253bOtOdk8jf0vuxCxr3GZPL5kXHve2c8Pik2 +HiezeSHMTej4IbbiyjDhHa5YPlddd+rN0DKZpbLvsaBN8t669Dl4I5JAN3kb +nlBaOWQZmfnu0nmp02JFoZxwWMzGXbKtOH3DvgnU7NQS1yd90nE8bnJ+HWN5 +E7K1UhFtDTJWGe2K7CKRySXUya0ivx05jaNVCjmNl1clkvsXiOQ+Fkuhmppt +8WmIpTifj5CNi2TxVWyjfBXU2Jz2VcQimTYwRbg2eF0GmdcFeuugNJUgxu3y +I83ror0VZxuBfMFC2SPLFsbtkcGyJ41RL6o0nvgrsCkKXg8Uyqs5ofwWHBrp +uAjTn4Rye3YedyQ7HNR6jNkUC0h/HtEj/2lYL3Qf/+esfvkPJw/MvznYCOUd +9s8/BX9F36PyT3odn3/c/eT8oy1OwwJRgMUD3JiQFSNwsC41QxTYPXBia8Dd +wVOczHs1n9n+DSS0E85tDqErwGdhBtyLXPPxdC/XdfcQD7R7twm/+b9khIXy +WuxGXgt0IauU3sWHFIjlIfTdj2J5qCNTNkUsH1NJLPOQq9iDp+mVVixrnIW/ +U9Y4i/01s8eK5Y8DK3LZ7gr2vQcF873LyYe8ik0oE+L9Xc9pRkr5bZmEsFWm +0s8l5nW3EvnJiHmc4LoFDdDmz5RCzv5vJfINgUT2eoxSErmi4Zg0ciKRFzGN +w0RekDlmi0Ux01gZjhOtHtLCKUVEskfWDLdtvOzE0b7pmDluYDre0ybzRCPv +qBjHopF7eBr5SKWRoYf+dE7oXWgm2GULqfEDeMcjuQZ6dJDSk33yM5wvfiVI +6mnLBZmQyXLhsSyCnbLoZIBXfjgzZFoQD/nTKUFHnmhl1slfv7ZeCUal+VVS +7otMs5FDT/J/n2vvIeCoLMQL8SnORVlzLjLq0GuuOBed0YLRJJG8uhPJXS5o +tJjZB1FYNhvaKm4w//7KZGz+zEjj7Aq7PxZxTECK0Fah98f3e+TiBrZW1Cuz +cV1SII+3AnkmC+Rp7aZgkhsEMkEqKIk3MRDI4zd1e+QXui3xjMdos5A9Mtgs +BjpgBXDc7vBEMr8r1sPn0i2X762WMNWKZPWmnBImvwO7RbhPHqT3yZcVGJGD +IrwEGpTeC0h2a1q+FckF++R7vWVK5ie5Neio1luiJDJ6KrXdulggv/QHBPKb +TRPI9CBklMhbo0AgV/Qep5IoRTDjB7N/p0HG0+8pdB3HLc5hkd315idcISt1 +bIvshE0RQ9uk1mOrwGHRj2s9XLrbV8fisPBAxvN1tYdWx2Gp3bbqXXHOYwEa +k42Pmp0l1PIvhhqLje9aDrXcptbIDzETSVihry8Wp0Utvh8LEebWEncrCDie +3RbfB1HIX6UU8uOkkBFYD6WlUMN0fXdyIl/SO//vuQA8HpB/c8gu+RfbH5R/ +2v/w/BNwIGMu7x/5R5udnn/Y9exAIV+HWWankAnsBlOxDhXyKzj94HqGTuQO +c2wViPQWWQyPTLUBxLC4Dz/5P8ZpNrooY7yrH6WoJqtHKpkjFUMTLdEJlTy0 +CpXsGcrEmRyp5KYU5X0e1X820hbtDGd3JdnvyHxzcYz3eArWOp6FjWR4naAg +k5dGIIung1QGMiwWeAyLeiMGZCL+TTbJL8eb5PErLZMnTjFD2HdcpKhukUzO +CHN8vZqGqYrPytUfNAZTLPc7g+WAWyOH8WONOO7jMSp1Z6cLV8S5PNda5Pd0 +xvFiwrod6F3FwiBFsUzujDL5aP7Y1zL5AhxndBW7BjBv/MEvI01yerJOfkqt +kyWrF1ov5rI7uUG7k5NS2VVIf8ArZZTKM/yVMkplzO6tGyGSk2vlV6O1svmd +MYrZ/M7+8EL7yIrxY2W9TL0ij0rJXosk9eKwLZriuWimhPJFIpAzQlK08URy +JXjxO1Gd3c3m38PI4+x8tUEeE2yQtUAe55ks9AZZEBW+/1jXfIhInuBvkdtL +3cd0an1mXAWaLTacj9dIjaxw6bwlGGwR0LFQ3R4xIvkhTujJlfJOXr6M3sE8 +LTt+4Rn6rFCWsEtjQvlIXyjrWhDdEu2Z+eQ5SXD10Xhxkaa9FTRGX+PHvQuf +jQCGbGulYJ+yuvdSRG15qYWyXaMEZXnZWt7rkDZeBFr5xfkRFb+iL1lJ5TcT +UnkVh3cLpbLPNFIIfImpvFypF4pjKjVqj6xk8teNFKNW6vsoMCLbmqjicJ5D +VYRG5EvVCVKKU6k6Sq9Z4iWygyD9NZLJYd+H1ErphIuWyRoZup2y8vUzP2JG +3tza+Y5oWB/b8I5vWJPfFmIdX8hnyuFsSL5ZL5IXrWKx+i+I44ILV2fh+0G2 +vaVoSqY0C6xUsCEPpPKkClL54c7khwMIJzSXjuzmnBcX9Mn/c0b//NtBu+af +b3cIL5KPy1f0AFPyPwlh0ZViIrC8oE4QLZXvZKn8MEvl57gbZIKRymxONjMP +5h06LzZtcC33PN+8aqMQ4r7D57774u8J90XCpAzUygsOV3L5GGEj+3L5VC2X +qzAqp1r14u6Q1FJZG80aMyo30itNcplCfGXtPcuoOKQm3BfQVrllxL3cwxuF +yqNshk8jeB+pDw3Z8G293N7fQn+yF2FWUnmClcpxO4inlEko31tRKKfWBgWR +vUr3tCZ6ku+wnmS/7N7fJbuhd43H5vG7QPhahpaydGQvFMoxuF0obwerb/90 +4riSUAYGz1HqKnYqpitWR5gF2Meu4IF2HXfoge9ijIrwiUcZoBbSpScxvjcK +vBcglhcEcIu3ozifEsuWBsdieTpBLiwv2YIu1rWt05oKh83TSjBH0b6X2smC +uQST1Xxm/uc5Z1u2gDgHiSPkRStGXpBzWdbLIpd/N2N58BZNWCePsSp5eZdL +knyK6qo9nLfibWs/vj1YIVfyV/gAN+FV1Hu8imdQIROzwinkWVYhv8FW5EnY +IPWWZR3PpFK8DqKQ53kKmbwWxDzWYLenOOYCdSDwkji/xUeWY0H848/Ui6IX +MEEkPDhTeiq5gLbvqWRVJK3Pk9HSJfWChHAkvWgJucji2bOvhayU6aU4IFWm +l1gp24y3+dqyV8f4bQiLpPBdcH48QOYboQyei5WXyRVWyq1CncxR7rfCvAqx +P8v6vsi25JZBf2rKlix9IF9Vfhsq0j+rKAFJOC36zQxLQIJCPI8IqnfJoR35 +fM+r16OSSK6LCRbajkwi+fCESAYo0r6BSHZ5F21JPqS+t3pXgO0mbot1iLG/ +YA18V84MIUloS25tbcn32l5WAiS9xO/IpMW0bJnDfazg2XubhbJN702nKmkU +yq8XCeVOeORDyBDQLW5k58WVPfMfL+6d/+csI5QHg1AehPvkT3oOzVd0Pzn/ +eIvTKL0H6M1OtMCAcVwslJ/A8Uh0i9cwsDyt3Vt4RYPlgBAuwr2ypC4e1pey +gF1JaPevvSvZlUYsXxFeyVJi+cgKYvlE1w0quHdbP92YWD6/gliWQpGVsyub +v6sHjvrc/L1GtI9iJYchZtDKJTQrlwPcBZiVV42uawWYeB+EWUPAi8cs7acY +kaybRc0/IFNR8X7MP2CkcrYGQTBf1RBMsl94Qhl/Zrm9cpFSvjmplPt7QPiV +UcqNtYOk1smV2kHUemDWSH81MGt4cD1jguVcn4PcoyC9F64BtFK2zuR5OsG3 +rwJciFLewUw13z/mu5NJKYN/bIhRyicuWJ0vZESsvJhp7lfjVGuDV7JbGHZx +p8W/rRqtlaVFBBJ9PvSCqpDquE1kYdFqGa5q4WpZqeWPPMfy2hYLp50YX7y5 +rvnVNHrZfJQpNwapZVLMVDayakow44D94bn2HlI5KB35SZbLT6AZ4/GO5j/p +V1HMjFVuklJeB5XyaqiUL02YLGKFnMYaa4V8G4zxEsjj7Ha7Qo49FuOUx+JB +RTl+TPks3AoZ1iUh2G02gt1EJE8kkcyt0VYkt5+dTzYieRJn9qQrzxmSdW6P +Qi5PK6xFapUs2T0IvYxBofwFviayevEulVoo71edUD7ruO/TVNGwlirkJoVZ +vjDsolfKVymKqCaI3hCvlA/8oyUidqf8boS72O2R4sbpncOdMrAuhI1Mp8Za +1xvS1GVybWMiuYR4/GapPDeL5NZVGJIjv0VGhXllLZI5s1cT0j+B7nZf9kXC +jyevQ+i3CJfImpYfMo+1EznQx3NdXK87d63GS+TTgiXyP6w+1jR9f4nM+nie +r4/dS6I8fJEbWWddwG+xqXlRNjKvSQfzmvwlP7phTZsLh7zLuQsh79LWy4Xr +Hip4RR5BDx8hk15RdIsZfIxs4JcCXoj3mqKRzYzGDYbRyL8CFxlifDdvgaV6 +P129Vf7jZb3y/57XL//2sN3yz7YdTNE9pL79I/9o89PzDzc5izXyxeSAM8OY +hm9aI8/DI9tLOBFnokYmNjK4k2E1YGkXEuXDCqR3XX+IQlnKZNM0OM1JFurF +sMB/YV3Kaqoh0jIkXwx1fSIpnVzYKVIQ67MNolEhdWPFe7FTOdUr4iWZA2jy +/mNRK9/xUTLS18ZpZBXp2zO6rin4j6PCZeRTbhasDnRko0YmoqwPqEKkWYqL +af4lRiVna6mi0Sbr5BQEjkpFaxvTySWEwl+nZHK1JXrhsiCsCrmzkaqQUapA +r6gqRJfoxeG9qEjPDLlGzcle1AKGmzOSxYNNy+Qtgw//tfNjzFA7Qcnkc7lg +7zL++L+WS/ZuYkOZhPnuwyXAqpFR+WUJ9CkHhm9Wbu6K94oWy3xZc1K5bUG4 +b+0g3JdeLjs3BsrlEmhl8/tte6tJLpMXo5Wr53uundsvqwKSH2W3DGSMFr4d +g6N+g7Zsiu1iFSuTl3W5PJLH7/nymPkVo4vlcfvQg5yCHeslsuhjbbN4Qtks +/CVyZY082fwEGrmD08gTtUZW2DexW3jLZORbvIcOPrtM3joI71nLxedoubhl +JyrUI87Ft8RRYp18xZ7xi1JRJwsOriDIp5Fwx4eviGqmSob5kHvxnbVepMr2 +Cn3Kt4hOXhHkWSJgsgt937csPjo+5AOT+WWgh6GsM97Mz2+mZbI8CmzKa+0p +ZRte8aqnIwYSS+V2BVJZOvWqZR9VCqxEXOSnsh8S7KNKaNCm+JJTnXkjoz1y +3wBrATq5t9XJl1oSsqW+2UyLpr6FOjndmedukkcG25bwKdnboi3ccxIaLvpa ++tsgMFzUd7WZl6Nxl7wGl0szAW5huEtWwH2+TQpeFOnIS6hoeiqbLuYtBhKc +g+u/zwk+yLAADQ6MeCmtDAPbauUHmAo31mjlWzbPf2bzxU/Ys9c3//Zwo5X/ +djj26SHmAkwXm52Rf7jx2YS66HRJQiuPVh7lhzHeTFQ4Ql5AQoO08ox8ckfq +2QPg5XiuDH1Bmy/6uIjyA3YTAPHkT/0+pDB5sbuZbnu6ePJl+5vppnfKqe69 +Ar2sU32FelnvlKvAYFTWy98kiqq/rJDs88CYBIprk76sVQhsqJUy25VbFqeb +HQmIDWktiyJ9+tKWKVLmCx5AfuvQfeEVjjZNKEeZ5pRKnpTYJlfdN91I13Q0 +BwNzsue7GBnc0ELGRdiqRxm+bmov0EvdzAbUhQZladc72u8/mneItwdwTLjG +pfJglMob2RsZSOWhC4h5YY3KCp8shjLaKrtM371Muoy3yi7XJx6MqaqFT+Qy +GJYXNiqXV0vKZWzmQy+Gyvh522WfH1fBj0Hb5RbWkuFtl0kzZ8TGaC09JIBc +tpr5xxQf4zEQy+uvpFiGcXxNpSYQFMs3Zldbkfx2QiTHjSCySB4XhPW0F1m3 +gzyl3BbPYZCbRDKsTAT8Nt4TyjOYi5xcJnd0Qpn4yPVISoJ6VhDKlpHcY4kL +8Ik3uT/FXQgGB6fJD33nheIl37yTa5+2NKWmimUPDpc+UUZevjP9Z0TEcli7 +50D833mhPgLw+0/GgYVeZT/ekgp/7+PoFxk59NpE7SIeHSkkXzy+JKGWa9S7 +sND58laLoBdViuXK3ouIE2qRcC60wt7kNmmpXEWEb4Ct0/uuCZ68VKlUoJNn +BCwk8OfNDP0WfmNIr4RO1h163axOjhtDJAM+0NPJ7iK5g8dLcv3SRQg4yu+R +j+9AS0neGo3JhyrPxeD6TYxWhtULtIf8xba3nsIZvnNVg8hw84Zcv8hnjd7H +l8knmDP6EpAultCaZZrt12uRL+Q3Qq6OjWrlF2hYw3DGO9+DRL1Ao/Jt4r+g +XN9/L+6Tf3uE0crbHIk7ZfJdnOoMyhtewFp5GCeobyB8kNXK43DbEGvlN3Bz +gJk+o5UndiajMlzSpGEEEsvP9HrbSys/OFAB4WXAWVTcl+piZvTybkYvw25Z +EzBSuLhEV7VQMMLEclIvn+G2AjDodHq58U6+4ozfQSm9LB6M1LbgJh8kf8Dt +K6iuupzo42uVcqNFR7a9dBefjMN32Kxco0BxS13dUnOfEeeUMk3E5nELKaGA +sGEkG6Okcp2SynNWXipPKpbKVV7ZYhwcSuXUyiDMZ2jID2+UZ4ZwH4e68FYE +c4b5jSJz1Mibe45XkGT79QLchRgvdrDGCy2VU7mLaqUyncsON6PtiIZ18Vx2 +/ALK9Mka4HyFUr6aVwEj+Wx2O1vLZLMsCAyxKz+vsn3ahPGWkstzOZ+RlMtG +KiM2zpfLULMxp635pouty2t5G2YzNs0/awRzVqsNGSSX34jxGLRibu5jl1kv +/0D25eJ6Etos19huEhbITcnwgT5+v8uVQSfIg8pfQcvjd1AXR/6KSBcvrspg +8bDVxWFPiE97owWyT3xT2ri9y+v52ngOamM0WujMnpgtLNxC5fb4FAnrlcf6 +uR6RBysaLtxpUpzJIxRt9M/Wx9qhXFTlGkGU7UI57Bn5d4IO9xXpY/1E3KRt +evHpURbKsUvZ36H450anj8mP93aQXEmdGdewG2VdOlUokF9tRCC3SQvkif55 +MUyr+Om9NdKw5CKFHLqS37KbZAKE1uYDpyUAoe7OCAS4O7JP2G/RONvC91ro +0N6V0SNRbb90n6Bf2mnjE5Q21qfIuGMa8i3FoT3xI2+LfuRDEJHcR/EtNmW+ +RQfzgPwFQ+ES3LN7ZOVJvparqm5Rxa0PcMblqcBzMZkfCdin1AN3X+tjYF0w +DQ4GPujjLyJ93A4DJhDAhivfr0CFA+bFnexPvgm8F924acTo4yN3N/r4KN4j +n5J/tPlp+YebnJl/0OVco48vzJd3vAzNcDCc3wn08SIcrA9x857o41exYpSY +F9PyKR1m5ZM6z6VLGlzRNuPBZ70XKsw3gNpGxhl9nPQoq32y819U4F9oSlzA +wEj5lEONnGJgRBr5vMYaSBqjxSW8yuK/sL3VnyV2ynYIcjVf6yQDw7nQwusa +UuUzVMhUyVfWlXxEiDP/pgUYIGVFE1Zmg0zEjJbJZY0CykghP/K/Uci8NBhQ +cWmQCmmkjmphOCNlPBvtDUG7IJg1UnkurvUDfHNSAT45np2jDmen+56LupOD +g9mQYAkw2Ay6Q233Xoi3rF4h6wG3llXIErqQBYB4L65RqMvbMNC3KprLcKHM +9IvHzKB7ioMY5L9oFfkv4mAftVZbsLJeKlu4cmtcHiyDMhIAx4Ut1hzws/A4 +ZVvm7r4SyGQjgj0rxgQLxiCOXAuO+q0fVJTgXtn8hpvha37rVb+1+TMzjKng +uhmbMWixPKhbUxbJjymhfFVVBuTKy+NYIBc7LHSRXuiy0MS3lxPUN+W0KBTJ +c1XBnnIkbxo0iQTRPc+VHJDg5P3QO5bbCpzJEOG7SgXDV1ooB8ALK5QrOJRD +6MUgSxhVd8hhISGu8jL5gNQymVHK+yfifPveo13KcX4lrKqGZbJPh8NlcgmU +craWd2P803RyHuhkeQySNrw/KavSuNtiYOS2SLiS2W3RWIlI31lBichsP+kt +KtmRQx3aontdmNojleyvVXyzHq1W/N5p7xI5v8iVvEfQsyePyDaKAufjLQ7z +EuFrYnJPG/g8Z7K3RXY0OOe4aGmLRaayUa+O3cmglDHBp6lwrJRh0CeV8rNK +KUMrFCAv7t6YGMq3bJH/Mqobpfku751/e5RRyn89GnnJH28hjgsoFzkvX975 +onxZx8sxWE1KeSRO4SVqk+yU8tM4NUkpv05cTCgb6TAzn9TJuZTJeQFK2Tkv +dJrvQZXm87bJO8C57KsoqCw4n9Q2OZxy52n3hVbLJ/hqOdwma7Ucns68iVeV +Wv43qOUsa+WuaXqhfG2EVqZcX1k70DKqsu4T5vrArxw1MO3TaGrjvRiq/Eg1 +MeeodqRizHmbFDkT5DIOx7kwHEvoSRv9f6SWE4NxaqiWU0OxGtTFGM+d3G+m +H+LrWxTii5hwF3pMuO7eeoDQya5e5EQz8IrVcoy82Mev4ytUy7wO8NTyergO +OA4H3eoWeSksn0ut/YJqR8R+ITtlWA3ct9jfKT+dsmAwNF47ln3FTAG/ijYM +q5h1yA8Vcwkks3nO0YkRNpMEZAzfjbFe7MawhAyjos3gzWqdG8P1X2vVjKIZ +9LIqK1k5vdw8f6/LcA/09naAsvB0cvvGTBYhETk2WYTQN99oQVgL50gOCckT +VdNesVaGFyKmJS+wkCTYrRAxeSnS94EtKjA4D3XBS+XIdLG9g8LdqDIvopev +1Hp53z+gl4NmvtRNUrfzpZzKfkNfYyXWMYm/Uol15Za+akqslfnC/Ozi3oX/ +U73cslG93M8S9fM/oJflvJhqnJKnoRoUXFi6F2rlgJYcFu4FQRbJe8tiRT8X +3RUtWbRyT6WV+4lWDs6QaQycNFSltbJzXADhQp4QcSY7xwUV721JjouGDfEs +eRSW761lW6s0atRuleEkaZ6NW5ieREi40HVBz8XEJQ4LN08CLfwkQF+1piiv +eCvUy1DKt77Ty091QEYR6uUHjF6+t2v+6x2b5L/ctjm5LyDZN6yX0ct7GL18 +DCb5iHYhrovzjV6+mPXy1dwzMor18hicuE4vP8Z6+XmGAU0g8gXgMTvMKHRf +PLfVEue+4GTfQyrZh0V9qe2y4OJsZvk7Op8FCExI9yWRcUe7SVetY7kpXSRV +uTCuDDLN1wS5Zndey7LmicgGwjBK2G/dMj0L/fQGZ/xaRjNRDm4OGfcuUubf +ydrYuZhKO9vq0mcWpYYjxzge8APPMWne6eUJMBylyvrPEMxhzDklmBvbIlTy +oYUeZec/i7PM1xmhPMI2Kvl91S7Oh7kMblJKQZT7zdO3NN+nrAXzLvNl4lWq +GN2pEcHs+PAAvjwKcxhrkr+sgapGYdqdb6bcJcqEEcb7iBnXGplxDygShkT8 +PCMGbw3EtxwSMQS2nFwzK9Gc4MiVwI1h3nJnX17TiWaV+vtcLMyhJ+N1LZzX +F+FMtoyyXjUTgln2zJT7Q9UMe+Zn22c1Nvd3aLcm2DCaW7X8bpdrtUqmypCb +PZG8NCGSixfKoePikcBx4dhv87yF8osslJ3rQijJM23XnhHK6Eqe5gvl9rFQ +lgif5sHREdK5L+C5wGMkG/YeTSyW5elIOTBuZjacOJQhzjd8N3pCws5XCL38 +IbF8SrGBL+ro0/UjFwVrlqCnz9uvJGurdAVJkyqt2a3cOxnt291zYvh91skl +SqFarm+KWsb26l7qMejtPQaeXObHgB4EkstiwVjTApSbXj4VoZCQf/EAlowU +bVIUNXl65RCfD7vQ98cQdnFpTNtXtXvFsAup3ZNiERfi2yEI8e3Cd8ldjVTe +rVAq++ZkAsI54MUg7wHpah+QoxvWpY1LA21c4D55Xqrk1VL5W+f3Lk6bMCbw +ZsWalLVc5u5qGP6wLQEUkieX36AOa7gQwm4DprMHwXhwQyogAVjc6M0QhAFk +5Z+u7pl/e/Tu+adbD+Ew3+n5R5sAHO7c/IPOF2BXKgX6BBA3CmcyyeW7edY+ +xCmPpzH+TKA4kctTnVyGY5uZia8pMwbB4pY6M4YK97lqJVkOfI4r5puiFTMF +/PQh7dIUECM0ZBzjSknOPD6ed1VBMc4mknyStBy40NLzLwXFqCbk50ExMkr5 +zQ+r+zju/EEcd/YEc9DcpxN+j+oUxxLuL13MLrVFMRrILpgXpLtLWSxLjON/ +I5YrnN2SYrkRPJwX6AvaRmZqH4ZvROtTEOgLS/l61AkiLsG+mHeKKlQa6iHi +dtCU+PmDggLr/dS8S53RisSyUOLhjLYuFioNNbPuHw20GjiLS5UuWthW+TEo +4HejCvjdweYz7VqWkN+zCrQsngwo8Jusgn7CxZAtM1SVLqpiy2wFM3szPkzj +5EogmUugl41MVd6MjMwZZW3OMH9m9HIJxHJWq3fMjsTsbMyRM8Mq5rIoZfxf +qzbJtQxyeYRqDXm7/S3mn3daeXQm6LclXK+ndfKiQj5ymNpLL5Rhxtcp88Vs +Zb7QvGTSylOUVp7hL5U7pLRyfaSVBQsnJoyne8cmDL1Yvq9osayMGEWO5bB1 +BPVy4kwZ6mU5U56ucHHVJvsqOpcvUWfJMBR+jV/ZVxwGjw0ZSb0cdFsnURi2 +rq9ZjIx7EtLfkO1rWY1eZoJozm/CH9HLCStGU9IrFa0YjwdLlACgnFwt31V5 +tdyoWTkEXgxTwAt3hXScpBh40atCu0gY5NtRcZOablbW78dAXi334tVyCFCW +90NWy21tOPxS5eW7wYPE0ZLlIX4vBH7xKl8iPdMyJ1qWMADD6uVZTdPLgCbC +wj60ZHRFSwY2kdy4Zf7T8K3yb4/ZI/904LEU6GP4xYcbASgOTMuXEuveAjBu +5MrTsY3o5deIMd+ewn2AA5rYsU6ZlxfYvQE1LVG1NVoygoAfrphtFekXCMSw +K+ZUQiOYd6Et47zGYMtV1F3ruSea2cy9LFs7zcbwRuAPCeZyhazfdV+a0WKG +YbZBRTaG7vLbr4AQlBqLKV/Gng/BfhmGY5vo9pYKdqQbmhYQEMM85Uoymz8z +AzJ7wUs+/3mSOX15S4/HIpdabMYY2Giwb3QU7Kumw9pd2KRkSec1zo66R1xJ +X9xlHRX1RZJ535WQzJsrwnI7zC8PwWva6vnJDWJhZnwcXtTaWibGdQspq3Ez +rwrGMiNT25gf5QubM2a0tMYMsTK7wF8Ly8cQ8vKCKhgZttyPDRofRBRm6cNO +2ZodYi5aNpNLA9Vztnpk0vjWqzFpp3OAnksDDoGHdt+gCZaMtlY1v9Pl+qT9 +gjbLY83PHREIzqjlEsjlbHSVUT5YLMeCGRbLcxMOjJCd7NzKIphnFbowJnSd +b7EXOtpHhSPk3YOkyzO93lELZor3afyFsOIo4rfCLpg9XpxyL5No/s6mYFZK +NBd4+yJif4W431GV0i+XpVjL3wT1fUGVVZFotgy5j7jrWl8h06LZX6ikSkms +aCbNXNaamTqqjDaOdsweZdnXzH1f8x+EP0UzV5VneSaRZ0m49KbRwzAw2qWE +HNHU8TFo5JsZ8kSH+0zROeqhQCvGhSoFDuALl3PZKgBfhEUj/p7FL652D4cg ++SH34u+XxbYM5dX7z98hgMRJ4QjnX2zAryM6+o5sWBNBceLos/tljehf5GBx +1o7BYOUnbPkI5V1grzJVw5UZxb90JTUzkIsgVIKVUaCZoZDkni5oy7Chv2tF +Mx+HIT8sHxE7BhaQSNDvGtTMsNegBlSnmRusZn6KW/teckAM1Mwc+INCEgVb +9m3Mb+dPmjFobRk29EeF13eiZqYWv1uCziULktvNjLvdE4ENBZO7YFACjmH3 +BN9Xa2fOsvaNO5pTjIxqdLO4M6KTG2hn8GeslbRn7J8KQctUlOzf3W7V7DTz +shKIZjPliiSzbJptxENd4WKOXJFkrleS+R7vCve/k8ypbUKly9uDPBkb2yKM +LtgghH3WQYBjtruyAQvD4uSVGa1n6F+eV0xYjidfUyXzACWZuweSuT1i5I9B +HzPgMSmwcYbGyC1oq2wZtC24kc1ogpIjL/MquGl+hEMcvjWDNgiClPMTgLWe +bJ7PsnlRgWz2koB1q5nfPSBmlLWv2fyZEc7m99xRmbnyb6ruyI59GgVb5xpV +lo1b5xIo56yWdHPobn7O6uXq5PInVi6/3WWkx0tumgGjKNEni+UQe1GdCWNG +xFCeisN/arRcriOtLIi4rj4Gg3jKCTOGWjBb5/IAt2B+oOKCWSHjrKdP5WBE +L+9VnV4uXLo0opfD1F+q6jok9vvMZUmKh3q5EeYyvg6fJJjLLvnnXoblHpE/ +1MtygExtUsIweJRsMT/bvgCplqYJ5vA5aJpgludABPPKNLgGC+ZpD2TUPvLv +ilTl/lE7X2P11cqM4ZEwUhm/NAnD8y17z4U4+I7lLcvRXn11db7lXW2Ftd/O +Jzm/ni7nV++eDjhQIn006V2mTcs1yskn0RfZsIgh4zkG9As1TvzLdXx4XMzV +1u9gu+tq1Qnml2iFIQQ5yv11yn9/gClyQMkYvWn+K1CXR/TIvx2yR/7JgKG0 +YIYmkq7nEjWu48Xc2HeVImOspGCGBr8Oc3FGTgDi8ia0Q7A9TELJ0NRlWTT/ +TbeU8KLZojLJy3yt8jLLjsCjL2sjWgEtA0Xz8c7PXIkoV8nP7KU4UjuDixPE +jCuDdj9vyxw0lggxw6llTgBuFOwQPg52CB8m0tAF6b8Uerko+VdRLj/Jqb96 +hmTMi45wWi7rI1xluRyTlv9cuazRcSkwhju4+XJZzGmjFDVTU4HCqB/PwTkX +BnLZJ8pbSmYj7uWdBI5Rce5Vkssq29ygj2rQurSepcmfwM1L1pjBiwINyriO +W0r9LTOZ0u7nQ1u4ZZbCEsLKgWT2I4Azok1zi4qbZpLMRVHAaNtsfmeNaM5a +u23z1LWsZMZtcyGheT0nm9czYtftmtfPgNO8QdZCsTQ2sIzmQ5q0X15LCeZR +Tii3H6N2yo07MFQBXwmUcjZM6WS3U/Z7+EITxqsJE0bYN5LQyoBS7jSPtbIr +sX7NauXYuGzNGLhbJq1MHSTwTiR2y2DkS+hlbciwiGV+N5DaH9IxGsmO/xG9 +nEz9nVeFXr4iuEgmTHyomYs2KnKFxLfhY5+kdPcHEnLZuwiU4Z4FRoyWtVzO +iC+a+4fHiJ2kfHovrZxcLm6pkudA5HK1B0d5EqrrpyqO+YUp8NC7HECVNYA/ +UT6ifctEF/V9e91txK+ybzkssfY9fI6nFPv4muLFoJif2y1vhLvlIxvWN1J5 +Hd6y0GES4crs5YPd8lVCH1U+vrsSaAzrX+aQyzR+DubxM7BE4zEAtMwFfh9X +lMtcTsKxPwB8go/5d4Auj9sIfcy/jTVy+TYjl68XuXwCRf2gjASK+zpf6PmX +38O430gCySGc6A5eWzQmlydbuTyZ5TIS5zn2J1C5EJWhS/3GqXWBT89M7ZjT +cDlvxzyYx94RbuydLX7m4wI/80k/ZFm/plmaGyFnRJbmsLfkykRvyXVFlubP +KmShVxTm/zjiUQLJbCaeEs3cV9KmMOWxW8jbfDJKefAF7jF3gXtBC+b0hAyp +cuEFbuUEc6VakmoqSapgYxixPEA39wWc5X4pzrK6svW0dgzHxugeVJJoklxR +yfUOnhPNXxTAVW2vJgpmQcof1rApV5TQ5APBPEQtCk5TZLkL2Y0mrAyYgLQw +aI0XNs/NzLVMD9sdM3GYxZohjuYJXNWkI4BaNM9rxJ7x9gIjmhtWDfgZuHgg +NHMLi9DQeGZeNWfobs5W8VbNhS6N15Vuhk0zlgGup7uzNwBiM6tmodAd0pQN +c40SzLC5GANu5bHZPcFS2dfJC5Pmi9itPC9aKrtCvrlqqVzsWJZ0X8KE0X4u +L5bnORSGJ5YbCsTyEofEMGIZC/s47SKXyCIsRirtd9POgWC2jj7G9v8PBXPK +yZxcsBSExQ/SyZcw9RL1lBScIDWHObFMCWtfm6KYd/4/UMx9zHvQx74HU83P +lD9BMTe1pipcooRJvzsSqOXwbQidGLRQ6e1VkKSXKq7h9ewg6aIxy//wnBh/ +a6SqT6qsyLlMS5a9sM4qgGIAV2n+Dubd2M68G9vwcrnx9MuxXvoljooPV2k/ +qu5bNYJjPMM8pVf59jiZrXqzOdmykGe/r5jbWsX8SaiYJxjF/Or6OInx3mcU +M+A+tZMZ4XL3dKWqkts3y38e2T3/9tg980/6n4jIZXRjbERujOUdnIO5WDHf +z/P38aRinoEoobdwyQALBj/555zMz22lq0oYxTwwqPYL0UA7BmggnXbWRrQU +GihVW4JmtB9CR3OWbUghjiJT8+kFpuYq2v4OTrb9fes7mt2euQTzEAwZN8Rg +Zi2arWBOTUWbAbRQZopFr+U71qTEScf/lGDeVbEydlZeZnWBo7qSR9V8rJeq +kgAr97/Sy3ESemAEok9tEsK5KDNxjE9dnhFTl0O9HLrSes4NEs8JvQwzsGhj +4M+/I2zKeeX1slQwKRdafRfeFGyAmAw5rIUkZqn2uwI3BquhJ8PV+9GRTVuZ +7+cE4COY6CAqs/gyhDNnU4A8H0PNPFc3ZAdJwCWLWhrdrDVza/ZnrOb3mZRA +M2etFao5gWvWBo3JYSyw1m2azc/XUp9tK7TXKznJXGMXzYf0aIJUdji5pRvd +UrhPrqSR08YL0cnPBAvlF5NIZUfAkF4+p5MdLi4wK4cGjC71FrGcSvhZE0ZP +R8SwfX0KH/cQmjCos8+Zlj9JLJbdQXJkasMiUNIEejmsha2olQu6Sk4WBHMV +qb/Dg06/QlLGVUEJdjL5F1dapVBKcWfJ8goIpXSnny2vqlYq1yupPO9PlsqV +bo3VNrrqmir3HEQhv+nxYtmZlm9Lt/XNChbLSXbc5QFnmWQyPQ/Og9EzaCPR +Hoy/Buy4pnKWU0CMAyIgRt9EyG8jr5UkxJCeyxhSuUVeq4J+wlyWBthH2a73 +HFOUxvNTMIWfgDlFUrmOW/xmrVFZKjODGaWyhP4e65j/9rCRy2BgFj8GsJhH +iVQ+iXwYXdiH0eliZVweruAYTZHKzGBGqTzDk8rawIxcuR5MoOeRqCuavOCf +WjDfkmr34/E3LBH+uyTRiJ0afUHLX5YNcJnnhJdZJmChkflcNjKrM9sgz8Sc +KvsLIZsFkQ5vg1CBH2Sb/j70ocwprfy+r5WrKzwNzm+q7PTp/z+1MnOXSSuH +iY4Qrqm1siJjzAirrm/2tHLf6NIWVpdeilrZYuS4oaRHorJUc5cdHSPcFVTW +ynsjaH7PAtB8kVbezGhl2RNQyvloo5PxssYD0GLlsNuPDBkS+4P0hhjS3H6Z +9gbjFsWmDN1iIoi517jCaaKnl1tYNvPccMcckDNIL6dxc+/XtTGauS0O1uWz +Q08zyeYV4mm2wObYoPFFEtpsZTNZNFo4i8arjqdxcI8CO0YN/NetUCi3UUL5 +1qYaLjJyXExTZdVFKT7tTgaRrFnKtEjWPGVK84VMZRDJc1yJdSSSGyxbWZzK +OtUXOpVtsk8WyiCSC3AYGh8HOCVCYjik0g07f2Ode+RYpriL0PsjoXxIE4Uy +O/hSz0SY9EveICsJ5csTHVehUPY6S4IVCnW/VhbKXtovZcUIy/2ivpIkTq5Y +KccPQbVKOai6XpkjYwleguxXz5RXuE+elhLKYSlJJffFKN6fVCBhWJFcwOOf +e07B3VG/Dyn3RXqfTHx+V2mdJmHod8Il+w4274RL9nWjdwL3yZ35nYCdypr5 +0AXuBpnqf9Ws/jG8R7kPHRir4s1RCHIvM0FuoioomctmPBLKLXEn8i73ulYS +yrDG+JaFMnjihI4hhSUolB/YkOr9oLRkrBHKN3XLvz3OCOV+J+cfbnwOETHA +gYE115d7CT+BLoNPjrLW9+JYTgvlV5VQnopCGegYkzq5pN94rmzSxuWneSzq +0hJJ+3l75R0+UxRNMi+P8EDM31esaLIw5mCvXC0pw6tr0ruCxoDM2pBWVGJy +ZSL47Ctljvu1Sd7awv3BfmPiEPQ+dwmGeZ0gBF00Gt9xvafV0TZJJm/wP5TJ +Ati8CYFB1cnkCjmOtyoV+cnuwD+xSX7DgTRHEEBulqyTr4x48441f64FyPl+ +ZeHMO79yEREDIXIrLZMHBjK5G2c1uqqY37r5UUYiS7j5FAYCiW9Z6BhXsBHt +GrVWFu/yGB33WxRaMVbx6kvEv/wa+5e1VJ5eSSqzHWMxrpZjYgaslx01o42F +zSlC8+pZM7dgnm4XzGzLqDIBKBUnr3sLZoWfszK5lVXG1S2Wl2x0uxnCsU4u +XiYL7YKWybHhwnWOhEm+uKNPdY945Asfq+y0sjZe1Fvjha+VF6a1siyVC9Bx +cHS8Xzr8gnQfblQYPyoHSGvASOnlvYK2q1AvD26CXj6R9bLglwv6YcNu2PCh +GGQeiUMvASNGY3o5SPtVRI+CEeNjj46BK5QCvbx3cGt0RgxfL+8Ki2UjmM3v +sUbym/fAB2P8OWq5bwW13D/M96UAcpMVIcmrqyru8BsQFl0nO0mUVJ55S5Bj +Gem9DSCV+87yG66dVI53yo6YdKaCxp3mQ+PqfGicXqf42ZbDlPUi1d0Hb4Wf +6wPI8kHJnXJ33ClTJwntlI/kaisNwoAOv3OUXU/Ao5rSj43XnGsRQv8zqsvv +dQYu63wfyOVFi+mMCNBlksv/j73zgLayuvb99/Y+4KGLolEDGhVLigrS1ahY +sKOAYo9YsCZqYmKvGLuosRcs2EWwN4pKF6kHDti7JpYo5Oa9e3PfGG+M81aZ +a60555rr298+Zx/AvPfGON6EGDX35c7v51z/+ft3SeLyNwiXfwBc/ocXY2za +9J9P2uDyvx7ZwscwTGfJX35lcPmLfqfb274tz1e4fBEI5K4w/ST6vs8KMUAi +B7i8rCpcnuNx2ff7oeCym5CTos4Se7/xEPcCDZYaTd0E/IE2msIEvCxvtxzd ++/0jkmkySYb6cJ72u39km8kSetdy6pD5/PTN80gt1yxklsP3ft/oe7/MNv51 +oQ3ZDpchnnaI4AwaGhbLWkz/gNkq+03Ch4DLIaK2P8LlaJswETeWMFwu4/EI +rs1Hgpu+trhMxmNc3VQNLj+McDn11JaSx9E60/iJ7XLkBOInznQU0gpT6gIK +z2ocl50NozIuD1ui02e7Eg/Q4Th95k+bbS2TflbTLiCTWlaj7zSzMVjXpDD+ +BCfOF8PdxpUouTx2WZxcHqfP/SCJ8ahPYnSIkhgvQ0ptCrJkBLlcvYDM6/jt +Mrdl0ESG2TCr/05+uKhz1s6c/30Unf91DbK5uTrC/MWcbuq/01+GYIb6NUXN +2br5J4BCMMMx82HVBDEe8by8fMs7m6FRzgtd8J1yheBFDymgbFl5RpKVFzNW +XiYaMKwxDgUwnAXDvziyyz7YLd+Pdst37+Z2y+EFkocwfGB531XR14Lz8oWH +o+BenkWuWl7OqSwxiT3Hy+Qr8QOpLZG+EJEdI+JlbseInxxFPX+kYC4bmxws +UbKaA7OC5alw4TcNr5Zn5QBzJeNyc+qqKt2wJHJ5AMsDRMsyk2D4ouvw5ijn +86gEI+SUwxE4Le87QVisSOV9cZ1VgOU9TVZ5BPlauMu+XkJWGWtH14Mvhd4t +dzWmfveVMOY49WOVo06G4dpJOkAIw741vsiAebYv9IMC7OX1wSCngPmjIsD8 +GgDziwDMWowBl346t6xtcrbkz7aW/NetCJh9CEOXX+sQxhgEzE6IcZu5wbYl +2A/AeJaB2ZZh6zXETGtf1mIMAGZf8MdzyxDGeAq1ljyyk2xhDtd+qbuN9I45 +FcigJdmrohGIdszqu5bsys7zZByT7P2LPBklDc1ZH9g0y5qMfBmzlFKTs8tc +xOyRmS0USFKNbJmDTU4HlwcrbN79uZSf/g1g5kU1Y+YB/KLDT8hE1SlvvxZu +n2Nmznl18/a4myoy845vy5E0zMyRcNlf+NGrDe7O3EtwzQdmjl/YijPzlr4R ++1g1BY9X3HwS3Dljm9z5S+2ts7v2u8qll5e5NXNntGa2F38PCjLmiVB56sxy +LsE8hbVlz0qsmkMBoNxpYrmZJTNYt4ljZ3MC+FZX2m8yp5sNNM8OouZK6QzF +zSVzB9jGojOsmw/rVY0YAzPz3Tn5iyIWZWmv/DLbK08R98ougzGbBJWD/cLz +8uaIl7fivOyMcY2hkkSffKPAsrfG4SwG2S/b0PIDsFEZt2viwM9sVtxjJN4v +05oS/7FA6T2RmaNjF5mZo0fJM9N2jFRtSfyVWBlfuAgB5hE3fpPDzNyQQatL +RGZ2uYyImdthZkabFMLMWQqaXY1V7aA5UVOCDXKRblly8Mv1JLkb5rkuoCfV ++AVzHG28zgtjXAy1JOej475zogq/fm7DjKxxsgqD1ZKIlVZDIbWMPxV7gTlu +N2SOc8d9qdSytSi5Kr8z4DPhViuXosCeC2TchgIZDy63Ry0ukIGTy7im5G2n +xYAXxWZB8yu2XcrqMTYlx362GRuq/sYpaL7tl03fn6Sh+YymT1wgwxnkfHLZ +HfmBRa5qaJ4Bc3Ve0/TN5je97lqxtw5HHkmjHFNkRAd/g+nB3w3krU2uMLkE +m+UOr2CWS+iY1RzMsj3iJPPZifa/cxPPblKNyWXxsllMMsOyOZbTs6s/cgsd +p5jF+460QQg8zGRE2huPCZBgdq0ly0jRH/bJ+aK/VodmPiElv6bskAt3HVJU +jZX6RQ45eZWAo8u9UalfL3TuvIOHZiadX3Q6KvZLt2BLBU2yFAhD824JaLZv +bUctcZPQlpraaFo3E2F20/AsWCE4RcYlUHCKvXJjofTPLZvx2d9DyzsSHbPz +y+EoMz/9S4Gz9cy1peAcFs4lPVazTWhMY3FcboJ3zp86fYbjZsPO3QI7K27+ +ciZmZ79ztreAdX7l/A3uOSkrZO4+ymFxsZa/gM2NW95b5U1fpRhGKB95G9bL +81Bk2d316T1IHMWYF1quXXMfQWY5jkGReblFZr8/ociMIxk+wgx3fg8AMruH +yLtxQQmSLo/FTdjoU2H2K1KMGT4XF+d9LloJm3PFcqKyn25WImzmF+HSQ6TD +ZtiopLD5AAGb6QPkiiAaXd3YzJtKpgtejIrYHDVgs03KgxXaSYSbFpNhprJl +2nwdKqysMwltVRaGl8i4mSTeM+tDv1+TJr/jUZOfPfTbO3no5z4W+NAPh/iK +ZJh/qj4UG5jtis4w4xdJ14B9GboJvxFeIm9HXgzt6H+UYfPLcM8Smkrq/cFf +EWz+IsLmjRQ2bxyw+YUeTf+hsVkHNODwzzZk68a/LWxA4/ZfNv2gsbnvGaal +5BPnxeihTXI8xwwHfz3uBmx+ELD58QLYPJti85a20CnG5nf8euFJ5AzCMvr7 +fv1FtEogdjmXacYrBCdkrrBvdk9u+ADQzUGHzg6bS2bj3Cs5C1kDYFqWcVFC +yswja8K2Wb7+y7mJZrPRSzc7J1/i7Hh8XyzJ9shc55EZ4hlUKicJhOyALEbM +s9BF9PTWJebZvKQkHoy05vRW9viGk2pojzBfsC4voIKgHYSaJr4/wA9u4u6g +hcQcdgeOmLVQLhxzHGOO/nRCbX0ilXMpNXfUcZlfNesL6C7JRPM42CnY47+O +qMSkPbEyu3WzPQCsT1IzLzRpQIeAwsq5pKer+ua/77tNOqNbQKBmS86ZTTiX +w8bZpzU64rRGEWGz3TiXmw6tGpmf8ci8rOc4g8pLBVSWtsuVkxgpVRz09akx +PgelMWZyXEa9fW8kcbmB4fJyqsQgrmWaYNZaDK6Qe8ilmCG3R1LMZMtsnyPH +qs8DSWYUQebDKrj711Zk9p+HIsgM22bnYoZt80F+lSKXZO8nqzIsMsMFYIV0 +Rm2I2dJyP6nbTyTmoip+fvXH1Ri8nuSuRF5PeH/UtDwPG+TyfMv4zEW++Bu4 +EGsxnD2J5/eYQQ5d/NEPhc3wHQxdfsOX7AEXf7yeRI4x6yXzb1Cf3xnsMtxZ +lK5C2T2nx7gHzlzGwwplgo8yg3tZX/5FxNw2EDPsQioS8+v2Kvvvr1kPs7HK +uQvAZyDSDC5m05H9sNVl/OvOX9hFc9/fej2GJeYx4F6+1vdh28s/Tcz3mMMT +S8yPCMT8IhDzFJGY39jc9WOHC8CX9Nj0keYwKp096BEIrj1gOv++QJ1/4QrQ +hNX2dtVNdoOQDKqxUXghHoXH8mVzbAxSo1CnM1JtJnjZXLT/b2Q8FUt6LGbb +x6VO1wrOTcfNzphxaxxsLlqGehDRZeQNyBXRgEShZjskp7GAhkDNry2A3pL5 +fkiuGWp+zFNzFFvLu/HAKrno9Y12NpGXNzQUt0euoPjVjXc1jWY6uZZTM31x +wxrmX5H1gQs1WxWzpeagy7ABjfPAGXSp18vZG4/rUbD5VhiQd6OQxkMmpNHR +hzSeQvV/zy0X1BnivjnuNVkMhampkLMJazR0QEvnTlzXHHpO5rul83p26fzW ++jywYe8DO1bWNsPiGbC5WrHcsp73M6FytTkMet8XFsshizHHL5ZTWQyh5drR +cs9KtOwEcitYMwnNMJt2EuHmz9Cy5MjgMjl+92cWzFgoFy5fKi5WaknM7hsh +fR84MePHSHIDiK9eUguVv4kfBqndykf3hHwGvXTBH4T3MDGXjIm5KQAzaEYH ++4dH2ozdMmIWsszcvRwRc5Gmkvjwb5D4QZAeHu9gD498lXK9PwiXmq9T65S4 +neQs0k7irl12EX3LdL9sj/6KlvntCSI5qaEk5cigLqXfYZkc8/SbWMYy6sl4 +EFyjTij3LHIv4+M/58qIiBkSdxExz9b7DD2JETFPjp0Z/3wGtZdoF/Njipgf +sd6Mf92liHm0IuY+v0OxjMuAmK17WWeZAzHfCe7PSsT8ChDz64iY37LEjORy +eoxG7SW93zXOzZBp/oi8wTnXJvdsukVC0rGJy06LOzazbKi9B0T9fyylYb3M +lVbNCYVGs7zM1/BgM72QHh5NR7kPNR3PkCek70N9PMQzhkidfxiZJ1ZE5oEO +mSfXEJnF8BpHZskkhM+iH0o0YXP7MjXKYcVmQGZ8Dn0pQuYLPDJvB69uvcR6 +P6rWdC9ug6tGZukGcLeo3nRkhMxbq58t0A5hQ59pDuoMZGReJi2bca6Z3gNy +MzPPNmNsfrmZ2BzMc+vEt4FLvX2upLk5+4mnZhLV0C2roVQ7kLMY1wju5r+K +7uayGdyH9u5eRZ45MPPSng/U5MbPsnLYLL/FNsuOlWdDEGOWGuQzeRADO+Tg +YGVKgTAG5eV3RF7G4mXvyECPjjqvN07Ll3djG2Z3IU6yewlmhizzFUMtM+MM +X8WL8RowMw7xSXeAUYCP3QEeKsmVcDMs+TLoTbP6ItxGC0yGMWY+uLWYeWnt +mBkkzP1JITZTyxVkZurjl2QZeV8EvEQp0lFCO6xIjBlco7THyhW/xl1W/Vke +Yxfi5w/i5cDLtgR2H8PLeY1+qRgzK8BuCN1WR+d0W6XKYE0mY1mQZYzzbjmX +yejgBUqvrQh9Ja7hbwE4+LmIuRIzfy0xs78DRP0lT/6s6V+Pb97035qZxytm +vvvnwMxnNn1sZBnglusRJMzv9xirmPmWpne636Z4+U7TKdVYFTNPN7pO213y +thqt2DOHmHk7m2UzObYdbaTZZzPQasGsFcQeJ6fcDJtm8vCWav8TzjsQN2fZ +Nj7Z7Lg5YubT5d7spKDZ9WajWPMRUaw53iYIsebMlplsRSMaLNY8DF98JLYK +gZvlCXmA0JVNrj5ci0le5V8ONA+oFTRPD2MyH5rTjvrYJVThNHoueoBrFjRb +DfN2SLWZ34mdB8205LT50Mxccw3b+LJTV990HDy+naxG4ulonWBrnMIxIHbO +XQ/1fzejOhOS0gBF8yMopeE0zVSmgVXNcVJDj1QOzpFUwyY1SnrWZu3iSm2c +1rDwrP4rrftOytitYRIb62XrhpXzXH4j2C33RhCguVpmbuj5UBW75fwUxjyh +jITe+WEvBuVl2nZt3Rhuv6zNSK8SXl7GePmdpCPjqaioBCcywgLFhpidKyMs +Ungq40aTyvjepjKcjBSeIYmPuTWZmYtJc5iZ3wHGzLwSMbOQzgh2JZGZzSMk +rrhq7hfBPz6aLYrEzHumDlwqMbOO6k1DzdjNZmZuUEIfg6RBqYKXn+2YB87N +f3jsH8X14KOATEr47G8HcvbHPwzc0R8fulTeqASrUrqsJN4xRxnmBrtRsY+Q +ese8UdMoU1hij11+y07/LgEJ6VXQhn0T82Xc30gFc64R23S/Csy80B2tcGZe +1Mln66piZmjJtn5mxcxP/czsmo0/Y/yWTf+6Z1vLzDueafv9nGCux58hx+yY ++S+Ime/1zdiWmfWaQ4/sSUlmno2ZeTPqzrB55kbqZ/bpjA9JOsOeSMN5tF4r +mHTG32g6Qyg0uXL/cApInt5S3adhJGbZ/6YhDbZrdjahZF5NOAeUdM1HpF7g +xFhzvGvOO/dI16JWrnoy8QzBYB/l1yYsF1YLS4XnOImZIdWMVgstZ+a88Bo7 +9ZhFpRmWmdNOZsvMdySYmaWZ56Gr6PnUydwb3/8t+FOONENiZnsNPVjSBzE1 +fZqZ94IOp91Qh5N9ehvJ7wANM/dEVSYbKW7u5qVzOJ9xDqr/y0s230LuATua +ZbPPaDAB3dPoJvB5VKGdWjhLVdrY38ykGpkF542QWKN9lNVw8BwOBLtQuQbK +ayS2ziVNz1kb4nMeUdWi+REEzQ9XGcR42UxmCsxTheWyEy6HMAYG5um+xQ8W +zCaMsTjI5NCCWQPzK0lgdlK5d70kY2Lf9+MI88AQYXZyOa0efSAVYyavj/Ll +n4sy8+xe1IxdY2hOapaKfB74SkXSkvLknoPmsRyapWsX9ElwXiUEza7UBEGz ++j8bfQfYhKGZPD1KhSaFqXlKraiZe0e5ZW4Scig5as65/COfBNgyK2KOPwm3 +oDXKjWyNwmUZlVTModWqD6/38w7SU5CyP94y72Wu/uxKZd8KW2abY6bNJXET +NuSYG7aFT4M9EbdvkLYN2xnm/qDPw2GNcpn6cUrmG/xZeGfzGbjXW+Y6siyz +PQGfDOuRGagZexGM88aImiFWN78ro+YNbE7u9Z9Qan5FpmZvnQOBxr/u3abp ++5MPaPrcUPPFipovg1ZsnGWG67/utytqvotR86OFqXmWazTR1Lw5ULN0Bdjr +XZppxs0mO4dmk7yExo1cwMnNc7JEI8v6iz4hHdSIos1uLBbseXL7BLJtFnLN +6b4nt22WLz7k/JofjyWNzdkGVGOfgOahD1KNfRzQIBr7zO4WXhB2CxI083LU +RQSa+zULmvHFB4ZmbpoTylBnxXuF6qD5VgLN/XiYeZ7Qiy1ohGynk9T3F6BZ +Ugjh0lM3FVPQfKCaim6XcMjifRA0x3m1GJq3RZm1HkZWr61zo0xuratPaLgJ +6c4BL2LB5qthvxCWzeEk0PUBPsCKTvLCzSlwlvq0K9nooPzEijU2CEvnho5R +zlmSa+DEBl46fx6WzlCG0iYkNmZUC83dDDR3UtC8pOej5nmvuTd+FphfL2la +zroZXp4dLZftmR8OY0SFfp6Xofna3Kk0lPRgz34v5DGWy7jMM8xw8ed3zA6X +zdVfyDHfy3bM0eXfntTJfO0+weAfITNfqKS6TGqNzBUKsPB3YaRUE+tfIb9D +nmZ87FIEmT9HyPwpQmZj8H/wo6wOIfMHGpkpMb9bKaznvwdrNzELAqVZWKAU +H7dEXX9zcbHVWLJECeUlf24K/a/YkxHvmFOZDLNjXijtmPl3AT1ALgoPkPk5 +Zvm7QHPMv4Ad8xbmm3CMKbvq1nTiUuHyzzuZ1zXfgWtJiUlnyGV0AsVcx8jL +bK7/wM7PiXlpC4j5u8lBN7cSvBn/dFeAJJ9h3Rna0WyJ+Syby9jsckXMV+YQ +890ViPn5CsQ8LxCz82ZsC8Ssi022t8T8TO/3fGn2k64eFeczfIRNcGeoEWnz +GaziaX+mnYvPPLJsRNMFR1hiJpNxFOs5yck1u8mYfIGT7qOBmqPJqH+qyjXj +9Npf45c44RTQaueorHmo2PwU+k38a1xofhKsnDqboYZk9iJqSm2gXYDgm2se +MqNCkzdQ3xOJrzUHmbm23iFz3oiMxcx9yWF0jMy9yBOcrkENCiG5IrvIaKw1 +Mjvz5q+ajvKV2Vsg59wG5tTD5DP0UqHB5jP4LeAliWAzvwd00mae0XgMRigu +POE2jdcwNi/ntdr2wBpLnMXbQGTV0F1StATFBDbUf6cVOWddcWAj2jl/krwR +JJXbmV06l5uG71itO+NXnpsX93ysSuMyTWPIC+YAzFEiY1O5BZtc/G3dYFIZ +LsWsxntJI7OiKxma3yOajADN7vAPFZi4YAY7/rsXoPkuZ5iDMLMzzOGi7OvQ +npmUZcM+RXqJxODsS03WWnCWKrDQMgU/Q0rgHBWcfCyENNLPj7GoOUHOz7eE +nLl6dHp+x9X0lK+/wEm4J+fUBaDz9aM9M7sAjH39kpQZfBkL6J0LbjHpzRSk +cZoZ2+WwVClc/w1JtphIaWYX3eN7ZvtpOML4MrZFT5A/BRXpek0ngWEOIntZ +9iYKaNglilugjG2UtBkdI9GcLzUBeJ4JCxEKz/WhOLsIPL8RXM1/9/AcFBpx +uNlqNDw89z4LAhrBzxwdAna/A+D5PuigGm/ut/Utiu1jLQjPavK+/rMAz16h +gQ4Cn0G+5hBuhmbAnemuwQk5b2MyzqjzifvrDxFWzkdU8tevkku1TyuWbo72 +zefneezlW2m9b447U+MMG686iQq1Ex1QQ1MSDf8k9yp5kpN99nRMVk/Olp6p +pbm25DyIkHOi8ClaLnAJJydndCAt2JmT45GRc7HgWq3JWXvndgLvnNMJ9VKj +MVSc4GSzPZKOa07wSWBuuhmF2rC52TVrP+hPA0O7tnQa6AzOlZbOuDoQJ52Z +l079d1xnNjZiFYKyYSOd2ujK1M68hnt9u3huDjhva8F5HQ3OT+QumVNqDO5d +Lr5l5hV/WJPR4DQZdtPc5Ke6bsUWodm75d6Prv8wNNs08ycEms2DI942w1fA +rVFcT+zN2jPnrgDROoU8QgqpZrJW4V8GUJOuVnBGF4EjpQyfdPeSA84j3E6l +SnCOnyCl3N4Ku09pFXDmzv44r9eftZoMjPTMXDaXOgx34MzPAKvty8bOfqrN +IFrmBbI2g9+6+C/DorwvgyCZi5pMqtNmxFpm91XYwvdl/8aomddTA8qfu5wJ +HwRsZ3aXgPY23K5P7oTh/wDqunoKiUddsclUGO6zAJy9c86B8zIHzp08OH/C +wXmmzcilwNmaNLp7cNbGZlepbcB53FYWnHudraDZVWeHZPN7JtmMwdkaNBp9 +hTYG52cYOE+OwbnHPLDfL0ImDQzO+ioQg/P7pjz1ceafc2Un96HLQJxns+VP +3zGL/SqbchbKUg04VzZq+LDG2cLa+Qy+dpYizkW0nLnHH0VGpBRx/pLqOSOV +xqc03sz2CwcKSQ2yX3iKnwQ2spqT5oLzW60CzoPcfmFWnGND19JZ9pecOsAQ +Y4unpF4t4CnJVwsswoZWC5J1bs2xM36UczrOkHA+xiScNzBRNnsAgt3N66LN +sz0EuZxtnnHriQts3Al7h/sQP+OkM78QfA6ib1FoA10JOn7G1dvzhA30khWy +nW7FsnZctUFPBlPpDSJ5rkeV3OuRDTTAc7Ggxi88Ny/q+VSVoQxpycx5Oe/s +j3WWQJJ5smdmd/qXv2gWfMz8AvBxz8x42YysGb+mV4Du6dHb5siyGW1RWPsV +eYqUtikpT7P+MBwXfxhqwc3SzXgxbv4ePgp/L87N3EC3FnKz/DFIczNvA6yO +m91tOI7spfQZcAoYcXPK3M+Xze4UEO7DnTrDV5mE6F7Ud8VKYqVQs1s2+69C +bpUJWjYvkeTMeJti3yGP9NG9UJvte6/srUuWPU36Yi+G2qs/wwfAtZqQMkDg +Zpttbk8vApeHi0BuntNDm7ebfFiIm39iWk68sxkuA//j+e4o4+wsdJs1/fdj +PzMtJ5abf2+WzcHTjLn5FsXN3KLhuPkxEB89bbh5QcTN0yA3NxNxMxjotgBu +3iZIiWzG+Z0444zkQ7gk8L5dsbs5BNtCYoNdB+7HjqbzVPZH0SCbG5HReEzE +NfB45CHn41nIOb88FcmGruQjMrY3K25WH2o1JLMlNrIh9GundBopSWfMzUQ4 +5JfO/CywNtzM3+Zqwc0pyxC7mPbQfE9TVG6SXC1UAc0L6d0Hd9cPQO56WnBS +DTTbLm28VigKzXa14Bz2bkxSB50blSc32Le530KqDZ8GXrQ0LJ2vhEc6dx44 +tjGObDgfXdA4dyBJZz1PeWwjdSboYhu4DAUnnmU/nV48K3RW5AqpZxN6LoVa +lE6WnKPNc8rvbAq51R9AcXNW5zfPw/v0KE7MPwNiVn+9PScYUl4gkHJqu8zv +/Xgcw22XZ6Dt8htEkrGopFFZkbAMy/bszzWXvADd2Lm0TM7/PjS0HDszaJ75 +vl3Zlhl5M8KWGZ0BwicAN5pcuT96e4QVivT+KJ4CriZiPoZZlg6vhpj95+Br +e/MSvUGmiDnu0/73IWZWApi8DG/OkcttUZ1JfAaIQ82JM0DyOXChZnmHsrPY +EMu3zLGKdP/Fh/gt81BRnBHK/w41W2ZyBqg3yXrRfGQDbjTZxIiV9PrkpAac +0AB/Bmk16eJbTUIPYOxpngDT3dlGJ8M0nw7Ph/OAmJe0kJi/nRKuAn/wLg0g +ZpxxVsT8L0XM/9LEfMqBTZ/1+oN3zonZZl2hHXnnHq6SmOfYK2xPzNY/F1wa ++CrQqjudt/lJ723+2BKzGqP3u5gG+DRCb6odm1JM48/7rRQvAy8dzuq1ccg5 +2jb/I4zICiHnU3nI+Q9xyDlPaT/yklW52+b0WaAcch6WeJBL6YYOYsR8AO/U +/lESs7//yLIbIoeGhWap/CnvPQ7vFa7PhWbaoX0+TEl8+nF2IWjmh9LVQjOf +ktSlMRAyzn3QWSAXN/Okxnq+7wSfBvptM+rXdttm13uSl9agJ4IcnOW8Mwfn +aZB3pm46+8DHmwSdn67BOeqWe7kzuDa6Rq4NvnWOC7md5dnzs2bnt9ZTYKy3 +zsMsNxcE53oEzhOF9fLkkibmbCPFylPjNEZ3KY0xt+LdH98uT9l6SUmPbvUB +tcy8jKgyXNufZubnUszcJ8XMIZlh3Myoy8S45lJbZlSaLbUASr0m3DknrVAK +c/NJrcPNR0vcfCk3+v+QsCx9Ez4KN30tZvdEboZtM+FmuHqhzua1nZtfQdws +GEg9Nz8l5PUESTPWZ8y9GwnnbgfhXFGjUs4xIKoA7CWoMwYUVGfEZiW8ZU5H +moeLNlKczvCtV5qfnXPOFl/ZutiT4cnxLCRVwp7mq2Hw30TaAKmrGd8E4kbA +YNGoB/dc87j5r46bmU1Dc/NK4Ob/iG4DbUrjXw9s1fR3z83WOxf3mzhuxhaN +5nGzddDNb3pTT2PtoNtqMeNmdxsYlPcTcK92Kt7Mz6h9SsMF2sLIHFPJRVej +GqhKEWepY1tcLfCObWlE6gsQGJMjbvhaKD1xans0Jr3aHpWoqjF5sPNpPBCM +Q3bF8L4wKmm8OW0cQtz8InNprBZupuI5tmnOsusQN7ObwDnjKnCze4/LU9lL +kiHHzbwo9ezYPeeLUuW3uJZw8yFLuLcZ59jchmGHkHD2KwbsodsAlBr2bc75 +m7mLDms1wsIZbgThrPrWxs4+qYHZmSedq2HnKcDOkp8OL56xo04oRoHUcxml +nmH5nHXMEz1Hu2fFz7iZuzps7umxeUHPSTU5+Yt2zJsupDvmhFsOlswlTcxZ +HfJlmKhdSW9AsouJkpki8wdRUTZeM+M6E/2ySK4AmbM/rJrBOMdWzVjTbJJ6 +PNQM34HLhFBzq2Lz2cI3gYebm/tNyMXmRAlWEpvR6yN5eXyPu5VK+mOgcIr4 +SNcENXNvf4Ka5bDeIzysZ4l5dkjq2eLstKY5vg/nNYDo4RG7+6HSZPvUphl1 +xHKt0u7qWzBYfQv2wJvmxXzTXDTPvAt8B/AhIGiVSFLP3oePIhcu6xJ3/8WQ +zvszemC8Ge1IjHQO5rtTNU/0Gg1bCTgFdh8zBWTW99wrqkRmWw/IkNnrNNxF +4KY22GzUzbYm8F8POmQ+p+nDTXkw40aFy6hEu1bIrGNym8XITC4CebCZtamO +hzHqhJ33YGQeHBpUub656FXgRUd425D+uyk/JX/De7ZXFd84S/lmcHQWKlE1 +UzJWOKdEdDjfXFE6lKwKpBtnyUIXss3vJK1DkafTU/NiT80DW5WasW1IpOYE +Mlc6/fhLApnDMWBof4prtDkyy4uF09FigTel1gCZddXJYgmZE0eBooUuKDW0 +p5MfBv4JhZsvXrau12q440Bno7vJY3Mngs044Bz0GhabnyyQ1XgNRu7UFRqb +20VBZ7x2fpuic5a1hc1zlHe2i+cyXjxnNrjRNnUyaEpSShqdFWI6Wd2wagIa +J3tgnt/z2arCGHJ0GUC5xwK0XF5El8tYLLeNrabC2+VXdCLjV9QuJwqZSSoD +4TKa7UTMjHHZbZjR/d9dkMy4A+Ey2TKTG0CY/1ieIVnnmo/MJf0lyHYvTsxn +FSTmipm9PGKWXx/NrYv5FnzFamOtXqk4MUcvj2uOmOXPQJqYJ+JHx8SL4/jk +5iQQcygC7C9cAPadx18c2Y6ZGZWCNgMblc4kEtI4qhe0GZqW90wkmePGK71j +3i9RAmhfHCtdAB4DEtLjYfRbRfO6pCKWBjO6+O3I7T7KbIlZ3644Q384AQwl +2piY3wZibqgFMU/hAjogZlJ2Yon5vzQxn6qIufc5xptBgxljFS3fgoiZi+dw +KWAzidkom0GFz4g5LjtJFWtrYv4SLRxCQOMmpLbnXVAkyyYVbDtiHpreNZ+w +qrbPcYWn5A/kaDpMyeovAvOJ+eNCxEzKTgIxl4yB7iVi0RCBGSZlAGY+KWsF +zJNygLnIrbS7+bidAbOrS70hDczz84D5j80E5sSUbBYwS7sFfAmIhfbYQWfz +Gb9pWN9MzZO0urkhHE7jYDO3aeA9s8to3IyKtqVwc9g15+c0cA8KcdOtiEPO +3LARq51DXkMRs80519Eu7mXt7La5nbBtjm4EMwvNZZPWOKRvNSvmzRExP58r +xZhTIIZRdK082a+VzXYjs3vlNmavbGh5u+WOljO7XN7F0/IkTsv9KtCykGPG +VSbczXw7elh0WWanmsM9gMTRzLcmLM/MzRmRqzlFzE0/HmL+SwFiRoeA5MLF +yUhdpbaY1VudyIw/BJNzkDkuuhqUiDPTkN79AjLHj439heO/4qY55+yXymGd +tz+cg9MHx+PhY6AXzNjPjBfMIxIL5n3Dglk0zdmtyeHGNPcre9WyZCv2yGgL +Yp2j+SwY+uehh8UxOJOBugC9p1kP9cbQbOKu/zQyvwbI/KbXzdWDbq5KZJ4j +I/N3DJmNPUNqOnlSIfNDDpn/CMjsMhk36OWqjTPbA8BAzc44x6l5IqLmlzw1 +v5VHzZsHauYCjecxNffBZScfwT31p8l4BimFglTb9UPcrjk8zV3BjwGHpY8B +z8cV28dZZCbXH6jxxI1KMiZdtBnHM4qINCJBJ/M3p44Bb6TxjDjFxkZlYWR2 +o5IdArp4Bl4yT1omVJ0sCf2AEGumzCyNytZi5jjBlmbmu5PM7MakzMxxh3Z4 +h+PMzI1zRZj5N1UyM02wHeK3C+QQUP+d4mHkFtDGms3UbHCxZhvPcGk223iy +PtwDdvX3gGfB0sFEm5e5XbN1D+HmE6xxvllNUYzOUrzZ7pvT6BwqBIOYDmud +pwE6S3INvHN2cg2Hz8LCGd8JojPBtuKZoIJnXMldHTOXPTO/3fNFxadqvmab +GEURZeUCEYwelpXdZvkNFlsOlSXOvgwyuTKuLVF/CQqW1d8qhMXyCpPDEJbL +6vdVwJz1YxUmkiTjU8Dlz5IRZn76Jy6YIcZMzv/QgpkPf1xtghfMBpmPjj8C +aHeStSozX0DlGYfxj0FVWb10aay7Cj8YPgZJZoZTQM/MCVtz6zJzyqJUgJln +VvMhcMx8X1Ms7b9dYOYKyxMhoMdjzMlyWBbQcyvmcA0ePgRD4EOwz+LDkGQO +K5R4jNl9BHhBLD5qscG8o5F6VN/++VAGugB3HVfOlnGlmurBMmcDeHf6/uxO +TQ+RbhOrFbXGjPZmYk8DZp5VQ2bWPSffTA3Gue9f/WnTDy939+2A/6GY+Z+a +mSdu5nXN/zVeM/NBipn/ZCxzhJkv8yeAlpnvJLI5bWmOmflZkZnnRsxMywGn +iMxsR6+PNPdDxmYjnoPrEBirEjP7eu291Qgdgs4B9wlXIFFR4PCCbVB5F9On +pjtPcKy5IjNzx5B0+eGYmYjtv6HrhSjClr9eUNysPgFqUKpP4UE4nOGMzXS9 +oH5fRc3ZG/F6oUpmNpHmmjGzVAMlyOyxNGO2GpWRW4gzM7/4uMVffPTHFx9v +xx3afkzOxy5OiZnP9Mw80Fc/pZmZSuxrwszo8gML7TUw/wL1auvzjx7mde6Y +hg39LeBJ5BbQ5tr8rhlWD7j3BAc0XK7Z7ZtprrlTgGYoXI1DGtbkjHu3cRcK +yTeDkY6LNXgnyvwV2ErX1ndxAziX8mMaZRzTUL+mhnlW5+8DgZgjYK7T/7i9 +weRtPSbP6/kS4HGx4IV01afvSBwev84a/XxKGVqwkTvO6zDMOpme95X0pFa4 +GBD5/Th/MYBvlKlHzl75UUT2GQyyVf5a3iqTaz+8VV4Z5zAkTD4snIDzU5YE +Jpu5X6TvqjmY7CRKSUzODeeBMYPtTCRMlp4ZZXlSJUx+pzImv2DfGJuHyU42 ++mYOJrtdCZ/9zzBM5rMfSkxwEoN75ebeRa79BhSd/ZDE6O26reZXKspOz/5d +krMfrZVN7V+Raz9+++1mfj/A5B3Qtd/W8K5og3jHmbLs9c3NN7wn6hXr74GU +qSWjs/fK0fiyHePjocLqSSBld/HnSXlFO+SWqzejeBE8+TWuWKc4Kc+yFayG +lJmb2ZJyMGbgGPN/QijjPxUpf3faQU2fAinb+DK9+Hu3x+0JTH60MCbPSWGy +dzM3wERu9MYMU2wiYDL1M39GYsx3SQWqKJRxLdJyVnX9d1TiIU5h8h8qjMvC +BSfnVuOaW5ko1C7wCodHJfLMHUxGJd0oSE3a+wlH0tyYEV/+rc2YHI1KbdPI +K87mt9H9EwbOyCc0n1rrt0M+oWhaLqKPcJyU90iQMjfXV0fKUitgyLBZPzNW +zf0UylM3COtluP5zUWbsab4Aosw4meFG6vUkztwpijPzFfPDaMWMvc1Pg9Lz +2cQ1oI81ozWznNCoj1R0uncKEzOsmeEqsDOOaViXc0OHXJfzwZaW23tCzlsv +d/fc/FbPVzwv58UvZuTELywzh/iF6SYh+ji2Ul6GVsplu1K2CTr1a8/2MrxM +WrGtEUNm5nCTgtfKD0VmDJrEsKvlv0WrZcfMei9yI+ovEVfLCbtc1GMinYDr +lqs1xM3ug3DYJTnc7FbMjJt529VwyZgRnYJjbv44UQaYf9AicbP0MYizeSlu +npXmZqE626+WRW7mtdnAzeZjkLDLEUvGbfRLMG8sfAmuz/kSXM6+BLgu+5xk +XfbAXLNcfMhSXV12MMuNiMxyO8LDIi/+s3GMUWrqWyu/4eUzmCXjQnCL2kRG +58gudxdI+B+AYe5CzBOBm1+EFcdkuD9xhrk0N7fP4WYrxPfcPF3iZjDN4UJA +5mf+z4d7Ajef2/TBptzHjM7+erizP2eYG48atItx82w1zd25ieNm32nCTHNS +IaB7ySN+5oqxDMfNztHMaqAOEFbMI5hxzq2Yj2m5cY6zc3LF7NgZc7NonEuv +GEZ4do65mXSbmCvpz3NXDAdV6DXZNxqVy4GbG39k3GxGZZbdwqalcwpxbkYP +cS3k5hBeO6sCN59UkZuHIG7erypudgb7nYVLaaZoNmG2LVG3idU0HwehttFq +dLotMzZnuMc613GCt8xXO3ZuDOyMoxmWnTsK8YwOAju39+xMTwLbR+nmKUJE +Q9o2z2PbZsfPDdSqERbOdbYKBYR07y7tYHfObWy7K9DzwVWlMjp7bJ7b81UR +l3kCA9bLJb1fVn9IdNqnUFdN4KxLvGHeNmon8ed9JrSs5jOkMNQfQ01q9ccI +1X52Zk/s+75QVYLqSkJwWf0x1DTPerLwMj71+5IgczhYoatmbsi4dp8f/Mk3 +sWQcuEqu/htBjllKmpmzYTI1j4pPwGtBzWKjiUTNeI3SQmoezqnZtZoICT1e +cXVARWperj8D6v+L1YdAYVU11BxftCSo+U31KXjTUXOozc6n5qcFas5zy0Eg +w3wDaBds2DSPNd8ATs19Ih/zJYSat8+5+TOBjEVnoPaSU2B3IlVlYxdzuipb +BzKG4kDGYttewi2jXM2vLRkhkLGJmfdWxhzOvXF9CRXLdY7EcncDMjsh8+Os ++s91Zk+Bl0COzDoit9T3TrUDZO5YPTJP3ZgYMwwyv8jDzIDM4wGZe50LfdnX +emTWpox3SGc2NWVUh8wzAJnn2imuNfmbLzTlq5WQmVSa6CSzEc19UkWSGVIZ +Upc2rwQ8xKYyhBtAnsrQf1eVrNR2wQxXqV1p4wzkXHWl9hXCqGRuIV4ANfwW +as0YnipOHcc3zsjR7Mi51tT8mjVmtJyaXwZqfkGgZjwqKTWn9fVBwzkwIRSS +RmVzVs07rhFkdouGMDYPjQ4AnWjuV3AyrcdnT7BmbAqiIXsEaGVzXVmama2b +UcPJ5QSb43pA6RiQYPNyunJ+gq2cpaNAXLnt1s5OQoclzjTd7NfOWVbPshrr +EKtGo6ZmltWAFm6b1ShpbFb/wsH9LDDr/1esO7uHh+Y5W002sDw71xiX6PCT +rHFClx8smDNb6LeZH88v7LDCHJtQ5TJ2yL3ntcuuEJtkl1Ewg7rkPjNyDJpf +NqNd/TUoZs7OtA5+CGf4RTPLMF+HMsx+S+Ko+QAW0Dg4zjFfzHPMR7UiNhep +NSmEzT/kYHN8D86xOXwLimCz5OvPxebi1AyfgegOfG4NqJlKk3yTyUwcYxZ6 +/2bzfAZtgY1tzDTGTE0Z0tnfuflnf5FXbrTQYNKys78RFc/+fm5MGfqF8RiT +xdNnf92MillvSKIKE9T8+md0qHLzss6+uOoeGOPOu+9qTOzpnx3TjprfgJE8 +G7YXCyRqXpqm5s+Amr/Mo2ZcoI3izIaaQc/8vx7u2fStoebzgJqvQzUmtyhq +vi2XmkNp9sSmhQWoeZZAzVNRpYnNMi8nnjlOzY8L1MxLtLlrTg5p2MvpwjeA +NNemqVmMNMPEJGeAmprVxDzVRZrPrjwtU5FmMi3ZvvkwfvkhTUpmzoip+bOo +3STyCxFqhmtpMDQPiUT2nJrjVpPaUvMrzaRmXvrE5fV35VIzHpXpwidUj4qe +5bYTn+WshnNgUsNZC2qmz3Mh1rYLEdmH+78dkGhIUmfYG8Dgm7OR5qBpXpcu +m+E6hAY1UKy5MZ+c78uJNruF81OQkeM3gS/ATSBeOvvARqI7EGk1sqxd1L2N +7RpLrV0DagTbhg7uxnaYoF3YeWjV7PwTxM5ThQzz22LvtaTEwGd+sGXOeCgj +cHO952aTonPcHLbMJQ3N2X6s4U/QLwM2+3zGzrElY9yuNNPsl82D/yY2mIjL +Zve46O6+940zGmT2p9pMao/O6n+lCp6zX5CS2Nqh8/cMnd0H4Rv2QUitUSJ0 +tuctTeZ70CxyFluu8tB5PqCzc46+BeiMvgUROk/NR2fh3XGg+xbMzOmCnS1J +5nC0mSuZaRdsH0nP7wVz2JiRo+cXBHPUNYrPwI9AJSaHRsvmoWbZ7CIacUhP +KP9DPbA4omE3JScuDUp+V2V1Ltx6X6p+cPuf7csOueZ7UNfrozC3n4Z5/TzM +aGPNgJk8Uw3XOSl0hpe995ak0flzg87dAJ03ROi8US46Y03z/3pEo/PQpk8M +Ol+DmkxcA6BG5ztFdG7IRedXq0Zncga4HQjnegV1BjkDdCckLN9872586ezy +za5L24xSjb3YoBHVaaNrQJ9wS10DtrDkpJJ4rmLMmfVAHXY1PZoOQnuOzvH7 +XAqdhwrXIAcgewZZOueiMyia1Q8uNllz6JzXl9oSdOY+ThiXCxw6X0B8nNuJ +49Kh82mrBZ1tqwkenfwSsDdsHrZDI3Rr1G7SA1ydG5icxvEo44wVGm7pfK7P +asQXgVcncs4V8Rnpmx9N4POk5e2zrAeJPOP9M45tVLZrpKIb68RKZ4PRfgPd +hrQJDu2/abNSGrO3ej0KMHvTsndi+CWzTWSUcSIjs3V+ZbxjVr+mSDkC5e1h +wWxg+V0HyyU9n7MD0OEfh2V6/KeXHjEsf+4XID7MvBvsmXenIuZoz4wCzcSV +4RYk+yC93P5sUcIuWlKh5iihF1Vn/39gXo3A3C8C5mkJYE60ZkOmeWCyxwS+ +AHMqKeb+IgAz7rMKHSZEzo+WJ9tDD2zqDjCtl6PepMp3gGHPPFRN/YNJU7ac +zvDXLA24x2pT32GiFyQnLQ26DK+Y807Rdb1i7rrGzpGV+V4AZtdj4oDZHgK2 +85XZ02D81g6YWX22VmdMhmAzAWZ0EDgJAfPpGpjP9+kMXv1ngfkewTH3mADM +LyBgnpIA5nkKmOfnAjOu0LYHgRSYHxsEB4Fu35wEZpvScMAMT3f6i3lNqt6E +v9a1oBXwTKqdU5NSzUw1ek7l2jmYmC0HZtg3i23aIaWBG6BCt0kwDVUKtBFg +rnATGHpNkKL5Rw3M+FkuBua+viRVeJZby4A5KJr3UuOT7xpidUYIuLlzaqzP +wCkNexRYKdzsDgOdsjmV1JC6TlJpDadvfliNXwfN2EP3NCg1cGLD7Z1xYsOp +nKetSKc2ZtPUhlAhGFPz//DUXDIVgmWFy9XumbfyyDxr6zcQKs+PUBnr46b1 +jPMYrwXlMs5jkDq/sFt+J+yWe70LR3/vuUyGmm7aJrd/SDHD42CoxP7YDO5H +QcEc5TJYNXacZuZ9f9+IS2Yuz+CHgCSfwbv/BG6+IEc42gJuttjc1CrYHB2I +e/9oUWxmcT3zMUBxvbWGm6dX4GZwaMzA3PwM+RAMzHX0Y24Ojn6fz3iL+0bH +NvWL/BlXIXcS6v1DHwLuTuK9f04xtxO7A9ytFneAS8Id4KFCPiP4kn7ui6yc +P8N1v9J8BjwmLsOaOf2A2MVcqzg18+2orOpB1mYysQA3u0aTJYyb3y3IzV/N +cPXZGxJNs+dmJ9LwB4F53HwDOwSsxM26A3CCXtxWjc6bzjeTfZopN1kSaZoJ +OvcGdO4bnvrwTWCRJm03WUnA2S2bhYBzpCFKTU/pLnB0sWRbXk6Dq+c8Nxt2 +lu4Cf2C65iI+jRxuvre57SbVc/NOr0KDNuLmPmpU9qkpNz9TgJvzj0DiOFui ++GmefpOTublXYW7+bQVuPr4wN8faITw6hwjcLPUBMk9z6ATMsuEoqtEdEm/Y +PieHnPltoItrOHzGcY0YnzuTnTP2a7j2k4cQPuPAc9g7d/CxDe7ZIGa6FRSh +8b0g9m24Bu5CCO3Uzsut2vmg/lWUAW7guXnm1tMRL8c+Ob2YMLy8FfgxtoYy +P8fLfr+8DO+XO/lGv+dRGOPZsF+2sEzMclrArHA560b6Siww26dBs2R22w5i +mcsxZuz2VUnP8qyPwMvCnnlvvGcOwrmoK3uoUP7nmDknmFHbXXMNoZlZlaK0 +ngjNLK13e14z7MfhyXENQnNfEZpfR9A82UPzQA/NLzBoZqHmPEn/HCadm4OS +GW/dCtCMu0yE25b5Y5Bw9BLvZe6FUno7qK+AK7WKvgALwxngLsIZIC7K1smM +IYvSZ4BhY8KnPp74/eNkRsM2kTzjOAXNJyBd0pnQ+mrr/7p44xwuzHZu5tBn +AqFmoQLwRRjDGJpnqTE6l0HzMhil7unu/SLQ7D3NGxpP87fOojHZ2ucMNEuV +gBMVND+qoflgBc0XgHGOVwDeDqXZBaB5EoLmlwtDM24EtNAsCOhApEHyzfDU +VzHfvAfu0v6WrSKYTEPKN+uEhnQZGHk7/xEvnKuQaSRFdKjjRFw4G2hmantJ +piFeUKNawAiaqYRuqDsJxL5ONC73E08CZWgO4WYYlx6aF7YSND8rjMsUNCNH +J7oBGZB3A/LWjTWB5jAyfydCM1fZF4Nm7uqk9yB0fA5OWDT6CeFm1wu4tYVm +13HSA+2cu/nLQNep7XbO+DrwXHcdCEWrru/ECel4zhnvnSVwvi8CZyqnox0o +HUTJhss8492zg+fXE4mNYvCMZHXmx078qqB5fQ/NM7aeQYPLmy8MsIxlcgDL +kyNYXirB8op4u9ybb5ffN5m50FZSDld//T9Uv6aQOetiUxmstcSnMtzYln0Z +cP3XL8SYoeTVOUVvdrk7IGan4adb5lXyljmnADCPms+tkpp/26rUnNbPyVVX +8WdgBA/qFaFm8hnA1PxeRM0uqNcyalafAUXNfeEz0A9Rc3/XBEio+VX180ou +NQ9KNpo8DNTMS7NT1HwLUDNtMwm3LXGtVW9Ua6XlGduBcg7XWtEzwNPQmrnC +GeBiLB3FZ4BDyZr5YKiBpSM/aJpdPONId8fig3ibgjxDt5l0s8o53wCIfHPo +XsV7muFGhTaaVKbmKXBnMr3W1DwjTc3aPedaAU1MA+Wa/6ei5m8MNV+IqNmd +At7atMJUAMbOuQZfaaKp+eks2w+o+blmU7Oe68Gl0RiuAl0Pa5KaXbSZUbNZ +N7M+7T1dtPnvoSSK+zTcOJXsnSlzpxmf/wjrZmHhoMamGpU6qNEUmk4cNRdc +Nx8pyTREaoaYBiwaRvCYRp6CzrWcMAVdPjW7AtV3c6hZOglck9TML6eLUDM6 +/4ioGafZ8PlHZWreXmg14dS8cw2o+QBTBCVRM024BWrGJ4HUP2ftnfaqmsY0 +fgqlqjb1drxCZbxrPsM3a+sR2zWKajgXnXgeiMQa2OUcsLkjwWZ3JjienQnS +yEYs2eB+OhLbSJwLSlWCwr1glgmNgurnwP7VyOc6GWzuqLB5+jYzAy7zOr+t +FmM3hho/r227NKvzh9fQ6WeA2QqYO2MBs89iPItpmRWXQB6jpHE5axvu/aB/ +6lGbpSvpMZ21S6eYHS/v+qX6q9TE/Cuaytgjbcy4kaeZ9wlO/iuxay5x/icl +MyqeAMKqBL0xlvTUV/8ROTKfUS0y/xEZmxPIPLISMgubExmZ/1oQmVO3LTEy ++xLtVkXmGYDMb1SBzM8yZH6KITO0m8x6SEDme8CeQRPN+KmxPzZn4IgeQWa3 +ZAaBkkHmlDkjnIJTQ/MJgqHZInNwzYXzv8qG5t1Qm9UA0mQVonhb+WYT5xc9 +3hQAdkUFgF29IOkidKPiFM03wsy+zSeaAZnN/UlHsGcEZPb2jNWOzPwU0CWb +ewAyb4mQ+froBHBFD2zPwMiM602eDovm6pD5TUDmaYDMkyVkxkXa/sbE5uSk +a8Bxu8oKOjdpo4SGtnjuRxtPLhu6Km48Qebm86Vlc8KkUWTZXNikcZFUDoUS +GlGg7bvKJo0ImT8PxYD3fcqQWWo7ocnmZiFzpOm043LNIvM4hsx3NsVlUEWQ +2b7L7YgupivJhhwyu6vpnVYjMluLRirZHNSdTkR05JJt9KKZyjTcReCGSEO3 +nlk2uyPrkNLoSq8Cl4b2Exxylsi56MLZh53RhSBPa0wAcsatKM+zpTPvEnT0 +zA3PNPScpGffkqLR+cABmxZfNLf1xPzGz2dhUi5pVFb/FqRdtqCsjRjLsja4 ++do1+6nfQc1ZhbvP7xB2y8/yQ79ywdVye2THCAd/D+8M6+VdPC6XNC9nvw75 +ZaEfu2iG2ckyrlE/UY65YC7j4lSxiUDMf0SPi2RNAg+MZzHvaLORWZj/RwAy +j7w0x6TUUmRma5P0dQtFZvfYuG+rIvPMBDJPEZD5RUDm53KQmRcC4s7slKKZ +11uNpWWA6KGRlJoswLkMNfsXWHvS9kKpyQBAZjr7T2S5jN/QaiuiZ04XAcbG +jJxchjdmbA7Ru5+Y2N0JMNAj2dxSGmZ2iuaxcMYdwsydmh6AdQZGZtebLSHz +bBim80GTr4dnbZHZ2TM2CaFmjMyTFDI/ZpH5Y4/MY9ERoEbmO1sdmV3JCUFm +6p6TBBpi0cmvZWvzbSlkHqLHq429ef/cgXI+gzzYpQwaJ1TQNhfIZ3hkRpsG +LJ87Ilc+h1Sd0cikhnscZzMlgXfwkkCbzxiKSwIfjONsecgc7BnFkXkAR+Zp +qwuZU5IhAZnfqoTM+GbaIfMlbGQGyZDUbOLG5qDVjsy7o24oi8wunzFSkM+p +keqQOUg0QlGgddCt72u1nUgD3wX+QTA4X8LCzVioIWFzXIISsBmHnMcvl7Ma +T4JYHy+dn03kNSTDBs9rUHRepyI6H1ANMnf0yPz6L2f7Auyo0G+bpU6IUdKs +rDMYjeqP50qwAy539dJlMYYBk5ctln1uGTbL6o+l5rFCb8rL7tjv05LeamS9 +Iw+zHtb3qmGdz8tfs0TGd1Quh3LMV3lfRlwCmGTmQ2Nm9hvmeD+i/qOqca/+ +V2kCeYqZf3+i5uCzzZpZcvWvFcxc8SLcBvQsM39enJmjj8CaYOapAjMnxBmG +mYVw3mxeBhgXaKcqYYk0Q6cy5smVJr28Zc4xs1RpYlfMWJqxi3gBLlWaBGnG +AeT4zzGzu/zeUzEztozuDIO+Pwx5XgHrJvtPzSLkONAindLALHOsPfvPsOjQ +0/omeBa8A1IZ4xAz4wbtZ3yDtmXmqbCUmCEwc0MtmPkNYOapCWZmmWbDzGco +Zu59ITsAtOKMwMz3gWnuIW+aI8w8tHnMvNlCUgxImbnRXKE8B4eAWp3vlPnm +LHsA7mGVDgG/JJnmW/dkxdp7h+CbVxKhdAYp1z6USTR4wI2H2xLBNj4+1eAs +6bmppqcknuNT0z/OYWwWN80gnfO3gKzphCAzTMw7vgwTM4o0f0KR2U1MoVN7 +H34HOGl5jqt5TSMz1wwBMs+Jc2xUM4S9nNUjs82xnWe2DNUi867IzVkZmekl +iIzM7oR6LzVB92DITCPNAZl7IenczyHr5sIZm6mf7mjNbDPNbivhpuxvfUBj +XerSWBoHNCSfBs02BxEdLt2+p1HON/N1Mw1ryNwsrZwrmenokWDgZpxzVtys +GHn/AZv+j+LI3N4j87RfzfFx5SkJVM46oMVyY9OLQMzBILfCV5QoWlY4p3hZ +oR+JYfShtAzbZfX7qimsKFnUyQ36xPb87eSzy7Eh4wsgZtgw7840zJiY3dUf +ZJj5lhlf/hElczXXfzm5DG7N+GN8+73aoPkidwqe3pqQfF4EzV9X0CjVHprl +zUkLoPmNGeYzkITm6TnQjKPMDJqjM3ACzbw9O6FOKthoEowZdPxzY8bORMss +R5nNktkc/42MtMzFmrMTxgw/3reA3N3GZg0yClYgp6IXw3NghF8Ir4ROzXwt +qJlvhhF9p4dmNZJhhaGhGddnO2h+rSg0mwrtCtD8FkDz7Biatab5WwXNzp7h +odkdAqJuwH8qaP7aQPNFPsr8Hj4A7IGtGRyan6h+0TyLQfOCXGiOxXOhZ8pB +s3wI+IW5JHGtqyHS/I2fuHTZzKD5IAbNgkEjeQx4wqqqDRoVdc2JppORlyQi +bYaaY4MGzmdYapZH5jCg5kPEVu2YmuM42wrxbrpqap7aWtQsv8vJ1CzJOanN +vjWouX9EzSdH1Dy4RdSMrXO2ThXXaYduwNg8dwQxz/3CLpq3gWXzFuQY8BgQ +0Nlkc6gKxBI6btKg6eYuSXjmC+ebAZ5vRfB8FwLoKKvRGApRKuU1eDGK0zpH +h4JCtWARgN6vmkVzB0/NU7afi1tJLC3rU+qfL6Ntfjau3DayYcBmuRRSGG1x +CiOwcv8PTWTZcnLZXJMAJ6s/gaLkrH3YKiMrhhBcLmlSzuoZJ/+VNf19XdKg +nG3LMDlEl925n1UgxVXZY9hy+bIKgYy1EZWZcbQyKn9fe1RGj4ytgspwyjJQ +zf3+U3JQ+Y00KocuWAmVQ232IOGB0VpG81pMMCpLtqTQYNJXyuPNRw0mCy0q +78A6YEmDyUJ3viLNe9pgMoQ0mPCLP5rHGJbwZIwU8hhgREJyuY1MyO54IpcL +uv3zYViHCLNtMRm7LESY70RS5odg6AbBHEXlyQyVsZi5Wag8tyAqvxqjsrv+ +o6h8I0PlOxQq35VA5ccoKo9QqPxMQVSeo1D5LYXKbwMqL4xQmYvmnkOo7KPM +A0KU+RFy/fe5PyS5m1z/fd10yx6pXMZK0g8VdUNVymUcy0TNJwjNJqcW82ao +0alGqhqe2f+xS2Z0NH10amxG1x9/jw+moyjz39KobGJsnyYKATEqS9d/Oaj8 +rBqXz8VlgBVReVoRVJ5cEJXzPPYclbmPk6PyTexQOo3KvatA5X65qHxiDVDZ +jdH9YlRejP32qSizq9LeDo1Wd1AdLgB5lvkEEGecghpOzmTBjHNh9GLrHL4E +lFj5Rs/KnQkr38GWzTyg8VCFkAZeNvN8s+Pl5HWgGvFpXl6H8PJ+A6vg5DaB +k3eYC7a4pUJzXyM0963jhXGuvQ+1XmeMke18lc76LCPXi7vkRxQbAyeXNCSr +vw6PyLt8rv4cCpIVSuPEMgjk4gTGYMXIt+7xjfpD/EVNaghhqD+EmtlZt7BS +dpwstPwV0WMAK5c0KGddIlTm2eUcVG7dLEZzWJn45WrLygetFaz8JrDyNDXw +8Y4EyZins3O/iJV5+ytn5bSIuRpWDnYMyGGYlfKfQML8B2/H0KysB75ZKS88 +rYCJn5eXhPDdgeTUb39Skj2MtL0GOwbPYRwVOeV+YnIY2CnnWNkVZV8MrHwl +DOjrgZVddvkuwsodUFm2PvfrAIaM9sErp37ehIGZYuUVzWVlV2by+kZByszP +/l6gDYD/fFyz8iGKlS9WjHyjN2TErDwOeeU4K0/MsoMrsPIbipWnV2ZlJGWO +/HIpVnYZZjj7kzLMsWPuOzJxvboTMsyXs1ITsoEQWPm8KI/xjyiP8TsmGkKs +nLlABnbMVQxkSKUmVzBbhhubUQvg10wuxFkZAhn3UsfcQeRK+kPDytLJB2Zl +OjK1X06NSyNlXuKlzC1n5SnAyq8iVpbPPVqXlZm7Xr/EvS3H11znU+1Y+egC +rMxXDtWwMnXM2RbA7UMgw9sytkBNgFYyd6wasy7E7NoAXZk2DmUQXvaWZkk4 +Z8PMxNa8LPAyvwOk4Yx4v/yQsF9+nOyXXQFKhyigoV37uTtmgZm5jG7fgZtm +VWQxenhafq3XWwU6SHDHtdMOQUx5R9Nz7bpISH+fHLyoA83yx+5Nz+6U6/1O +efzOn0k7ZcvL7aIK7LvVeGa8rP5MalhnnaMrP5xa5stln8HYZ2VJE3PWXdwt +5xWXmE1IhYO/H9N+uWbM/GkOM0tm/pYx84AkM88pyMyv5DDzRGBm3pKdarHC +H4CixyuOmamHudd8KYaRX1xCj1ZOFPQYtrhEbsc+mIlEZT0Gj2EcnoxhOKPc ++swoty7yMNthfbnPLneB7LJl5jsYM48HXT5XZBRh5sVwLN3Y2szsWrOf48x8 +Ccsu32aZ2buYU8w8wTJzU7OZ+Q1g5qkpZt4eOZm5KmMAYmZ394cyzNzLHMqz +IcMMMqLAzCtJHOMyKcMsGObWiC4jzzAkMjMrNOHM7L3Msl3IjEzOzOjuz49M +5mQuwsw7v6KLTDgzuxCzY+Y5jJnfbAVmLtD3NDfue7LMLPQ9GWaGE2mfXrsQ +9T39CU4+Usyc15XacmaOikz0ODXMjEsA5RAz0mXoKIbZSuiL6iVb+TXzMUg0 +p+tVbZbZbilOgizzaVEkg3YD5qGzWzXzopOxCJ15rplHM8YhfKbr5o6kO5Dj +s5RvzhPSBZdzOxGf9x24WXNWzK/2nucjF2WzTi7j/hEFZwqVs44+ofxM73cC +KPexoPw0bCIQKFtRXFk46Svjk75MG+M+zfqHDj8MyiiAMU4N4/vYbV+g5ZBW +5ga50FPyrUzL+/zgTXJXpbqx81fMcXI53PuV9IRXGFx8zVzH3xNLRilabl1i +xtV/cPUXVf9V6rJKnX17Yv4kOvu24/+DmJj92mQ53LDkE/POQMwDk8Qcxn/L +iXlCgph5f9V9OcSMU3h4XZJ2MGti1mmM7aG1ZDtIY/DWkgGLYpmo1FoSiPkI +cdTTMY+0+4IdQ69DDvdpDEfMNI2hnwqP80K59ZBQLtxrUwdzaC65GVYYrrnk +Ppi341H8bQI84T0PRVLOkIGJGUvlakHMpsWkCDGj+r8kMXe/TdFyMGQEYh7v +20sIMVdKZBQj5ikpYt7hHd81pVN1T/WViTlc/X0OAeZwQnI7nI44Yh6LilWv +QTIifh5CFPdIbx/tIKL67Bxbhq7PPiMZYFYjVecy/o8ZoYVU9pf9QwwwE8Gc +J2YkGGIKeyeYG+aczFxhT+RyzSfmaGTmEvM8gZjpyKwtMT+QIOY7qiBm9DDn +ifnixL3HOSTIFhPzqa1OzN6UYYgZn/25APMgdESNq7N3IKd/R5JN82Zk02wT +zGHT7CpNTmfJDI7MF3jbXDqdcQ3oM/gp4M3QzMoTGnexjfM4tnF22MxPAoti +M+8OrFSBsk+My20NGtcdPXr06I/VLyxSP4NOOeWUsvnldaYBRY9R//Nz9fO/ +1c9z6nf9pfrJ6iqRdv06Ta/0edutozOTa7a6jHoeagZdht9FlzRj217stmEP +TZUZ1jBXxrkNyDe3iXfRJrDhPXPlwNbGynyPLv1TaJ11CnBtAPtrFHOOK00M +XO/1nfoDqAmfdSGA7eD6KgXWeVln2EerP4aa/oqaL+HFgHHeObOEXUe+B38a +pcBb4bV2arQcsHnflbhXKQLYV/xAtBqSinQEqwmMDP4Jr5J4JF4IsFesQcB+ +EQD7uQRgP5EGbF8NCMbmOdTYHL4UN6IvxTX0S+HX0fClmH8hA2y54yoUnPB3 +yOM9YNO83hGCPykddx4uqDSsmb8PjTsrwD4aRTiO8bWA66tJv57XzznAPj8y +Nuu4cxdfchJdBkKD62MwhZ+GycsB+3X1Mx2WEK0C2G8CYEv2ZqPV+CnRavzz +CQXYvy0C2PcrwH4wDdgXVgXYMxVgz8CAvYUVKUmAze3Ncb+2MzgHj/79ANj3 +wuYDA7bYsT0E+fFTgD1cbl1NAfY5J1K1hpuibi0dAfZZbHzCjoLvJ/K7oqiN +6FAH2cm6QBibHrC/rADYH5f0zMxWmK109XwtHwiuGb6m7YDF+ZqXqebwNZZ2 +VuDr3uxQJIiIWpuv9yV87VYWw3P5GtvotvdOfCssCjq6kHzeyCefjwO9hlHS +wWqDM/YfEpoNZ3Tm14JXwYNhOgUdUh20GyVw9jgh2ZGvrAuMPana9TRTcAyx +fE0Au40h4rY7KWL+b/ULQ9X/PE8B9ir1j7upn5L5l+tPVr+k/8Gh6n9up365 +i/p5RP18rX7WO/HEE8tJ1rZ1KR0Ua7/Ud74dtNyzsQN4Nnp5K11ms9FtYJP9 +PspHu1bttgG1YQPymM1Il8whYTun28iLfWSWtutgmw22ut2+NMCddfayOszc +EJeOF9qBuUt6o51t4fu38Xlhpdh03olh1CxYrJN7NWL3sYWxe2UF7P72R4Xd ++kPSV/iIpLF7cgXsnpTAbsH8L2I3XtCEFEiwPtMUiN1pu3OZi6jBzj9nUuN/ +3Cs4GiWnpY+GTU5zGUduScpiOTl9OE9Oqw+C7Y7dDN4mQ6/gyQ0uOd3VJ6fP +j6zPkJyG98U87H4KLTxi83NtsfsLhd1fztqg6SsJu6cK2P0SYPfz3Q12/4fB +7mFNHxnsRsnp7rcT7G6shN04CfJiddjNewa3bYB27mCze17A7qci7P5EO0Zt +ccou/NoQJaiZBToY7VaSBPUVPEGdkHNEt9ujWBpE6BtMpUFG56RBuNNI7puC +jQW2QF+dONLmLd23fVUBu4WeKTE8Z3V2Q9TI3HtCiruX/htx940yd8+vhrt/ +Hz0Jtj53Czo7wt1xgpq2dHs5h02C/MrJoJHZLl5vaw3Sb7ygYz2z9HCHhy4R +wo8PY/zuIso6eKj6BjEZEgerQ8lKnA55ENUUVlp15yO4fJToEHzvQdFqG8h7 +ifonFwNe6//3vPrn96p/vo5F6vpRo0b9S/3SQfp3MZjdVv/b6y1ie7h+sd8C +1B8o7q4tVOvN9fsK8fy1Yd8PgKjLmKizaHvt7RzlgNQ7fZrx08OA1T0BqfWc +/gJ0dkJpiiiCltV2Y/fGervvQQrN7xFXpsC6pAd+tmnhvIgUsT5Pau6moRHz +1Gk+CQ6uT2s1uPZfiEtWwU36yrgr4P8puI6/FBaucXG3BNe4IyCnhVCC63m6 +UkXD9fUErvsQuLYNtL3RF4I30O7ITXeLwteB1qnIEeshYsSa7rNJYGQxlpoO +SpvulmwNo96Z7jYIEeuG0CrrI9ZLccTajmsH139BcO1bCBvt5uNxBNfPwt2K +sd2hkJ0E10taCtczMVz/hML1awyuXwxF3hqu/2bg+lIBrl3E2ik8MFzb8u4I +rp+vCq6nbyrDNVdFG+tdb8F6pwa9q4p9GJ0n3r8LPU/0UevB4TzxJmTmp1Fr +qvMwcH0IlSQ1y3x3cnVRazdC88ZnpWbCQ/GFynXMF43OE93YjOCaj03eShjB +9Xstg+tXdYl3a8H1pCrhGglCk3A9FuD6+hbA9R8QXNPy7taD64MArvdPwLXU +SujGa2S+y7IdvdHDHrRsAxE9HLfu7ievtXqg/MjSrhFgu8g1vlb0GRI1lXmG +ZAzJkdCrRZ4j4fFrBNklvS9RfCWVGYYq8A45jE3FHylRHmdsYOt6D8eerXVk +5HeIrcePHj36SfVrNhrSdlv1D/dX/3LPE0888SX1jz9RP51/97vfpbfZbTxw +v9B/gdteK4rTUo82KCTynsthZyYk4gsIISESrhXLoPYwP+rXHh30sadsSPMR +yoZkX6DsspdGqx/1a4qz1a95yt7tK/VrirNLeoZn9QSztfyfYPae31rjRxlL +pNW//7oh32ddSCzbUrZQWSjEsi8bZtIjw1dlm8imvMOFbUvKlgeepwi16fal +NVF7ZDWoff239h3Ut7LkoDZ8N9Ze1KbnOTFqv5RAbdQtMIvXcUnthfabMXAO +bmKBbDY0F/aHbLZFbUEqvcCe5fjoiFE+heZavogZyM5x4uZC1sKyaGSh5kIT +HVmyB7GgOlMezmb75sIlOJu9kbmtOd5ER2xI0JV9nwNDXQcCXTb7zxD+C9eM +nZEBpDJqv4JQ+w31MwPOv51YujaovQGg9oYUtadsYqx537/606YfXmYF4Aa1 +t1CofUgB1H5AaGN5UmH2BMXYBrUXKtRe0FLUFqx5LzDB9KQ+8VXjY8gE8tAu +4arxPn7VOJheNUYZ7X3DQUyq0bCqCAmaqG5p4aZphNpnxo2GlVA7/yAcCq2E +q0ZTAiuhts9o4w3FZxVQ+4McwTRG7caqULvvVHlkNg+1n2kmavPEXSXUvmYt +RG23tRhGUNsJlYYS1N7LH4kXQW3IaGfZrqyhhaM232Xb60YunMa4fSaTThPc +RkI9t8++PCe2zXfaebGSQnttL6buUNLQna2X9IZMhNN2uRQxVHXtlVxjP6T+ +yfMImN0a26J2Gx0ceU/90j3qR/+umynkzklotwXOrm96buDCcONopXnvZnX+ +zHGiDYZUAmzQgVjAztqrKWz9pabtO+2axog9jiB2HV9mG8pWvys6egRNSB3V +hGjIvslANrp9VL/r9Qqy28VZkX2jbnD1+6qhr37fAjeQmXXtdSQx7Qu5ljqG +7ZL+Lqg/RQHWztZe2HZfjb8y2P4CwfZnMWwj3+oBkW91dcD2jAqw/XIF2HZX +PU80iXfwHLZ97SFucME14dfLFz1OS038qmGnHQIjKKedrDzExV3HoMDI4Qa2 +94ty2kJgZEnQhkg57VA1YAMjTrV3NNSEey01qgnXsO0OIS8C2B5jniI7owYX +fgjZyR5CNnZMqEMsbE/2sF3f6rCta8O5otoERzhsP6dg+0kM2zan/a5Wh3S/ +Ixe2lzQXtmdWDdtcIeJge4IE26wGEcP2nTCsSV577+8IbF8NaT0zfQXYFqsQ +uUaEq/eq0IicnBMcqaTeGxndkgvtsRi20ZPg8Fs4bMOe4u7PqUKEwzazLhlT +KYftp4vCttXuadjuP2VBdbAtPAXKsP10DmwXOW8JsO3vx/1zYNhRWNgeE5+4 +VAnbA1sJtg+sArbxLgNrqoNGZAcdGkHFLkJw5GfMWB3CI6N8druraOJzwM33 +285g7dpeLkXAnQqRXF8gSCJB9z1quouLbjiBfxhkq3KdYn6m21H3noN+lqBt +HdfWx44aqy9R/9PFtRFtv69TIqNGjdI/bfS/N03bdZ62nx20WG8vDGWjG8ey +mqzvuxvHDHtEHicekTZMUG0AW/1r43f+VP3+Or4HgG2PHbO23idyP/aJ7Pql +hex6vMf2iRFUhGgA25qrO2HCVoP8u0jGd4Ma6KTtZcgP6i9DjXf1l4bi2OrX +NGRvLFeKH8wOddA+221bLjqiMGZnq5uzU76p5nO2u6CnvQbug3EIZEj+vTlb ++mCwevG5ac7uNy9WWoceAzmYHX8ocN0X1/M5zparXzxnow/D/vDgKWZHokLc +REtig1Na42D2hmbCU6W1fa30weyluP7FTmnXlMg52wSzGzv6e0iZs+v9BQzn +7EXA2cuKcPbb1XA23EW+tnEFztb5kcsEzubCkQqc/WxBzp5NOPvNApz9AuZs +EI9InB2aEz+Du0jXX2s7uW7HAe29JMU1Kt0SAtqkPZFfvoi6vn9U3Z7oONuN +0SK6vsM5Z1/BOfvvZHQeekMxzh7GOTtXPAJjU+LsiY20CoZz9suLiN66MGe/ +qTj7zZZwdngHrIaz+zvOnpfibOxpopy9Q5Wc7TrGVz9n7844myquR0JA+/Al +vbJsO+8fOcL7R/B9pKsf74FuJDdQP+uhxXbIkWDWlrIkWOF3AWNtt+DGeZKr +gLWvpZkS3S2ifhs3yOTxNlpylzRwK3Di55NCtkT9qdRnQcFkqsF8jxizkXbk +I/ULCxVPDzzppJPaBLzWv5/7eSkfr9fxeD1J4bUzWcNZo2HrD7J1nMW66YkB +7ucjd9aYmZtGlwtBvS+QC2lrXhMf3AWViWOndRvvtEYhbPVvvVtbRe4yW2vE +1BmC6npQilBnnwdrfeqol9dlxNXfq3//Nfv8oH4NnTqqX9NkvW506njF0ET5 +uMzWJT311R8aZQfVH1qBdVbHVX4/CrImbiq5XLf5ZP1Rq5K1oeopvAGBk7Vr +QJgqk/UMpvIrRNasWNFQ9Z3q53ZUrHgzkHW6THdHRNZ5/eMhle1KFU8npYop +snafg30W81LFnFQ2KlUc4e/hB6DIoCNrK77We5RjvPh6QzPPnfj6dLMrsTsS +XBYTTh47+7KYuIM8JuunoVkAnzw6sn6zuWS9sABZRyWLjKxfySfrd7H8uiqy +nlQLsp7WTLLWXwB7+ggPlDvb4a7Jehyc05jG28FxecxNUG9L09mrKqezDwv1 +AhcckUhnS2SNRmqRskWRrM9j6WxH1mR8/oBE2LyfNk3WcSe5JetDMFnjsVmA +rG1vQKMfm2uarAe5Y5ZmkfVtQsquCFmznq0F5wny67OjHvLVQ9aCBHtJ3ElO +yRpHRnpn2V7ePHJExS12d3YCabsXXWwE9y/mbbIJXSMbibTN5j0zjLBFaXY+ +YaejJIDYJc3YWcccGSCtbRwck3Xafx0yHxN3WoJbw0usDrENRDpcbvpjE+qw +eNwux/lhdxMQnVa/Lbsacc3HWcnune/R+g9PxnVs4/y1jXSUvW5PF4uXNB2r +vyrstIbFM6j3NiCL50IukArLZx2nLumprf4Ti9Xj9HYRKLmdLc2N4n/22gYs +UloOcrKRgxQNVXdpCSWrP4biZPWpjTt1K1PyoRUo2d8w/ltRMrpdFB8shfpx +Qsm3Ikoem6DkVM/BBX7MB2NrcPH5EY9cfL8m4x27+GC0L+aya57xiAPVw4VA +Na4eN5sQVD1+jKke39jYV0eBFepUXg8Dr4eXACX/GaJ5jpJp/TgWg+RT8hRE +yTMFSm4QKbmDpeRFNaTkl5H4+vkeTf94SlHy74Y1fWgo2VUq3p4QXkuU/LSm +5AQiT04jcg9A5M0UIm++SFuvNWlP5ZT8y3xKfgoo+XEkCDE3jDvTG8a8YPWN +ewVKDvLrVbny69xK8kh+LVOytIM+JSfrUcnNlwxWRzeMuDNAOP1WlDxcqliU +xub4lCBEjcwnV5gbxrhda22m5MTJN66ImfuXGlCyVBGTT8m7RGOUn4C3hJL3 +zaHkvGC1k/O5yph0sjpYQoKk71iTrDaYnGWDQ96DBawlUnbOvnMRKbvcx8Vs +F30F2UVXpuVw59gpIuaYljvGtLwcC0VCBiTv2HF3i8kFOTmkNZ7epUGPwhIp +d4G1sQ9l2Mhz2USeHw6JjJ0+1WvjncWGFx17/tzujsthd4xM1Lt9pf6Fu8C8 +pHPP9rywrU0+azueMeR94zDZEHJJA3JWj9fHxOYBiFzSfKz+8IiQ1R9eL5HL +Qgq6i63C5Sloye5xKN1w6E1ySTNy1ik2fLQGJXdr2S6Zu6CuWFnSc16D85UF +IflmBcmoHYa8NnJIFgt113ZIptfqg8jVYXFIHpALydcySNbVBviV8UICyS4I +3Qu9MMbmvJMT5jwUhF58uBdW768g+YC8IDTpT8w35x0ZdY5vbITVx4O76TTU +CKPH7nkAyZeqnytgrPqrQ9I73hH1jtvmLXd1WASSdels6B6XILl960HyS919 +D3k+JNsgtCStXuK7xytB8tSikBwIOZirNTgHSLaij0le9KHjesGPahpiECSL +nYq7/9XMbwfJN8OsTgmseVDjMhyITl0fuuFKTHqrqg5Eu0naLJNeMuP2bRBY +4zuSqIrWXh+GTkV7tB0g+eMIkg+IIPkdgOQVawSSBzYbku+nkDw3Bck3rSWQ +fGwrQfJgD8kjoFPxUBKI5iY91xJjd8lHNcS75GPQLvkYOEE8FmzWLqlxUugn +1+EPDMousHE2AmUSkE6slSURyFX+OrELhWWI4wVY7iTCck5gWu9f1W878fVy +VG+OgXn3QZtXAclW1NFeQfJTv15KGsI/zDPbPRLuAU224lPFqyFbIVUgflHS +V4F+efyVIkKsjfaXgW2iOkTFx5mJWZTMFrmMq1uyUhBJ1xPfHaQskI3jh5Jh +ZCnE3CWScgh75KZLR4h+6cymLdoTU5MUZIZBXtKTXP1luKVHsyC5BYGLiwRI +ZhUvrU3JByFK5uO+JpQ8tRpKBsd0VZQM4362GvezZUoeMBfV5r5F7176zcsr +KIjHfDgXpCOeKvC4X/oEoGQaY7aVLocnOhOts+ngKlrGj8B+aTOmcYx5o6ZR +ptbFlgtgv/Qf4RDlIpitY3xvop2bmJLvhgXCA43OjtQRuTk6gJsjnF+3BiV/ +5im5Qut4MykZa/AqUHI6cFElKPe0reMGlH/OKl56UR3e0wlKfnjncDZ4Pzob +vBuuUNzZ4C25cWakxEtRMg5dSHFmiZJHR3LRiqGLwpTsuxTzKBnVvHCTaAFK +Dq7pSpT8bmVK9q3jtaXkgYqSB1ak5FhpFFPyvQUo+UbQGsHonIfaxnMoeXuB +knckI7QSJR8v1M8WoWQ9Vg/OoeS9C1FyGLcmdJFlPxdAWZdq/RwOtnGkmZ4P +HgMb5d8gWJZWyjzafLYQwKC6PErLipQVqVyufttNWC0XoeVkGKOkcTlrVzGL +8dByDdAKl7O2JPKsYXm3nTYvHrjo4Bn5iV2XhcUxzlWY246PfSv4JwrlWLai +pNlY/fIDUvI42h7vas0ZpCvc8nEdMUH7pEU9Tlp4W10g5e8qgvI1IW3BQXmM +B+UyTiVnVhT9E59KFmXRQujCXPxxWD6WtozDPM9WJy3zy5ULW4+WSc6OjP00 +LR8Y0XJc79VsWp5WLS1Ly5E8Wn7E0DI5+psd5BqWlnnLuK0VEGmZXIi7cQ/7 +ZDXut2MWOxJNXnQ6aRj/tdgwfnSCltMWu7hhfBcxmuzquSwtO2G0jiaHNpZT +gJadMNpGk62SlJYgSnINSsuPqp8nErT8GtDy61AtOyuHlpcXpOVPRVregNKy +L0TMp+W/Glq+PESTVxctz1O0PF+kZdPMYuTRug8XOrqQ0W5ijtHu4Z1iWr6X +0TI+/vMCaUTLV3FaPgitKoSI8oUVBdIVmscrRJRFq52wcPC0XLGdRfDuV6Dl +QyRa9pKN930rYiFafo7T8uIa0zLcSs94XqDlp2pKy/1wkdW8sGjYEUx2O0Jm +jdPydoSWzxabxgcuPA3R8ujIUdQ6tLyXQMuuFZG2s4x0tLwtKUcM+YtfmBpa +t1q2kxhnMDYxGYzmEPOZjJhT+2Wg5pJGZsUzbMVc0tScdUxGMm4QIhmKmUsa +mhV2V84wd4zbzIVExq7VsHKdZ+XHd1um+dhnK8LaGNkuUr7mz03muGQCx2X/ +8ubP8crAw4P/hk1y9CavjG/y1L+gODhro93NPlihdaEhe7yuSbgx8UXT1awy +hWSQUQ55zIGrSoaJ66P1sdliBCouaSTOujRdVAGKz1sDUMwPTtz+gx+dSDuQ +wlD8TQKKcazuiwiKDy4Exc5X+m4rQPHsQlAcpnsxKB44G3lKDRTfh6A43Qze +T6oonD9GmOoOiv+EoDh0bKWTyAGK9+BJ5EU0iUxDFgeSkMUhRvcft4Lb7lra +Cm6vRraCV74eZmGh7/WOV+P3JNSichZuUQFpKKkobKQVhXlQPCEBxVMZFM9F +ULwYoLhR/c/ly+sVFLezUNxQAyieClBsGlU2SUDxcAbF3DjXClA8F0HxAgLF +UwCKcaPKi1jz3FtB8Y4Uip+IoBhaVQgUx5WFt8DtCL7b86pndrcXJZL57cdR +NGxB7XO0Ldzf7QEUk0Syg2KXSHb7BTVGR50rJ5IJFPO7vSt5q8p3MhTfkgfF +nwUoRnd7zYViPDZ/rcbmLh6KF9UAil+uMRTflYTifkkoHgNQfFkBKP49gmKq +d7ZQfEp09twcKN6/CigOUk9sxKCJ5MOCfc5BsY64He6tGO52LwST4zVydwTG +GxATXchdABg3BEsGrjTEpoxzhHUyGDNKmowVzzArdEnTcba+EMEQ8srJpTJx +1ulUrvptIGTsrEv1kP96p2piFu09Fj+6e6M5w3DyN3qGZ4HY7orLsCUwP5lb +FLv6bXKKp2PGd4ckReYky+4g7w6Dxn5Z3BbaA8PCGJbFJnrstcsWjxEi13s8 +xoh8jUJjQGSdP16pKFsgZJ1D1oysBrP68ydCyKWWAvIfWxGQ8eMgvzU5L6Fm +jgA5PBSSCY/idKE7qxpADrcnLlLnAdnfnrxnHKP7Pt4agDxHBuQ3FSC/mQJk +PulrDciyVzR0n1ysfi4kgLx9VEIb1h2DCCAX0DFDCnk/EpnL1zHzFPJIn0J2 +gExTyFbHbLtPTkLdJ2dB98l5UGQVagbtyxsH5Dth6OEOb6pk7gCquPa2/yQC +5HVM1WAEyMsVIDcqQF6mAHkpAPLilgPyd5ORmtmILbqD2GI1AvLrBpDn1AiQ +J+2I1cwfgNhCAuQgtrhnd3SyBxP9FuiBvXGv76IuFJJGRoBsNhSs3FVKIycB +uRnVg9XomSUvEB2f38VWe3Kyp8bmbV9Eba2S2OJASc3MKgcrAfKuAMg7r3ZA +fpyY7GVAxobNfEDuC4DcRwJkMz6lR7fVD8j8CpoD8iEIkKnYAgOy20n0s4D8 +c+e3YIDsLvdwKFnSx20cBZMxJI82iTiqkovTyfmgfMHSdXX2VFFye9IHzs/6 +ECqXNCtnP/V1Ktcl7M4VIhi5PgxHyrtURcghiPzI4OVYibzTp4rZ2AGetSLX +2WH468+dn8Lui+u4ws0e4tUHMbJQqW3ZeLBuIfG1f5aPy/4mD/eR0N1x2ewi +AIwze5nXFicqUOW2gmSFx+rPM4ZqLAwfK3YmN3oWkXUt4DCNzZfIwYqSiSF3 +rJaSaw3JeLKfs5ogeeyPEZJntgCS5Wk/cDazvs0Oh9kD5gpd3G+xzhJcEIhb +rlBnibk1YZAcu5TjEHKqh3ufRakQ8oGx9HMJjVUcxkLI5FRvSexSPk5B8gmu +swQVBPrOEhib+FQPQ3IoCLTbAAfJ1GdBIXkyQLLzKTtIfhtB8lIGydr69l6V +kPzVDOtVrgjJLwMkv6AgeYKD5Ct8CDlA8r21hOS5Pd40kDxbgOQ3CkIy9lpM +jCAZ2d92oid7vDDw9j3Qyd6e39KTvX3SYeTLU2FkZA/ykEwMcEI/d8EwModk +ya2c32GixqcURk72rH4ZlEC6+gmPTjU2D8b2N8GrHEHyhB8JJM8uAsn4yrla +SL5QfITDe4a1BpL1Y53otdhZgOS+WbYlgmSut3CXe3iTHExwtk1KDiWPYld8 +oxEon5bYKKtprohEobLioJytMkQuumBYLpm0cjuJl/lqWZc8q992Mx+HWIdR +7MIPr5Z3bl64YvzgFXrYmdM7sy22RFz2Rh+XKsYXd20hTaznYagJuQNKr0sm +QFFWEPw3OLv72p7dlfWGuOTXw+p3QfI224Gtfgst2Kaer+T9FNZxXB8QmGvc +7KAtaQi2AFwXVG4WgkuagC0AlzEAQ2VfF78jJn0irbUnbheVvJqZTX1E6g+h +Jrf6NlaydxZB4MP4oqMoApMuqhojsDN5Pre6EBgp3fwsx7aKhwGBUbJ4NrwG +zkHJ4rk2WTwAdWQHBNYz/CoUj3PSY1bbtwDX9oVY3ICoTiQ+sd5TIfBeWHq8 +qFiyeBirEwnzuH8sPTZie5cs3gx0mxsah9AJsHVwtX2/BwQ+HxDYJYtdbd8N +jVJHdkexI9vZKjgCT0sg8EIBgd9ZqxDYKt0auz/UHGNFlu2bi8AzKiAwqfAD +BH6WI7D68fd4pkgqB4HBQkRq/BgCX4sReF+aML4cJ4z5TceRxfRuOEyhpmrJ +SOWXGQomBAzTNEXAOGB8BL/R4BM0QcD2NgOXn35FpZg5BDw0IuAPIgImF8ym +WURPTUzADWuegGc/AhfMxQpPB8y9hXl+akfAUU22QMDUe9wSAj4wn4AXcwKm +DmQaMO6DFhD0Hi8EKVzCGO+JeZhiQ+jRpgh8AhIjn7w0vS9WGFwyDNwtCh1H +FKwfBZkJLmdtXNIcrBApXO5pCtYsXBaKSup4UUlJY7BO6QYI1hle82s77bTF +KEe4HavcEj+4xztOV2xL8nAH9X2hg9oGiHdjAWJtn4C8BIiLrZmtjpknTJbY +eIz39Jd11kChfmsL9EyeOLO74TrYDX/nghO898Ovh0P5h7VpEnsbwPGV6OzO +e44PNGd3B63K1skLGoPzeL3gqkDj+iIOyS1ZFXeJPURusaHHuoLlU3/XPFAW +XwPzQNkVSQkizxiUeXSOgrJ7GTTxuRaC8h41AeU3AZSnFQPlmRNNQ0hFUMax +OQbKVOCJTvD8qOeg7F4Dz2ftIGcL7SD0diTXe6zTxouKe49xOwhNG/dFaWPX +DuLSxptCu2o3oR0k9O7p2XlxApRvLgzK7ZHWrb1tCGGgPFuB8VtrFJStA1mn +jlcBKH+QAOVG5D5e1v1hBcqPVgfKTQyUZ1BQ7qFAGbqup7kOvq2XVAXKTxFQ +Br1bM0AZn+JdAyuNXFAGD7KJsiU8yDhUIYIypI7dvlgiZXLV/CfBgyxdMwuk +rMfnYVd/h8YnO9hgo7MlpEybQtZmUn4USHl8M0nZ7RicNj4mZfzUVpSU/b6h +1Un5gGaSsuRBxqSsx/B2WdbD74v1/Ufo5HO2N3ySF4D5WLIzXp8Bs90Zn5zY +G//W7IrVXM/aRHKLc0yoQnFzVi+TcxBd6LO9ddHZHoBzSX8Z1C8z5UVJJ++y +dUlA+UZQ5qPrPQgnd462xwDL1XSEaE5+YM93Y99EPfZN2PM6p+2xx8glL5wQ +d8O3wm74a3Nl97WLEGduS2zwGJ3aWU2b3hUHlzGJTgAfq3+GpcZmG5EFG4Xf +HduevEhJ4dm4jNk4Mxtk9WvuBa8SH+MlsmfjGvHxaTEf42WyzMf9KqoqZD6O +zUR2wNOzEs7HfMi3Eh+r/2yKkNXHv+V8PB34+PVifDyzIB/PKcLH1t3JT0rC +gBfa8xa6XpA/Rr0g/aLb6jhsPJhUPtlBvi8LGx+YozwetgRf4+2UvMY7CvWC +2FjbRmbiml4QmKpnIEXFuTAh6TUe9FI3OkVFZ9RLbbNilI+t6f1ZxsdTgI9D +N0iaj1e0Oh/bq7yVL/40h49DN0gj6waxfPx4lepjDMezCBxPBzh+HcMxKggh +VrcdEByb5qgPiKfiUUgcjxc8Fe7zwD0VviTESYUkOJZP8rLsr7l8HMvdoFFP +LijNDx0j609eT8jIVOhY5GMYn/yguSIff4L4+KOIj6OOkIiPXQRtaZqP1djs +O6WlfPxCM/gY7jTm3Ef4eEBFPr42Lh9FfBze4dJ83Efi40W15+MDQX9cOz7u +h/iYd4WEszwLx1ujzhAXqMC9IfFG+TdQYj0KAfJJwlYZIFl9mjUi17GAhQJe +RcmacsJ2Wf2uipKzdXzHiFZ6hpyF4eTM+i3KGJQze8fXTVQpu8BFNcnkQdXg +cdngcTuFx+P2ehdO6+pcw53j4VDi4dufIUZ2h4Hh2zUXoxWxA2FfdWc2xHox +/G3Zy9dMUKKk52SZhSTiXbC9pFvJwxKWeG1aohyExUMN6B5sQBeWwepHR4eH +62wwjw0beTEzz6NlsO3Ba+sncHOiw3ZxUdLAq6PDYTar31UHiOvMfEa4a2m3 +c/W0axvxSnpWZ1uxaZ0Du+iOesRffqywO2U1wS6tiu4rvvs59cTFAuyeo0D3 +D5Gcnm8weNJtDw673sV2KAoNH2zMxTHs7qFgd3c1gZ1SU7qsc+Zi3u+xkQkN +m34PmIy/hRK8cwB2L0zAbris62z+zh3D7vjGjuiyLg27r+fA7hKA3eU1hd2f +FITdzZsJu0+qnwk1hV0dm5gSd32AlG25gl3knxCkbI/687pP4LwOhPVwPnL3 +bvS87lY4lMYa4+tYI56e0wZ2kcbYw+5ntFwJh4dFN1tcH92cCzsOu8kLu8tW +slI8ND6vlXcFPnRWCHaZxdiNzlzYbQTYXVYcdqe2FHafrRJ2xxHYHVAYdq+m +HaJkV5CG3V4Mdm1NUpDAO3txS2H3gGbC7vAk7OK+j7AQxipjfGJnbUCuIA9v +g52LAp/aBeA91gCvFKNYr6SJVxNhYF71ZdbEW8ZpClgO1/HlcMlsh8s4VaEL +g82voXxxFtQVpDrE+pDr5Ju8siFfWA6rP4LiXkXVkr1iAKQmqtkEa9S9b+/3 +w9pXQ61OQ7TBhmGXhcjC0rfsCZfEgG3+AQSVN7kFryZcSASb4Wi3vNdr6r1e +s65vrWuDFryhvc6seM11XEmzrl3vtsPr3VD1TGs6YMXbNXjWpEM5vOKVqddA +b0lP4pIexeqvqFhYuB2HXhqEINS7syzRlHJuRbA3+YrXUu79jHKvbzRVw/uh +D0ij6ZrjXmjtaDH3ohbTOcgoEV18aO7lvXZjwrGc77U7vwL3cgfxyWxQh2M5 +nxQWuTeRFF5c6VguJIV5Y4d2ELtjuZOXhqTw2RAmOw/+th4fy/ny50Za/nwP +Ln9u7Ojl65h7rXItn3tdAbTm3mVrjHvdkneM4t7bmt7pfgcpgF7d3Os67l5r +BvcaYz3hXqqVKMq91/KLuQPEi7ks+5Ymho8o5iR2domi3IvHae7RnAtC8BE6 +ZqU/uCjMvaj46BBjlogPLWIf8Y+Xe6M74zn4zrgl3HspeiML98Y7mHvjfx/u +Bf2aD0Ic7qXEv0IpCBwb5kkIfj3n0hBONdFN/axHnGxu4atjxH7pi/xsYemr +EDjr4CPF7LoOVBRdhe1vW5+SYJd2uSBcxhCcWQpuh8pBunjJsYtJVEe+Ycl7 +z97vZ16TFkrnshBwsOtcV8lsNcKKUpEGIj/j4BFY/ZtsxsH+3GB+/q7+V2Ao +WEcc2tpauiE/IIGa4eCyN6iZCLD6HYlCLTZFaBC+Ymg4lrvcgbC7lvM9HCPM +tdyh+rrOT2Frh7fddW1+XCCsrfJHVAvC0ST/ukoQ/vRHAMLCRJ85CUB4AgLh +xxAIP5QDwrenQfhtPslRlG0+skb4JPA5ieq60xInc+HMOeUdrnQyN3wxTwIP +UtN3AEoCYxDeSijjWN9MzpMhOxZX16VAuFNFEH4SQHgSAeF2IgjPaWUQ/psC +4a9fd2XPBUD4TAvC7yAQXm5A+L7agXD3loMw8av1wX61ICB+FBycPA58L1dH +QNszv5sLzRw/AAiv9HHgK2gcWC+AnYf4Yul0rgIIn43KORwM82tkEyArUs7B +PcR8hLrAmBmff49u50QQvo2B8N2fURC+vxgI71MFCO/UqiA8UQThgRVBmO4Q +BrxVBISvEED4AkG8QzuNKAifFu0U6AWyfU+jIHykGavUWDmCgfDQKtLAgz0I +B8UatkeERLAG4ZGiPkJaAuMbui3IHd3RLPlgYTjEg1H6oaSJWJHVCTIPl8xG +uM6HhSEwLMchupo4hHVOrOOv7aKLO7MPVjysfp+cizvIQ7RPVEuHLET/anIO +9R6B7xrygblsQFtePdZCiqHsG5ZL7LztZlOzrAhXSDHo/K4rkbvOmR/KPtRQ +9m1ypkwOdrv6rq1sRZT2R/+HD4kGtN/V+QZmgFhjTMtPMUSmbWoZ00YvcgWY +FukgjNbnpq99ireVmdZE0fasyYVbJaZ9EYYzxNAM0z4NTKsG80ys9EGDec64 +Akwb0rtppmUy+IXWdYmHcV+kgcCq4F2iDtF8VbC7bjtIZNo9FdPGXRojc7o0 +jvLpXcq0p6D07u9hZp0PBZ7hus2+SOm/B78JxDbuui3FtE8D0z5nmLZd6NMA +pp2OmHbe6mTaKQLTvgRXbk/XhGmzbEQxrO2usLZ7EaxdksTa5zjWwo5XX7ml +sNYHeXf9Mg7y7sGCvAhrnbvSBXmxOhhfuV0spXg50+orN2ZFSzAtjNQHbEqs +CqZ1YzT0da6iTMsL5woz7ectYtohamxiI1oe0w6CsVlbpp0ETDuhSqaNm+yD +ab15TMtNOvxI2HggFp2OmPbknJI5zbTH+M7OwLQj1Wg9DDEtvm4bWsmGVtLz +Nvu5twYPj9qZd/L1nodF+93e7NCN7neP9MVzbr9Lw7w037CJzzgci5TCbs+r +0Fb9n4qC26ye7HtPikO+hm9LGm8VHrlruCj4UNKAq1BIv/lB8iFLRx/Wk07j +iriIzfG0Rtt+O23ZnOjuHft8aNvf1H9uZm3gS1uLtHXI2BA6kU3ThdvY6rwC +0jbcoKbg9fYns9vbOrK9ReFd14JxtQky6DhDnbedwY/B37KPNhjpWaR2UMPV +1iq3pW6H4Hco+aYM9W++ONyv6djJSF1A58INiYBDMxC4jiCwV/eUa0vAYiZN +IuDv1w4CnigRcMPaS8BiV2iKgOOK5V64TW6hzqOdw+7XzvT3a/zoQo9svIKQ +CLhYpJcTcE6kNyrL2Aja5NY3s9Hdr7k2uT/BuxUn4FCxbK8Ngt+hU/A7qJ/H +BQJ+UVHvKwIBz1pTBDx5E9ss92qtCXiiIuBnsux/VkXAsxQBz8QEjOuWPQFT +J3AxAgbPQ+qUrSABXwevc7kEPCxBwEeycnu4Y5MIuMgd22gW7eV6dZGAw3GE +TMDE85BW5AxjOnWJgA9KEjAY0SbExRlFCLhfqxLwEy0g4JtzGuopAfeWCBge +xmpJwO6hrEYEnNUWgfM2u1ux7e7P2Ha3O8HgY/yG10Ue1ld/rQqGFZKg2K8+ +n1e/rUM4bMQQJb3v1edHcPYGKQibBK7zQAxQzJjYByFymLgzEa05Js7j4b47 +ywxcp/9xe7bMvW3fj1xUAbdVmO1tto4rc7O0qyfanp540yIG42EwOYXQ8Aa7 +XM2+1+g7B5tXyPC92tX+Xs0ueW2CYVXZp3jLHnXLtgDOA25dAFwLuSVNuPZe +rc72EYUEr5H91gZw21HNDj4lDmM4azHhsosLMppTj27+8sKN6GoI98u43wgn +0QjhwgWG0/G4UV0V4S5ZCwkXF3+6BNpNFQgXLSgU4e4gEK5cB3dqdLSWarqw +hCuHdw/yoxcRLgrvHorCuyNZ04UmXLs5CHVwx6mRqAl3NBDub5fyvmQ7jHBf +Midc2dDQMTKYSYT7hkC4CwoRbidFuJ0V4XZRhLtuMcJ9EwjXmBoEwn2lEuGm +KuEqEO5zVRLuW4pw35YJF5vMXOsFJ1xdDdeHEu7jFQj3XkS4PsdLCFe6X1tp +4mZXoByvv18bniDcoxjhtrD5otp6uIhwx+QQ7g049vU3Krm548vChHtgknDf +KU64JsRLx2bfWhKuH5u1Itzr47p5MfaF734p4fZmiwJHuPy5LEW4cXjXiiFb +Trg/aSbhYlsDd/9CkHfJturPoRg368aWvZsnKFda+BLSdZmGktn8ln3OlwJv +yDaM1vR6sqFXBLyZXQOX8RoYvA9tfdABuR9C1qGOm4Ut87ZH6ocuvGLDfG76 +WMZt77m2ctdFvaLdW/f7WBNuSf8dehXZhCHBsABXZ2WftvX8WiIBBcKuV+6H +drVBuWAANvIuKJIt+5SCRdiyr9TUZRVls6Et4wO0rDY5hZPMkna0WdKeTBg2 +jbB1HGFLmmEV2fra+gTFFibYP7eEYL9IEqwR5rjntoc/jIU5ayvBzuLFQ3kE +y28oOMHSQuM+WLsw/0KBYHnqlhIsvZfA5UJ5/t10V9vwJXv6GvoQ/Uqcny3Z +GsbhZjD6LMGeAAQbzs+6Bu3Csi5Mu0AJ1qVu74aanQeW28b2RxHBTkQOXkew +xsELBDtDIFhbatwKBPvGaiXYFL5m2Q8Jgp2DCHZ+INgtbGnFZKwbUwT7MiNY +rBubgC/RFME+Zgj2Y0WwnxCCHecsPFF3G/h4uYFhSLhEMwSLfLyYYF36lusX +IoIdlSZYt6clBAuK86JnaCLB4u62JMEK4/PfjWDJwcKT5GDBdsE7fXlLCBbG +59tqfL59OQp5xRe8/HiBPnWF87PmEOw+aLS2jGA3rYJgA8VKBKtH8xGGYKHE +Te9p9VPaEimusHlJY2zWqSLI6sl+TLSyPVZjLN3bjjIYe7z5tXC6pv/ZScbd +gMS+uoLWbGyx4Pd0jbsaZtfB5RgcZavuiuudWNBWgNdb9vuE3IvtRZy4e39H +4wZ7f2/2r75DTcHhdSFqYH/2iRew1pLrSLaku97V/1KuCskDj7Vlj7V1Fmtd +7rYMe1lYzhqTWDmdu70ENrKaa4NITP2zFhLtH5pLtGwpC1uEIovZNNLK+lyK +tN+mkTavT7NKpHWe9LUfaYu60WWkxfXDFGnhCmKhvYIQD8lY91r1SEvbgcgh +2ZI9IqQNh2S4e03PSlwpsYkZeKPUwDsRutdOR0jr6ocvXNaFHZJ1QfXD+Uj7 +hIC0L8Ehma2VaIdqJeqjCuK1B2lvV0h7Zy7SNtRqKVsF0v4CkFbXSziDbi9s +0I3lChppRblCHtLyLjZyU1ahYgIflPGKiWN5+NZaxWqNtPp9y5gVUkgL71qH +CmKaQm9afnQiIU0Sad+rDmlNWmtNIO0jgLSoVmI2lzBWibR+I1AcafsA0vYv +iLTBqJCPtPu1CGm3rBHSbs/MYiiBu0SKH+ADM7+gzTTn9lBoI7Ct+tcU3erf +bKjYJABuN5NJWL+cAlyzrC1ZL9lJ5ncZDd8IHU8o6YM09Sc8Ffo6UYEF7Gm7 +RHArKBoisO21c8+qJQqaZG/a/1O7as3KtgvH/mSC0dbGZH9wgQH9Y2BV/bIr +AoYfkxgoe+fBOnrUtcGNwDg2UPZ4au/CXHYASw/qqP5rxKqYVQ+3rGq3r2Xc +hKZ+TY1N9WvOPa5+slZD1jqOrCVT+dBUNbGOvGRV+n63KmJFIsc7mk+stNln +bSHWpxCxPioQa8pWjm8dbmyKTeW0BI2oDxaE9y97JnZ2ogTtZEF9wEse3Fg9 +NFGCxiMEg8E6Ts/EDiclaL9ExOrOxDYGYoUzsQZagobbgh2xXgW6lhsEYr0H +es4fZMQ6AYj1WU6sKyyxvtksYu24Gon1ypYQa3oJ21SIWN9UxPpGHrHyzgdG +rBMSxDoeiPWBKog1nIt9b8/FUHvwmERY1qS6RsZhWU+svwnESjy4BUofuAJB +rA8+X1Ig/IMRK64P/jsxyCT/hh8R67C7ELHyBNZ4dmNAqoPh/QoT67NrM7He +1yRqw2tOrAkHGNIp7uTVB5pYT0SpLEuse6aIdXGLiJXpD/KIdSApfaDFaL2z +bJ0IXFGiQIGDmtcKJlBu1qQLembtGbhK6QKzmNWY+tOsLeLXjfGOVq9kY4pV +vwWUPa5h/bogUih7kcKJhlhPMsSKWyWCZfc0kywQeos1ueruYu0Rcy1sDlx3 +sLBa7db1xgM+83kAC6imZew6E3a9Ts4DlKyDK84DtPGLUxNoXQfnAQ5Q/2q8 +PQ3WgrLPA5SLw+hRzYDRkh6R6r897rXK/e39WVGktV30t/lC+VhmWbRdERZV +v6+i0WwxHG41k0WZjiuw6Jc/ThadXolFqYZr0KyHRBb1UsW3bkPDdCxj0ZDH +8iy6QA1S7pBBIsUd+d/yRx0MJ+bHWRcdFikL9JAcmsuitIPhcH+wBYVjEYu6 +wrH1TZhJs2g42LKFvOdHhbyBRW+CihhcyOtY9OHlWlkQWBRruByLTlmhD7ba +IR8tZtG2ikXbKhaFUt7l9YpF2ykWba9YtEM1LFrSMKq4768z1ioUZRw6XXHo +zCSHvg4cKnePNVIbl+HQ90QO1ZUMRluAOdTYuL6oikNdpNVwKFPTeg4dvip4 +abEPXDja+mNzOTQv0so4lJSPuQeoMWF8Huq2pyhP1SwOHccuAeBoC9+67vPE +O2KOao9nl/li3l1fcHeuawGHzmF/C4813jXl0HOiTnOn9Da9jXCshXNVgUNx +EIC4aLNsO7B8rzUoSm63pGTrkZBs3cbwqF+iml/bUm9Lt8jqEIgaGNW/vKkm +0B5lzaJZGa1SNzFr1I31bzYyC1VDoS79uqGh0A3Mr3UD/QEECJL71axbrvs2 +0QzMS88Mk27fPA697sDPtUOgFAQCdjqlj6gsc66s0+CZlX31FyizzLP9fqva +4uJb+6NrJQ5Q/4Iacm08jJbtU76F0RSRXrzGifSrMDqZzTA6tPpDgUOrSKZV +gEiNSuBbb4NpGZF+HBHpAWuaSKeniHRiM4hUepBKESmqtVmA719tpY2rwI0P +rM7wrWC7VCBS+7fwhzftuyjVjpAiUtwKhuOpcivY0XBgpWfP8aBW0W8zv0VE +6ipwL4Z4alAIdEEVuJRIjUIAEemTApG+TIi0vtlE+oEi0g8VkX6kiPTj2hPp +SkWkXyEiXbGWEOnkHCI1R1Y7yn7YxzGRMj/sfZpIi2gE4J5WrxqSRHqwQKQj +qyTSKo6sRgtEehwQKX9konW4VCOQJNKxRYn0U0SksUjr35tIb1E/N8HoDAKt +fvOuzolCob+hR17taok0dmxzIj0yy3YA3Tb1wu6HWnDXNJHypKp71j9yyTb2 +5qpkFqRl/7avfjKZTH+mf3mzssXToxt66N+YVandl+rfbKJhdWP9m43KflNq +FqV6NWr3o1lbTKG0eewkc5hlX/jtu9qpDcTF5dhzu6oe6Os9bF5z0BdeTsVr +BdSfQj/L/Bl+oFVW98sCTdbbheaYA1d19AxZjhaakAltHYasxwwJLz8hr6Rm +nH1h/45TZFCy6nF3BqLIVEFMsygyx5GNk/p4FN5QI4p07eAVKbIRUeTS2lLk +dE2RryCKRPemMA6LUeQ9FSjyBuHOFJXELLA3pvrIaXtPkTln+gtPi3zYuRTp +E6Fxx5Y9choCM20Pdqbvjpz6oiMnRZENliLxkZP+u9rfwHgY3RC6Ze2RU9dE +tywcOSGKdGf6MUU6EVUHpGJt71WsUxQ1cooM/bIVKHJJTSjyW0SR1sgaU+T7 +IkWOq4Iii4ioKEXOVBSpZVSGIn9mKXJatRRpDp0+aHqqH6VI8VQfmgbIqf5g +eqo/1mhaKlCkO3Q6RDh0OhxZUor2zDrbdYG+rYgihdrCkXyEolN9kSLx6Myl +SHrodJAwNv8ve+8dZlWVtfuuu3cVRQECjWIE2whqC5KDICAiKCI5m8CcbQy0 +GMEswZxaURQRxZxzTiCpCNr6ddI2Z/y+8zzn3Ofce559xxhzzDnHnGvOtdeu +AsT+7h9rs2rXrip2WGP91pjjfV/Hw2+TUeSbTJF+LPempMgbXYoUJlSKIq8Q +FCkk+o7LiUxnsSU0RJH7e8P1cYo0NqxJsqegyHFOuoBLkYezGcphPHu/SSkS +Vyzr9gMa84ZDC7TIXuXNh7ZX+v1qMSZqRkUTRMbd8GZXvNmlaLqcyIh005Zm +RosGI2WX8yjucmpZVGuaGN0G/hZmMB6zZmta+5qqOg4s7m/sNjN5jR3PIfv2 +2bPSJmUNcOOVh3+hpPB21dtZ8LYC+GrsMTbBglOLfFiNZaex6TEWTY+RjJxC +kKhk8MSIsKFhqXbrr6zRSBfAU6jROJXmMG0J4znM2jglnqooEUoaTiWcgY/N +QYnwWChuyYdmhOjIKCXGXKe9UaJNRYk0UgSU+EA5Sly3CSmRy12DKDE2/J6m +xK5BStRm/TaJ1bqQuOWt98r0oHswidWsz4zNDKCSlDhGBFD5lGh0Q2tUEitS +4pGGErclSvSTWNUU5u+UbmitDKBqboJCQpR4l6HEpqX7YVssKNGaOaUp0Rr2 +NzYhVEiJdbCt1ZS4HihxHVDiWqDENfWgxGlRSvweKPEHQYk/PYtZVBuDEsvl +UL0MhPgqbK/np8RAFlWcEj+xhk4RSlyQSYlf0wo4mv2hqnQeZ25b7ZDyntb5 +gbhOJCnxkhAlZmiHzp36iyFFfymHKRFKJBbVx4kU60OJqQtt4ShSlhKvj1Hi +vwQlfhpIZLWU6Jj2l6HE/kyJfSumxNd/PUpctvEp0ZVf1osSo4iYbjLq5Zxy +iKjwcDSHUOVExALWZMA/Tz6EsNgBIMMfxNy7QO5ORSEjakfNxj1pJJPWwYkP +9VzmbooWqffYGGmxGmmxiuRFhIs8o9k2Uavj28jV8SwhvYwCSMW+IiXuUwkZ +VjMZ1pYuH/4ltwx59bnaiMarlSpnJvYLoay0RAqsRgqsxgpThRQIP8KO9aTM +Ua5IjaQ2J2DwCVgoqLBaqnO0mhyV5Efib9IXsvpilqlQQWFzCYVpp8+TReSI +u1qimLDKZ8ICVjF4SjqAZGoMCWeEnOx/CUjKf+Sr3zQSolHdWGFUl42EAauP +e6C2Lfi1kPB1RsJXYHuJl57ri4Q5LT6cupYHCeXaCSKha7usp8nDwpxjhDAn +hoQjnEURN5NUDUOOdTJJXWEOLWqs2YuRcDcqDUdRftO2dIjLTFKd32SEOQEk +VJmkKMxpTsIc39/zPoGESwJIaPw9K0DClJQ8Awk/AyT8V/7G4ZaHhNbF/o3f +uzlOhITtFBK+sLfOcQq42PNQpOPxacQ5/ywtjCChG1H6ddAhCZegJRJeLpDQ +iSiNiXOiSGhdkgLTPanmYUVIOMMtoeMDSGjzSWOlE6+mvwy72PsBIFsEEr7i +zJBvSiTsoTWNDUDCDsbb82zPGekMnimvDAmFKCdJdqsHFR7KF99DNhsVVnlj +kfsgJu5NTGhck9R8JIEh9hD3aI5g2AjDpvG+XQkKzVo0faVWo2mRWml31Mba +nWZRg9CjYbONw1alvevTEKwtzRrxFTf/bN8vbHxZjbfbYgGpMgBYhQBYbZaJ +yTmoitOKLhZpRUXDeso1qMrnPIV5TRXmHZXCPLFK/IsWYgORTaPmX8DR3ee8 +JBP0Shb0AvLBI+XYtpQQxowvGgJ6Ok3Zv4itEPSknLD+oPeOB3qvNgD07leg +57gRx4wv9AXsXA/0AklzOUFPJyaHFNg2pkgosB3QG50CvRGBmCIFelL10iOl +elGgp1QveP13FBzYCHpTGPROMqDX0gZ1rvXD58OgJ8PnQ6D3KIPeUwL0XmLQ +c43cNwnoZaqwf0XSS5L/E4G9dwXsfWBhb9cw7D2/j4I98g4KwF7YO0jCHnsH +ebB3G8PezUIBY+cNfzBKbMyfo+nxiBL7kjxK7BTsbcilgAlmZAQS4Bwltg97 +M8vB3rce7HFw581fqvIp7DDLwd5hvwrsvcSw9zzDnhzP1rAXzsBwjNzfc4dr +ZM5xjwzY67ZMDtiETCykk7AVD0rPIGtm4aa+HUDlNK8N5gQje8m2wRzmDBmW +I70xlZFeolCvKFGPloo7wn1imZi6f7xYXBSLxaSHqaPl4vbId+12EPOHR6iN +5g/3wJvdi6YlaOlPgV9T0Qz03YYs7e3Vp10Fc4IW72aO+sq4mRcDWhHq4G2P +RaIx7jXBvSqDchQ8WTQ65pwUV5QUB88SqkrSyMwxn+tSnIK4KlVibJkpkGSk +mJ/hatP+j16aBBQefDMvQN6bmMlwP9WP4dhNxzAc+vLyGm7lDCctIdY3kOHe +4rXbBjLcu8BwUIh6O368eRguMOzMSRJdsABFGE4rly3D2dGUvqboxBkOLyfl +hN9QZ/4kD8NZnchEoxP5g6MTwUP2KIqabE0MJ6Mmz2R7Ax22fqETNakYToWt +o3K5eSpsXTPcItgeFAxnXXT+f4b7oM3zwHAvVgJwSfJfuRjOuOl4DCc1Ixg9 +6fs/ahXzXRUwnDvt93Oa4VgzcomvGUGO0yWYx2fMVbPPcA3UjPgpvg7Dadmd +z3BX/mDldmwAMXbet46K2WE43//RY7jDmeGGbREM96wYjg5I7LBZ9/Zis8bh +WJm/55bOXu/danLMyjGcKp9yrUObP7jWuTaxd5oz5adTe9EEIp1rptTL/Z1x +GCypR7rrtzQ8nUcoEmI4xW8jkd/qBsJ2IA9XV8xw4W7dfj7FwaYojgBOS0f2 +Vuu6eNdeW/PkX117aubV4H1Fg3fV2fKS2sx8n736tq8A3Hg5tra2dOmYr9Th +r/pwKRvEarZBHLlhJywNW6ke3KWjgOjgy6qNS2/NYvTmrLem8K3kFhlP7yst +E4JKjUAamIwOrx+/6exbO248mgdJXH771xbHbz2wEFXAb731haT27S7Lb6Eh +EqHzjfKbGxXu2yYY55lV9qKxrwhS7B9xnvH5LXv2DvktHKTo8pvU+erZu+05 +SLGVF6TYMiMqvHnpmgi/3cn8tmA9KjSakkLDuiC6/GZ9uxs7UTTvw2bDFH9l +fnsW+O3REL9hXPidMX5Dd8NNhHCC31a7oYr7rHP47Snmt5ALjea3RSE3xAx+ +uyml+XX5zXh4BzS/oTm8CzLVGpuY33xTWRK7eREIsoRS+ayA3+78NODf/d+B +39h9xlz+Xgvl82rYrmwwv/UQ/GYXW0/0Flsr47dyEg2f30bp/ludlWhoflOz +Ln0T4KL8bbj8DIeLsPuQaqM5Uloj3KvG+6qZ8IRImFZs6Rt7k5ki3hDmtYP/ +nPQBn7xmN1ydNSDXvu9elXfbANouGfdVQDxbo2DskjHAZnD01+BeY7yvWjXa +ANoaGyUF3deoPL4VkN4wh1GXDm7jOwuodhG1QF4tRctux9H66fG/JI3T4xxu +l18N+pbcoIBA/kqD2c0xG/DzsETxEYsA2ez2WYrdDl8gCtAWym69U+y2yLBb +r5zs1iPAbl09duuci91UwenlsNsJgRDsowS7TayQ3QYKdpO6ie6ubqJub568 +ddW1KkKwlYkQPJ2tnHSEILLbxU4IdnMRIZhmt7s9drMeLU2Fa6Bit5eZ3VwH +a5fd1pRjt1XAbiuZ3ZZvRHZ7zmO3LrnZzQc3ZLksdlsG7La0HLvtvJHZDTaj +oehjlbbzgd80u90xIK60nSs0FCl28yMFIxoKh92O9NiN8lcsu+k1VFLanmKl +aXhZXJbdMkKxrV8Ls9tlQoLmX/7q8pmX3aST9X8LdruB2W2eVz43LrtVNiiX +l93cvlua3QY77Daa2W1Mmt0MuHGaa5JUB6JXyrBblWQ3nJwjfCNUq8VaXmOg +jebqsA/XYSszZ6ctCO1P0hIstewAWXCOZhJdx7uhLu377lPxEBxw28Xjvzap +IkVFZgRqwF7VqFegvRo91zZaFQNUOFTjbQsBeWWRrYDEhk02zWuUlgfEhmpX +y2sJARt66/2SNJP5z5nKhtMksdWGRfxueYG/g3rXkmuYH6W1mLThBzuuoZ31 +ZjeM1oYzrR2eSWu+eX59aO192N7dqLTW6937S+nk0vRsrtvq92mNRzWA1joz +rXWK0JpOx9Mq1xCt6cvCgUbSoFSuaVqzpSQ/rfXgmtDZozU/HW87Ssc7ln2R +bDqeojWVjqdozabjNXcCn10vlBitNfG8UDYBra3YYmktimofpFDtDUC1tzAM +Okhrvw/QWntJa+tcv2dNa12sL4px15O0tr9Pa5+X7uyvFK8kbxiA3TZLa9cb +XxTt+WwDoFO0NpxpjeUNULzL0ppcLc2iNd1tq5TW0orXX9wSesVP2bR2XT1o +bcF/L1rrzuWzWxla65ST1rqnLnwtrWlSs5NuR4sL4CMaQGuHsu3UkAxaM6uk +cOrGPlstlF9Ulhlay4lqnQyqUZetoCHsD80MmOF3963G7+JDOlbRjFyR7K5w +qBnNVZrgLT6ObvYrGrUE/axpx+1jhbRwX/u+f5iiUaxZhah20cSvU6Q1dkMT +xK3q0oXjgMMuohvmtdZGkUAPrgoY10k2m0Fsdr624DRs1liyWdq27rhfVC+t +1qWzsB6BlfNVPp4VsJhg8rUdoM1FZ+WFp5LOIuXF0JkO4/zczZffAumsJ5SZ +nmXp7JEG0pkuL3NseZGC0w9msmLqYjvDBnTWoSydhQSnks5EElym4DRfEtx4 +0iEIwWndXh6d7UCjpja7uFVKcPono0Nw6Yx0COtsEpwVnCo6uxc214MkTmdp +v+PGJg3O0lnNvx2dLc+is/+b6SwrFW618SOJ0xn7kVRAZ1KPYOnsKyM+vSFD +fHqlpLPDMuhsHNOZLz4N0NnZetokQ48glyhovCSiR8ikM0d8+pO1c8pRPnPT +2b1/83KMFZ0dsgRzjD8qDX4EZVxbAJ3R+G8OOjPR72Xo7ANfynVpyuxTWcbb +ECNr5XSWmWHzL3T9chqjM0eHsErqENIzbJrMDuc+2nBPh5BBZ6aPZsmsV4zO +csDZRNUF27cprXJWURHXvTXYqgykEXLtx4ymaK0TiRzojxThT3apxdMBftm1 +qO7j73bCm1T3rp2CtUpbahdO/hoP7yKD2fgNrZTTB+wRflUTiVVRCbjYblo8 +imol3WRraTpyguGqFMNpMRObiAiMayQxTi6L0khbgSzlmguE2+D4YnpyhPCa +aAThtnJqTkxSKo2HofYA6kH1AdQL+SHVD+E8TVRuhPtkC0S4JRsJ4a4V9cdH +OCFDWDHdIJzVQAn/IyEl9Xv3aYSbkCkldRCuziIc9uLHZQSoTarTY2y7snpo +B5IhTGWE0wFqZ3JEeFhKGka42wTCkQxBINwSD+GeYYR7USCc7xliA30Vwq0j +hGv8b4Rwz6YQbikgnEn1BX4LZlfsorMriN+S5HvPUm5dOlCtsw33VcbDZfxD ++qYlpRLhpH/IXAfhRLjvoRbh/HDfiwIRFn6473mef4gzzlYhwk31w319hNNr +FfVBuBs2DcIN+i0g3Pt3CIS7OYBwfAX8gZv4E1ZyWb/2jikpqZQhnOZFVriq +Lhvme7RYszgi0Fwbl5IhZC2FjvTH2Fanx9jKIFwBa3JS7RkOq1xfaocxh6kL +bp5kKxqMw7G1P5DLHJHWvkxbHTTsqV6b/kWq2daZfjkhW0FTYzf8f3RrhP+Z +GqTKKtyj+5IiX/R3tf2/gvkNnUrtDti3HiNuTUozjvwaj3W9qjlhQw0e9jXY +XG+Me9UG88jfrchOb2MVu1VzMO7oDc1cqCsYWOSfvUB35qhtD1tCWKfNggXX +sVlwlVWvq+kLCiYDDIwsnhaw9MBvOiNvZ66UVimcG5l0S43Xhm0uNx/W/Qdj +3SeAdR//iljHtSkP1mV4GmVjHV9WRrEunYura1HvINZNZayTUnaFdVh7ZArZ +YU4+Tn6sk+oEhXW7C3Up5kdsQ77gOoXM5uKyOiGYixvGOqUutVi3yMM61yFE +Yd0rDtbVANbViAwJhXW4ZrpOuwPnwLpPAes+22KxDiPJnpZYlyT/2+RJLDV5 +ErnJzmCdsoWzk25PdZROIR+XdQq5L4J1d6BKQSaTAdbdMEhPun1vJ90Y6xyV +glCZXhpQmV440V07NVgnJ91yYJ0/juIPDvvRPI4tXAzrrvjRGxTeSFh3n7uo +8dvGuttgu4WxTqgTKMRRls8cWGeyccNY1yNVSivEutV6HAVL67iUOiGEdb47 +SAOwzuJTtY91xGIF05ejZdEmyG6mQ9fRLIFSJ62zRTVkMUK17rgH8Ah/Dkfq +etXgf4D26D7+rmC4PQ/oUOFSadGi2tFfK4TSgQtqS6hJthUezo3oa/VdQq2J +nONF/FU0KMcrptrNTQ+3IbY5UNe4DNSVSYBIQ12j2JqrCpytCkNdtS09KfuQ +UrmJuI1Addq8KPtq02pPvywTdROiur9ugVT3aITqQk6VvnlR6GrTo7qgaVGM +6qzQPUR1bgnyqS5btzBST8LVualg4zI0py7Vad3CNqxbcLNljW4hQnVz1mvN +6VaeZ8hWNAmnqc56hmwsqnPzZf+jbqsKqW7bTU51aw3VPQjbEp/qUMfggV2E +6t7ZKFT3RITqHmSqWxShurs8qrtVUp3WLwxyqe4qn+qGBahuTJzqUtrTTUh1 +FKPjU50v35cDxSzdD1LdzdlUN/w3T3WBaO56Ul2XlPRL2i5J+ReWUXfNwy+l +rm7B93yzBr+unaaK7Y57voX0pllUl/YLGeeITR3BaeI0xbokuh8mtAuJhTvq +1jU2cFc0029eM45uu9Ivxr+hOoNEckSUsCUMd4R5Y+t6F/E/Wo3/Y9zra/b2 +T4oCSnvTV73otieAYMcK+nUKAhsBBJ5/zDemczYZ2AwO9VqErALSVrWy/Zhx +xAZ+SAQHq3hQ7sLxNs/LdvYsBJI4gsSrjZgJUxBYtBDYwjcgCa3WlqYf4w7e +MQAmigC3Sa3Y+lN3p4mw2KBW3q1ZBVJF1IVHRWLSq0D5Cq43zNGR2V97YYfS +s5wJ0FggMQHe/XdHQu8Q4OLfCgFm2VeWIcDlPgFe6BBgx7IE6LqOaOXqQVCu +tBYinQsbTvxKE6CNdwgmfqEWok4qV3ekaTu07tYEeEoGATq5sOtt4pckQMd1 +RBDgwx4BOq5xEQJcnpcAVwcIcNnv4NAE/gPUsgTYejMT4ANBAlyZ7uttEvx7 +WuDf40H8+5uwHvnUWo+Uw7+BOfHPk69eKuWrY8XUTE780yN3xnpEIGBZ/MuQ +r6bwb1YE/64VtTOEf7cw/t0ex7/DY/j30JaCfyHHdGH7K9MSGf965sK/KwX+ +zTT102rJfOd0nFh210Ys/p3sjNpJXZnFPxq1w0wHO203EQhwgkeAlTiODC5l +h8KGVKvGbkQBWRVV5fG2pxeFv0YlFQEmlmUTK4Kg3f3opyaYrbNdX+1KONiN +/1p3wkHV8CvoNl9vhMD9C4b/kiKtCimQRVkH3NbiM6vCPfyyb9JIPEP1LPc8 +YL/gXF4V7jcRq7ZIgdOnfINHOYWsVuGx3gT5qhr3yPWNem8FpsHJ8CWQWbVq +BNI6r1rODTf9tFMwtv909muI9zRdGtgz6V5FC3vGcq4ojcgT2e4TdnMFLEm4 +hnuyKUrwUFzJrfJZr4DVCR4qLMoTxXpVPusVsEglH6Qmg12XJNHwuzJytTo3 +lGudB/W04v7vNp4Gytahixj1HgTUe0houP4tUE+PlcRQT00F78cjJftFUe9k +I3vNTPKi8ZHxAdnr4RWgnit7neSEu0rZa2uDeicy6inZq0U9DHe9OBfqNQPU +U+GuCz5E2Wszz2CuCZuU1ALq1VqDOdiswZxCvWWMeqtgq/NRzwt4rTfqAeZ9 +8xqg3quAeq9sHtRbxai3ElBvRU7Ue4eTXgn1dg6gXrt8qPeYj3qwkVOJcJm7 +t69WV9QT9TKcSlKoNy4Q7SWvrLnIBlHv5E2MepdJo84KUO9WbbBeAeot/os1 +eNoiUE8Yc2agXk9AvZ4VoZ4df+lihGkx1IuoKnKiHq/dJkkbXr6dLGK8KkU9 +K3lV5TZtUCLMSQigyJQkZSyX6OVbi3qdeeOZPII8XLntUG0WbZkDdV+PaY5k +EvjbaKyOu3k9DcsRt/Vmh7v9SY7bB+7DZqRkuX54V/9qPH3U4hMq4F4R6RW/ +2z+pMhC7h0K6Jgbjyo/kIdydd+w3CFA1RE9F2zADiqrB22qlephOrHeUgkD4 +/dhsYw5DJtPi1xmT5MgdL9ZOsHJZvSB84ThnQVgO+FUbGNQxYGPFwrGW3443 +v88Vb5xvNLh/8jS4RhLmx9QoUzulwa1x/exOcrQb2XRYSgXYBMUbM8LCsdx4 +eG1D8PCfleMhh75ucjx8S5Q5Bw9t2GscD0PSfl3iZgs8vILwsEsmHkrd7Vme +8VIFeJhTtKGMzA/ky1Gru3XxMJ3/ZXW3rU3Qq87/OoN0t78zutsL1rqiDR8P +rSuKxcN7BR5aD7t8ePh+BA/XCzz0/YcDeEjrwJ+936r0r/fiePitwMPvAQ9/ +ADz8cbPj4QtJ8r8yCBGn/JQ7iiJE62X3Crqj7NEwQgzrb1UemHFHGWDDX2/2 +3VG0/tZ3RzkkQoijIoToiTemC/EGFtlpej04or8NGk5xOfVLadTLrhJCnOcS +ohmQFpnZlhD9HAl3jOaQxR//yoSordsfqT8hLtWBr+xhlyqfTIjLRYTi8osC +xlL2CtsnxJ6CEMPew2n/Or24MliYTZVzRBnuaW4dRxSDh+W868xkH6/L1oQU +G6yrS/Fh0a77NpLrvvxzXS0gNuIlZgOI/KdVq49AsQ/8L/tQL48afASK/Tij +tn9CUJgUVW9zNW3w1ajVA5th27MK9+CPjCbzLf2IAaU9+nXKr821a8LnHv+N +6rLVIEY1xsOb2m0F5RSM4FhFE77T9UbUaHuFpk14wSSFbtTlgx8yC8QKDENc +WGNWhpOiUY8xHI7Xi8wWNlVShRlfnMysauIqtnXjYo8JxMXKwuWhoVPA3KGW +AlYyQMJgtmGgnkUdnxx/9Q1l0PC7eqLhp5loONRHwyUeGj5ua9yvg4b3byQ0 +FG5PUTS0mYZGz+vUszJomIqGHVnWkkWhoY2GHUfFQut5Owg03IPRsC2j4TYK +DQEFT1pr9byIhkbPu9bX87YozV5vo2ElGt4l0PB+gYaPeGj4HKPhyxlouEKg +4TqBhrFoCo2G/8yJht8wGn4HaPh9vdBQx4vdVQEaPi7RMEn+p0yKFWjINsce +Gr61c9o47xWNhu3XBm2OKWKs08dG10vWLBE0XBhBQzemQqFhTNd7NVqzDBFo +KKxZyqLhZA8NTUzFL+6oYIVomIqKLWtz/HMADb/3yqdGw68y0PAzWzoNGv49 +NV/z66Ghn+pTKRr6qYwSDeek0LCrQMPOUTQ8x0FDX/jhxlJkjQi6diyDV7ld +w3QkxfCKbI3leOBYR/TR28gteEpPTwfa5VtDhYlnbVdrsLDAWGgXgh00VFhY +K7Cwh8RCGvNTjcM+iVrhreamYT8iWsWFA4gLD4Q/Q0lpqwfyGvhB9HRHrh5U +xOeON4Mb4ytRpb8clBSpL7F7v84Vq3yREM8+8RtFhQBRzZXe4hyCxKnKOaWo +PFSgCBS5r8jLx0UzR1gUy8cXmeVjZ+W42shFiAd5cdmRiyAPTtygdcBJUWqA +zaSgXjRWpi41oi+YWtiAB0J1AqL0jPlUX7CUnhA8KzUhmGoMGvLTmrWUSOSX +MuQnS9e3OchPSH8zyO+wCslPlbB/A/JbcRFsF2SQ35lirSPs7Zkmv0k8wzLO +kfwOy2HG54tDxlOJEOKQYKDsNhQo65Pf2RHyM4Fkgvysk4siv3sE+S32yE85 +uSjyM04usFkzPkV+H9SD/Ewo2RZJfg8D9T2K5Jck/6/XF6yM/N4E8jOmfLuv +UkvH7eocR5cs8nuUyW9JFvnBlnJ06f+lkf5mObpo8rtCkt8wJr9QwGwu8vMC +yiKmfLKk+uU0k/z00nHq4vknID9vwnrOd7Z8Xv+1IL8v0+QnXRPKkl96snqT +kN+bL1LZ7OWM2jSE/HQm0E02EyiD/LpE9XVp8pO53Ho6UJXSk1LiEK21y0d+ +flZ3louLT375xgKFKESrbG0/UK39dvIagVYAYnzyOgNn6DagmPfToJeoFeIq ++qsMevi/0b2/0ab3pxmvKPkO7tMQdzA/0cFwH5xdqvG51+KLgF8egl8Ogcft +3q9LhTphC3vTTvoWm2TVyEo16LFZQ9N2ReqcnUMbAtY5FCBGIbBKipEUeVLv +PNsmTGiJmeHMTvkpQz6xtKyITnX5IhLjsXr1GC9HeS2ZwbHGdXUONhKrZSPR +AKQeQpyRGkJslGok8sqH8pFp4UTeZkampese/AmofPg22CtfuA+qHyBnFkrG +PZ71tPSPbl7aNTYvbcyccij5eQolRzQUJZ+oBCXjOWkuSj4H2zP1QMmQOWlo +hKY8ShqVCaDkfilTQB8lsf7Zq96gzjiIkmmVySiduJGhM06j5O5BlDwe0PHk +tdo9pqWrMlkbyLYNoOSfBUreJ1ByCaPk4yGU/AhVJi5KLvVQcs1GRsmvACW/ +zouSmHH7DKDkI6GMW4mSuMZ8D6DkveVQMi9HJskvgJJvuyiJU4hty6MkmQPu +a80BnwyaA/4t6CJD5oB9rOAkhJIpvbHMuh0i8tKG5tMbayuvzY2SxmNVoqSx +bPi5PEqa9I2vHJSMWeNvGSipymZFKBmJlyyPktfC5umMM4R6yqrBjuj4KOl7 +OqedY44JeDq7hoCHOmvLMZSsj8IkFWar5b4T7LKy0hWHXACtrrgqgJVJU39x +2VDl+HT7kOcNa8S8oUFKmkcaVTcQHoMwWeR2IaLkwYSRg+E+taiOL8AhcB+8 +HFV46oFvDCfHa9qSxnSr7lPwPZQerB53aGk35s58DcZqw5xnnfKtbsvBqwLH +ezV252ivgHcWaZavyFm1WgSCt1W0sCswlFp/RR5+xH4go2di9SzwJ4SmWXNn +UnB1LSEfG92YHKfsDL1hRWRaIV8WGbzKvqbacbB3xSw1WcpleAxOK1b5VU9x +ZCnfgvT5kSGbzFFsX8rCHCnGscfcaFdURjnF8F+5OXLo4k+czF3NkUrKsoVy +ZMohtTKOdNTKK841HCkLoF1BOUn41RzLHKmunx21skluq5Qj1UrI+IpydtGF +sFXpBObIVM4uqZXDHHkd5+xKjpwvOHKR4MhHPY58njnylTIcuTovR64UHEnm +0g3jyJ+YI3/epBypU9xeSJLDKXN3qZ+5Wy+OXOv61kQ50vWtcdwI+wSEy/2/ +dNQsNxmOtFkhliN/CvrWzPQ5cuwGE9uUKVzWbv686MO+NVBRsa4+TCyZiyM9 +NUuUI2cGOFLElo/xbb90+YTSOfrWuJGrUzp/dY58Jh9HOjHldzu+/Pk5UgiW +l88sw5F+NgiX0VUyZinPjKLMBZHKQCyraa+arIVoy5Fphoz71Dh5IL5XM0uU +hTdNdcB40GhYhCI5aZXCyPECI0VjUiCkwshRhJEDadYSTh8FYscqOpsociR6 +JHAEDFKvhOFGeoWGrR5WROTGm+HV6I5bUHtwX4J3Divt1r9rvdanzzztWzyw +q/EqsQbBiZZ1i0oTDDxVZJA8/peC08vUAHYu9TIRzLRSpuABpNKdqIVm8ik0 +5ol63LFgbXBUcRqL3yVchHrVTCCkXtYGHvRCS1hfE8oF1h6IBS3kEYIXOXCD +BE3PGX74rF+LIG2QZSZBzks7HzqmrLf/yzofBsrgsPoS5DNMkM9vKoLkhLmN +QpCiBDJBdo4SJK7G2NJnzFc9gpSXznGCjGf/ZhOkr3LxCVKJoI+WjodAjqf4 +BAnkOIOzf60ImrN/BUFax8Nmxu/mPiBG1/GwqXA8rLV+Nx/hKGOtiCcJE+R6 +2D6MJcytjseTfPb+1oYgFT36BLl9lCB/ZoLcsJEIcrUhSFS7POUS5P9qGEHu +WZ4gddocWt88HHE+vD9CkHdWQpCDIwR5OBPkyAhBBvTQKYLkCnvWiSkd4eYh +yGsCCzneBfi/F0EuAnpcWA+CnAvb7AyC1As5YpQxQJBdZRldZaNJ0qOMZQhy +9QQvtBMvzEMKF7cLOUJ2Ic0YY//UGGPEt1ob3DhZv9qjRi9n22xeTPjdtxDi +yDZCC91FtCPDrUjNkLoNOTrdhqRRxJHcghzBAIm6HqXvGYoQeVgBXxNgmMPh +1VGv0nD4Cl6zAqJ3Efu4jfEMhfeNxPtGwLd37d+twmVvq4I5/Yzv4BGAS03w +qC7g4U1fFpWR9FlpimxqVsR1+9EQpF0B1wTJ9Fht6FF3IC+cyKJnXqIWy94e +Q9J8ZBEHc6rNgncORXXRUqVuT/J/ppGcmZQJm4qImxmwTEXhhfQyOgavVM5T +0YJlJB19UhAsN5QFS5yYHGvA8utssHS0gNrtv+Fg2ZfBsvdmA8tKXP5D19aX +O2DZSYKlcYeQYHmGqYi+kaILllLrN9Eb6cmKLdZgKeXTIbC0scWThbsOguUU +BssThXz6jzq2GIDyggywVPLp5sJI0YLlQgGWDzNYPmGMFCVYNiaNzJukkWkc +MFKsUfLpFFgKK+3VzcuCpbbS3jxgiROT95cDyyT5H4Itn88AS89TUYPlLhhh +zDLqCsEybamtjHbuZ6OdBX1cox0NlrdLsNRGOwe5YKkz8K4YkjbamRmQUTtg +6WfgSU9FmYEXkFEHLWr5Ot2/RncstYXRzvgQWKYstXOA5W0NBEs2J0sPmdcX +LF+F7eVcYOmnvvd+17PSdsBSGOwsvRE2viZfBmC5zAVLG1vsrux0CsQWy9Ud +W0ZjNtrlIou1s844Dyyz2pLWPnukNyUZCURJtLONa6mTFsYoWXQVL213YLfE +fRurYLuJCi0VVZJKZj8PKruaSBTgzfI9ScmTaZYcYVnSdB8VR2qWHEEvEr5Y +Q5Ee4YSEN6MLKOFshi8mwJhScyrZkQbLyqTVTUunnfkdvChwIDdWfHTaqUCR +pC+BA5yMCsmzkDqVSS3xFS5SUCPvuF/sxKVd4tbSltD6NolhlC6GyFKua/Oi +tWbJKuXKrVmyIENaeHE7ae23Jn3dddqk+2jXnNtz5KFF/AI+y6Qo5yV5MaYU +Huw505XdpFL3/FlJAZGO1VhgZjxt1WghcizPjZthn3IQOR8qIbtyO0mgvymI +vLsBEDkr7Sa7shxEquonL6nTECkGepzL6CyI1AsuGiJ1wl4cIqXQ+ug12wJE +bu148CihtYVIFZxsIdIEJwuIvFVAJAmtGSKlReNjHkS+kAGRywRE1gUh0s9j +iUMkWTRuORCJWSzpBuWzBiKXGYh8DSDyDYLIlDE3QuTOAYhkL57n986GSO3F +Q4JrHaTsQeS9KYj8woVI6cUjIHK2gMgrJUSGgpRHiyDlAEQ6HUo/SLlCiIx5 +8aTGzSVEzvo5ksuymSDy0U0BkS9mQmS2fZmGyLsCEHmThchl8wREXlPqtuwq +DyIv9RZ3NESmXW7d/Hk/1EDNSPbzFnp0Z3KQzmER1+WHpHJY4p3J8Hxk/nXt +CY7njlVWq56kCkfWBJk0wSqdUDiyuY9gMtmeadNlyficZBokxzBIjq5TUhsX +JHk1u8ZjSIcfE4JHoEUkxUNpGwOvJW5j4T8NryzcIqTj6wxb0phuGzG4jzOv +/S79u0+peOW7aemUs77DKJPmeIwXDWOqxV4VZnyG0qMkyhy7FXEXFgnJmmcH +WFP3MmUf03hETtbujMIQUrUcEw80C4iXTbCsEXLCty8ZYzU8cp5S/bBBzYlW +nZO2CG+emhcXYYCuyPsEpyYq0qzySTPq7hMATenuUzYCZpaMgMF4Z9fZB0MA +Gwya9zUANJ9dHayYvz3QVKJENUR5tuNSRoJEM/2jL7Hlus3RUdB0hygrAc20 +o8/kuvbG0QfFOEeSGGdbjnJu5em6VZTz+QI0raNPGDTvgM3outejGKepZ/bY +lB19LGiSo099QDPlBb6lguYigEwV6xxfBifK1MvgGjSN6WOloNk+AzT1MKUA +zSUB0FxIoKlSYBzTR8/Z52Zy9lGgaUQ5lYKmJ8ppCGj61+4bEzQxQ3Vczjn0 +sqC5QBiilQXN9QCa66BsKq/cLQc0lSWGBM0e2tEnCJpXeKDphf6xntHquuUQ +5RlqFn2V9Mz1hyinBjTdVoiT1nSHQBMnjEKdyoHeAOUBvMDcR4Bmevk7JeR2 +BDgdEl7vhupcg3rJgupW8kJ4h2TnMoBp4dIafwe6lEbHrUU3oQ6lJEvVkYT/ +qwbKQwEmCz5MrhoP9w1eNaGIL3FjfK2rcQ8e8vv+Peql/q5u0rR00rTv8PBt +hsdvFUUkw+3p6rCm7TQ2x6mmIx79cjRlqk4e+uk0tYSpO5nH8bylEOswXbJG +vMZoxP0V8gscbQ78f/DaWCjBi2o1HApaEStbEefEq/HLglowR9Cslsvkenk8 +KdgFct3bTLRCSDiOa9jkLJqtvN7mhvT6uB88aKzGI5ZC9cHN0NhQCDfF8LnB +TR2lUF/cXMi4+YCLm9YNLY2b/Rg3++i6+eIWgpspFzRvdScnbjoGkh5uSscz +d0RI+l2kNTtxG6F+RoBocdPVfk+uc7XfiJvHrGltcNNqv9O4eSlsOmNa4uYN +gJhWs5PGTddGqClpdhA3n/Nw8w1jINk47i3eINzcxsVNShmM4KbRfgNuPpfG +zb82CDdl2uBTSfL/OH3NDxg3lzm46QcOLgPcFB7ju7GR5J7ZRpJP7Sdws0sA +N1N2QhY35/cTGnBOopEacAc3D/6xdC3ZCf1k7YQOtXZCM4WdUBQ3J28k3JST +lxke425UgxfTIMtnpbh5+28JN2XZzMBNz4HNxc0bBG7q0qlws2sUN2cEMqZd +80gjfVyVxzwypvsO9TTT2dIubmZNW+qeZqZOR0xZskBHtDMnUesSGBNv9mmE +g/G0RwSa7Motzg68xclznEOe/rylXhuXLc3BgjqHCkXO4Zo4aSIVTj9JgVa/ +a+i1O8Sg5gQ6TVFy46pJ8Bh4peF54SDCINqOAGCC2wK8FUc0oq+L9J3fD+hR +8bo5UuiJ53ynTHKKeFDX4IVkFXvm4HrwyWqDr6h3h1OH21A1CCApVY10w3OD +xdEpgWYn+1cCbBoaVSQqQFTZDFk7Ik2hjZBCCy6Fwi+6eLR5sJUANXYkQBem +19n1f8Ob1NS27CIC22befGoMic4MGRLJqnlGZIFd+1rq6hnJ8ZoQGjFKQahW +QH7vTmn6EHpzNoS6kdcMofczhAYteTcXhPKY0VtizOjtxbkgNG7Fe624aHcd +NLIh1L1Y39+D0AGOAZEPoeNo5SINoUNN6gJC6GhKWwgbECkIjcVc7wTbdgSh +xwKEnuBBqBGOr/OF4y2UcHwdyn62ikLofRxzHTYgauJ4WUoIfZch1BoQNcoF +oX8LQCimHxYQQeFCT0Fo6/IQ+lIcQjcAhH4lIPQvGRC6TkDoGobQdOT1U17k +tTIiWiZTbohAsekpUm6YQN/YRViZA4G+DAT6kiDQ5/6wHig0QqCeejxFoPsL +AtXq8X5fGO1PkEAHaQJV2p+rJIEOdV2INIE6LkQTKiDQE1yDNzzPZBLo2WEJ +ZZRAL3WtzH0C9XMQHQK9JU2gI34VAn0rRaA9G0Kg7+mpdkmgAQ9ganbqunm1 +INDLYBMJEMHBpHMi9uXuaKZaVVcDSv1Tq+pHylX1JNnBiZOVmYfZxkO23+lO +Zvrelb3YVRIQFBgiBKCiz1lQA5gaPJsY8Ez25REovbSexZ0y8TDU7fTnMfUs +ZrrLiRw+lGYv9Xq5Zk3Fm2iHh6yJr+bB9KoewXMLRyJhwg1QfyN88YG61PLd +0fwV3NJ3YXfnAT3rJQZC/jz+POTPE6YBdR5PN2cDWsEeBUZTOmBR5QSShNpA +aFFCaEIaItQUnaRg7I8kzUZAqzL9UO6FKpxTkYZippPqUm74LGCJa2ZaoAUF +nxePZqtM1TIdyyIhk9uYWm9vJN0wZVVU/8kWbvdTuGBOO8G7TE9DZ0IvVvJh +KjjW735qaVDIui186S6h88fy0MnSIDdE1oXOEQydw7Og80GGzoe3FOhcBNtC +DzpD0WBhyw0XOgOhsQ50YtE8q0LoFJKgDOj0teY23ktDp3Yr6sZVz4fO3Rg6 +MXAbpzktdGqtuQudLQA6WzjQSZIghs4bPeg0WnMHOpsAdDYRWvMmxq0oD3SS +W9GHZbTmYejcnMz5ITPnesGca5k516SYU5kWlWVOTFZs4zLnW8Cc2kSdmHM3 +FZ9Tjjl1umKMObXe3DCn71gUZc5vSW+O+duzhd5cMqeWBc0K6c3HubIgYk5f +b+45esjr+IqZU6grDXPqLIoKmFMNwwurDo85RzFzjsxgzsOAOe11+sZlzu6m +ZL5GzNmzXsypr9OzmFPPJqmy2X1ZOm9bdTwlc4rsiZWhNEU5yXk6J49lu10G +pUCrJiTJrqVDVrlr7G7TM2ZSJAc585hcGmk5M2dn7UhE7U61dF5k548/EGYi +bO6Nd+1VBZW5fQEXpxKciNqLxu+xaKttH8Ojk7w+qGVRaayuNUJ6rNNfddfj +nFpfPlT0PX0Otf1O3evU/In9TAJPeFpI+xo3C/h+wH0D4F3BfjRs9NXUarxA +KOBeke9sO6BXvWH02D8hjB53zi9NyCK8SN254xQwwTeITQFLgSqxDCBRYUnQ +eAqXIlgpeO3eACqCGUKagdMTFcCdffwvDpwGOqMumU7S7Ogv0HOrU4NpQlBK +FRE2eDzcNsYL86JplyZFkzqBLdOC67gJeHyhKJ+mT2q1SGo2tOQ6t5eZDQ0s +1ZNlO75Wp8Tm5kNadgmrwcnQQMUVo00m+adSWP2zhNV/CFgVeY8hWH1kS4dV +P6zMd/e4JgKrF+WAVX99yVZaf4xJwqqrX88DqzH9eghWdxawug1Jj05co4yR +lH5dwSrFfwOcXgyb1a+nYdXq19Ow+iDD6qMRWH0F034YVt9mWHWNkTSs1pSF +1b8DrP5D5zwqWKUl+pC1pqLV7epJq5chraKM6C9tbmVgLZcGngdYn/OAVevY +8wKrtdh0gdW1an8iYrHpA+t9ZYDVNUj6NmWQ5MSBlwHWi8dFDJKO9AySpoaB +VZfUkFW7LqVURs91r/klsE7KAtbLY0P1DKzXZQDrHQysAfsP40y8CYG1BwNr +zxiwmrJZDlgXCGBV0qNsYNWuxC6wdvlAlk8E1vOd8umrN23KxakRU6TQEr2U +HVmnYt9rLqs7auxBVge7o0mylTMMahVH0eYoC4pwBb6KCXRvFoXuVUBarUHz +OtpLOkVxNRtV5ZBoH69lqpfpfUw9jF6HwwhTR9Lrg11kbJVSmzSNqNSE1nh6 +IG0aS6fS+wEXEQXUhBXxHapBDQTtJUUSirVhQq1EgYR0esyM73CZuAlSUNKo +NIUPZr3hwQ2cRPyaNKPj/XhGKE2rWA9oVf+MXwyqMqYquc7Wzjq+ptRzeA3f +oVRNqGKU1BgtTdBDnx6kjraQWsXdU6RSKIfVuFeI8amaLG0emiwNreRbX3jp +CV9yFUye15wfUG56AEyowbIac1vy1vHLapcuc6ehxl7lZlOGCHW0IdTPSyNv +8wn1n0yo/0gnkj/wH26YkLALqYhQX/mtEKousdMjyk61/tTLc1jqF+gDxAl1 +hDdY7xOqdFhS4nh7QS/E8XUojpeE2tqI45FQtcMSppCfm4NQrwcivSlCqAvX +NxPieEWoVhzfxDosMaFa687GnsNSBYSKrVQvibwsoQKdfgt0+t0r29MQaX5C +vZnx9DbEU6RVRagymvLe3IQqXDwDhPpmBqGuAEJdqbRLklD3WuM4LfmE+jgT +atppSVh47h8QyfdzRfK3GKclm01pRfJsBJ9FqOy0dHHMwlM7LR2dJtRpFRKq +77QUIlTyGUmVT49Qr2ZCnV0Bof45QKj3+hlsvwKhvhUjVFk2swj1tgoJdRYQ +qptF6ZbPtOyzeySBXC7hu/P4R/Jis85jC+mVyjsryV6qdlUaa8qszJ3skSQt +PD28mR01dCraqJJMCUcRStshnu5ZxL0Eb9vTd+GRiVrh3zuAp50ieLq/wVPV +RfVX85VmSaKpv4qvu6cSSw9ysNRFUjyfIYIW8OxWwPcHngSe7PqoLanFW/2N +NgN617uJetQF38NfgYO3MbbpaK+ISFTAg7qAR3fSRMMqNViPP9ul1BOZUs1i +f22ql3oW91KnmR7qL2wuv5XpoUoZfYBOrX28Usez4WdBcSnUv1qcZyrgHjzh +mSOdhuqoDUVj5pQKwfTk9UbpVLQuTq7HfHPjfnzOcWKhP9sCFF8ZtcbfOGWG +LAdL6UWHlx9OgP5k/qQLIwGXWTw6Wzp/ujw62hM3jfDmozYJj74EhfXl3x6P +4kxUh1w8eoLg0SkRHtVmTaPLmjXZaftsHlWVbw/HrOkoCrRks6Y10qxJZKMz +j1oNfYvS7PVaQ6949FbBo8asKcKjTzOPvrCpeJSW9jc7j2bAqDJtWmNMm8rB +qHRsCsEoCemT5D+BR5eneXTPMjwKG42WCudPY9rUUB5NmTYJHhXiplkBLX2M +R88Xo6UhHvXFTXIBikb0czh/hnk0UD6d6aj/rjyqy2aMR9Uofh4e7bTcFTXp +QMvgSKkxTfa1ob6F/JEpC3m3W6qnpUaIbmkofEiKmQYEHD57RzTzbpt0UgBE +GUINeO6JNLoHru/vTnsFfV+7ZF/1QGqdqpapnTbVPNpZTJn6E6aiVVonW6Wa +RYd5bdIxaiV/1XjTItUcOpA49BgaR1MMeqxmUDqf4RsC+FnEq4UCvk1FfL9q +8LyXVNNbh81u3HY6cP96KZuOuOj7pAp5p1HpyBmAoLBXwEXjgsJSTaTJnqp7 +yjCq26ZYAHTL9OQzU+1SS6JCfu+s5ldAoRe7/VG9hj9S0WeROfRw2INSyHua +TaM9U8WmVapSjjOD+FKIz+1S9qgvBT3qYyOoqSD2U3L0S8vEHjlseoFnKhoy +KomyqUwP/tJNfbvtczfuiIvs8HsqYNNHNzObvl0pmwayOoImJWk23S/Cpjbm +SDrgpdnUzeQIsylOpw/PZFNXcD/B+DtBgVwTYtPtgU23VmHrwKXIpqdF2PSS +CJveINhU+zv5bPoQs+ljETZ99cNaz98pzaZrK2bT321yNv247c20mt/2Vtbe +V4KnWZmZvqGoxlNfeP+BYVMpvLeGompFX/o84QiqYdPOgk25X/pgT89QVIev +R4T3t7LPE7LpjQM9Nj1YsOmQCJuO8Ng0YCgq2dSGysXZ1JeQ5nWlP2JGrHxu +KMOm37psemN5Nh1+T9iHefOy6fOwPdtwNpVGog6bzklnDOPoqZSKLhcRRytt +xJF/aR82EQ3FGwXE9l7msDscdXikT+q6z9uZ076iT9oL+6Ny5DQwbspzVH5/ +VGEpISjBKVZlVKHuDvcdsWa3IhZpvNkt6Uz6VHyE2vbkqLr2vNSveVX3T2Os +2sdl1dUDvb6pnTxVU6eCU43aSfdLZa9UM6rDp9TM3p9JtPfKU+BZ9Vp5KmAV +ngex6d1DbQU8OVbjW1zEL+GlwG/uWBG62pX+iRd/jxBUi4dxEffgD+Ii8hG8 +Ac8SyibtiJtwoQQLABYCn2XLcqycTD1Bm+Fbvb6vkyrHsMyvhKUJre/TWhLU +SHjp4LaI+FqNdbNoQBaeHa03jTA9VkLZpMoOSClBlRooKKWmU2dM8joAIZf8 +qV43IOAiJRf9oytVWYv+vobKr8IRIQBZO0uIdaydv3Y7BQyxo3yInc8Qm5qt +ikHsh6WDHoNq/MQ6htg1mRDbrSEQ+/ZGgNhlCmJNJV7GJqWcCdI5F8S6w/9p +N3wfYlmwLyAW7TuGlYXYfgJibdK7cY1asw+Xzj2Ea9R2ALGtKOkdIfbkNdKk +1IVYrZ0KQezNBmKbORB7Pye9K9coBbHWNaqJdY1iiLWuUY09k9KcELuyRXmI +BYD9UounIhD7gwuxCKrEsc8EOZYg9i9tK4FY1690FUHsUw2HWE+7ryFWj6WG +IPYxH2LZrPSB3kq7fx+AbJZ71K0DXIi9wXOPusbkdnoQG9Lujw2blWZC7PHu +ihWV0woh9ugsiL0kC2K/Txvvmet/hthbsyH28E0Kse8q16iyEPt0jnWpEMT+ +2fU6IYi9IRtilyPEiut/B2LPg/J5jgOx3YIT/TKVTk31a69nP59zcMCc1I9R +Sjvgy4X+A5NkO6+/2ke0CtJjqBZgtc99sK/KOKqgdXcADzWGtStVZ9iQYH+P +N7skXeh+FBJYnFUoO6muvZhWRViWU6ohjD1A+eKvPtCbTD2UI92H0ZkmjrCq +zaqX+n18teh6Cr1deN2hkPV0fBsLeDoEROsKJ0W8PIENnn7XlWdV45tdpK+L +9J7vcGCfijuwVYCx4y/9PmmGK8xFPIwLeDwDsU62EEtHOgITHvWGYJliaTRA +jwUomVWQXmlaVbpNuaanqgMbIlde/+fOa8G2XRWJjjDECrBai0Wy4PZfGW/t +WID6BcJ9KqEIeri2unBcxrCq1P6nvfbhd+B0Q607ExBuFSRqXuIT1xY6Zg0d +yQTVU6pBYMUxK5lFIkT/UWC9JQewysqbC1jXRoG1BwBr900KrBwu/+783MDa +jYHV9+kLVdz9hMNUHmAdKICVlrBwOtUBVlc/NdyprFqKGgNW1k+t2ZtK5RHC +YeooANajGVgxWP5kIfZHYCWx/9qWKbH/NbDN4WB56TClgZUcpj6MAysGyzsO +Uw6w1sRtTglYGwOw1qr4ppTNaQBY308D61dvtS4grQKIfvOGlE9ZXv3Rb7oi +rD4NsPowwOoZGwtWH4PNz5jftLCqJ1Q1rD7qwypPBGTD6helOwZo0T8763uw +OkcYTVUMq8JoytFQhWDVF/37sOqJ/usFq56zfsorxYHVr8xi1caC1YM2O6wu +iZRMH1Zvh+3WDFjV7tAWVp3FquU8mRosnWc55lK9hNA/nH7nw6oe8h/P3dYx +vqtUkuwSqKhS5B+STTlDqULc30WoVTu4ndYUpOoO627IqrsSq+5CRRllA7Ah +prbFm52T/fj+XTxmla3XvflPdagHq8p2qx4LYOWUGQnQnCrbrBFGhXcK26l4 +iYFoijB6ZgFhFKgK39ZOtE0DFtpv5bQC7eI3ppW2P7BvvQB17CwcVR1/yYbG +eLgWcS/pQApInOlBCKKNnZDwCEdAOiIGrtx6PV62XVltpaHVHxuIjgwIYPUH +VkWbVdNqooCU+qpqhl9vh6Hf/2WHYct1mGLXgmy5jjRjVQU70FqSA61pbk0L +rPI3Wn1Xv6xGa8ghtQyzOtIAT/s/FhutV//gaP9zMavvjiqZ1SRCZTPrwCdU +hl6IWXttEczq5uaVY9b9Uszqj2BJwao7fiUNqhxmNWNXMWYdLCz7tEGVHa1K +GVQRs7bjS/kws2qDKqX5TzOrVFRpZtWaf2VQpTLr7/lQMesiZtYlzKyPR5j1 +NdisQZVl1hWCWddFmNXV/PvM2kox63tszf+uteb/Oqj53770g4ZW22D96bkd +aVAgza2zmFtvYW4tZ5D6gDFIzc+tr1D0qDGrMtz6vrLobwC3Su2/VFZJbl2o +udXR/n/ucKvW/mdxqzarImXVYTbDnrjVM6vK5Fat/d9E3Bq85K+IW7/2uNWW +TcOt8zctt3bN5FYumfXm1ntycKsa/ne5VaaaBASpK+K2/BSklzKoyjslMN6Z +EnAbrIdna/2xwbqjiKh3nfitC7/PrB29oVXNrHtyg3R3r6FKsFqAktwWb9oU +sTjjTZukM94J284euupWazthDKCnBaTKqodQWPnIqicEDgtOB6RxdQorp44j +zxp8EzSq6jZqN+6dYndcEyq8mQCVcCkCjKUMHc6FN5s2ANcV5xVwD4Btu4F9 +4xqrKtxvIsYCEFfHXP4dzkA2w2OzgAcpPIxI5xJFPrAV8DhO9jC8aliVxwSc +EQGPU6m56o0FyNHWKKNyQ/UifxTA8mmB+6VYABlR4TUCOC1gbaxB6Sk8FyqT +divSw2pMlh5tck5gFDVdLbVeHLEGCNkCBFNNM1yrNLGG0lBMuFSZ0QBTcrld +oIl1/CWBAS2fWK/1fakDxHprTmJd+B/KJ1BkmOrSe/CjSnslU583PbGy3Uq9 +iVX6UTeEWE8xxCrtVXyxwODVUigQdqnyiXVMhktVmFitSxUS67GCWLVLlfEA +gM31AGiuPAA4t1S6VGliXQCkupCJ9UEmVtelqtZ1qWJifZuJdaljqeoSa7ZL +VYhYRYfVEOu22cT6oiFWwNWdaCyARltDxHoTE+utgljvFMRq3aqkpX+YWJ9O +hUotdexV3+Qc03cFsX5AXgCv/14Q6x71I9aHQ25Vvf/puFWR9uqAz432ynGr +gs24VR0ccqva4HgBaGKVXgDYHNDEesGkgBeAKakq5zokFyhHrOVCpSZtDmK9 +O4NYH6ycWHsAsXariFhfyEmsyqUqN7EulcQ625bOQA6fzC1VxGrnWmUMSpZD +lXalduOfrd5KZ/K5AVJZWaXuTCugqqJWdxzArmNl0erezJOaVoOkykyKQ1o7 +IbDuWI16WNpLunPcShsPXAW01rUzI65qBsHvs/YSEqz+1DrG54inDyu9Uv1V +OQeAxH+QA6vHkucCvvZ41YDvBcZxS1BVkDqNTn/YLe9IIx7n8pXIdHIig9Mk +ouuK86vxrFnEvaRIJ8/tBh5AyNrEIGqePmuz0pirvgFSG3f5jzV4cBZxD7/8 +KemoOnSzeIV5Jnfu8IBWPEsHuQ+zsul6LE8KIMiaGVc9ISDcAqZxs/XcY1WX +cvoxGRAbbrIqAD2c0vYKClChTBZwZaoaiybtFfC+IqJswXRcsdnK7MpN1wLH +BozG4QBdU51mq9QOxJutbHpQm91sjeSiamyNjmOdZydaj5TYqqOo/Np7WQRb +ZzO2zsvA1ttCHYN/pGKo/i2x1de7bhJshXq7eqI3flXGumr1gWL5ymLrBGOu +2lHNU9ESlYutRwO2HsPYeuJabV3VsjQNtnP1JGsZbHWtq9QkawxbrXVVrWtd +lYGtq2Fbs7Gw9Z1KsXUnmgzY8GwbGmP9ZaMwq2sZEGDWJPk/kVQAi63Wwkpg +6y4iFWBPzEKNYCtNtMax9cEAtt4bwVbXMoCTAaTJKk+0XjXkJzZZ/Zmw9bKA +hdUlYwKyrCi2qk5AHmxNmayeY01WtYVV6Kp/XGidqhJslQl+ebH1gY8jStZN +ja2cBuCUzRi2ausqUTaXirLpYKvOP1WlM5jch6VzxXTVg4tNsory6SpaM4YD +VsvhACUKCFlWSYsAMcUqHFjkVIDUX3URnQHtT/UHobtqJ3g1xKo7URlG15Yj +12wPp/6j1mxXjc2EpBvcsS2pDVA2qx6wI/9AW8OuR5g5gfai2aplWTFuHShm +WNVcgGqyKtP/IWxXhS8kXgegmT8yq5oFUM3VXtxc7e7xakfNqivOU28ovLHY +RYc3uYAXKACgeLrsTNtF8Hzhtho/CkX6ukjf2W5gv3qMCQC+Xvs1/MaxV/0A +jxurg96v/j6pxtsifaMDUQ8NpF/BG16JarhF4Toc6hjeKaFWTxPQJIEE2rMF +0OrpATYd0OOuZnIgC2bD3VgNsgkhKjwpbLhS6TwUvyKUvfwQoNgrDtF7cB88 +XR28Qptq0vLvqLJd2hEbjJcrwKa2JBB5rKmpgpR8KzwJ60Cu745Vtj8bmCiY +cm56oiDq1Soh9/KIbEtDrtQexCD3Tl2tI5D7QF7IXQOQW1dPyJXjXBpyn6wQ +cpV4dmNBrr8cZuKuVsoEgfpCbkCu5UDu/qWoPytB7p7Gc+AoKJwacskPa632 +w/Igd11Lz3OgeWm2B7nac0BD7r0EuU2FH1ZTzw/LhdzXYbN+WBZyV9YDcv+x +vEXKD+vz3JC7A0Mujb/SJEGKc9tmcu5H9eLcckkCIciVk7AqSeB1gNzXPF+s +F/fSkGu9BwzkdopD7uIKIPc2H3LZe0DJtn6wkDskALnDy0DupGzITRkNerbX +5IsVgtxzGgC5V2aFsPw7Qe6DZSD3jgjk6pRACbnSrsVCriyd+4kJ2C7OBKxb +PvsGo66OzpgkcFMD06kBsi+rEwP6J0lbEyLozmn1iDCu6Mmu0Yyrp113FSOu +bQTfbs8ouy25ZR+1Zhv0Hl2zTRFutk564y7ZFqqtNS2nqR/Q3NuGf+kuYja2 +vderVcMF+J/P4l01VDDSGSjAU9JBrNHC0xWeuvA9oN7sSt2bVQMEqi/L/Vjg +XHxfse9Ok84KbNmX9xKaJsHPQZcPLgVi6/LBzGq4mUV79PWlpe0H9q8X7I6e ++xXQHCBNAQ9OvPlW7137XdJFXZpewxscxRhih5et5L7sc68YTsCK4DRxBe8i +lGmTAoQ2Le/CavRHtnnVrHteiHX15IFs2oqGLU/CErNi9QSaLSLXNhGEe5ki +XEu2ev1LqcAKNGa7jRrdkkw71mXaCwJM6zRu3TiXAgItnCdDU10hDxiqxYEo +wpBvdv4BWbxi+SHiVSiiB4yUNlCXEWfv+of1Kwzh7GLG2SUbE2ff3EQ4e9tG +w1l/rQzrcW+ux9L/xY0b4GZDvXE2baE1UVtoOfauu1BBVTjbmi20FM6eJnCW +3AfWxXFWuQ80F+4DwkIL8PV+xtmHGGcf83DWtdCqFRZaNY77gMTZfBZa9cBZ +x31gR8bZnerBsm4qVoRlEZMtzj4qcPYpx+n1A8JZV9iVxtllaSutPdSA7Evt +65xxA2ulpaxelc3rJ8pKi8OxXJzVwi4XZ+cf4LoQ3GastHLgLPZtdcn1rLQu +ibgQzBBdgo2Cs6HyWSnOhhJb5mXhLGthNwfOvropcFaatvhl85YIzl4bwVnX +uEVGDdhOwFkmudV1IPS7AUoPG05s8ccMwv3akSnXgZjdgLQa6GzKq+RYq9za +Q8wV/J5dC4lhk6RGYGxrJtWtkV9x8Avo45g1raqwMNNegcC2Cz/MwuwR8Nt8 +kFVDB6pxi9YzOLeLBrQqHsuHWD0ZO9xMxeKJRw8Z4PCGAtjjHIDFLrpt1J7N +wwTTGV5nGHA1wArvOA6YkPfEB5cV8AxaxM9DNTbyaQ89CeC0WhnAVtlu7XVf +4PHXFNec4aFj5rKz3ZxvE6LZpKM6TDW/anZFCvK4VTKrVnuhDMkfOEA6M3YE +OpZA8+rRbJ4l1Fw4ZEB9WY9VZ0lWVf1YmsZC/SuUyyKKYWtwTov2kqIa11Ib +PLnLefwgaWQUB1RUbWdWdWVrHYI19DrOGevi0IIWwYnZ85yJ2Q3pjqzCV/gd +OHhQMgir8bVs/c2DrzMj+HqNNdEaOy9nW2Fj4evTjK/PlcNXrsWbAV97LFVW +ht2XWWFtGF+VqDY06tUFju5uOfHVWSsz+KodYEc2EF870KW5NM9S+Lo9FMfW +bJ7VirwITiMvgpalsxlfZ6xDXVdL0nXNMl4EzUtzMvD1ngx8teZZCl9fBmx9 +9aNsfK2DbW0MX+sAX1cDvq5C86wK8JXQtX74+gvj6986zwR8vVGlFDg2sH82 +pgTrWNyl8FWJu9xWrLSBNeyaJP/bm5bdlPjKJlpdlS/BQz2sE6w20VponGBz +4OvANL5eK5xgTbZrwJcgiq+6KZATX/0uQDl8PWZ6YGLLCA1+Q/j6isbXpbnw +tReUzV4bDV/VlGxPMs62V/3djcAgH74Gp2RXqSlZ30Db4qufSjDRkceGEgns +qIHoxNZJQ23p+Bpqw3Yq2ZBWf8xgD47N3oXHC3T7dQeS03LbFbGUABWoZAor +bGEDFDkWoRV3O9M3cMEM2RYZV00i7EC/z4XXdiSGcDuwPdiNIA2ueCpRIVmj +Ved19UQxZTCFTk/4WuuJWOyMK8nWNL7MOE91WuE9xIln7OSo7upM5fQLp0n0 +oIBTJiBN92VXwZPEzwJezsAGBA+fDvzGNXhzNXx3+4MGxMVdQYCtth3YGz/X +0AJ/bfT1X1VjylIR95Bnr+cF5utwpnbMvG+SfdV0JR63s7+zbIs4hPMImmsB +l/D4N7MHzLRYL3ym1T1YM28Q4NnUnAH3XnE1SvZdRc9VcSx2XBW9YhfgarXB +S3j14J+Savr6qiFKjEAlFh5ZwB9SMwhF26FVErLDMT7LzB0oVZj1hYkMHLBq +QeYe6MUx6WBw9rHpfNiyzdnArEHQIjY2a+CQrTcVRmQrF8y+CZToL1IZB8Nl +kEwG2Q4Bsh38cJxsDyCyXV3a/4VVQLaqTP86ZKuEDHGy1Qbdrl1MmGzlNNip +TLYhl62jc5Dt8AjZ4qLYAFGGXZct7fuiyLYdT3VZxwJNticw2Z7OZHsObNM9 +sr3MI9vrBNkqx4KthMuWIlvrstVUuGz5ZNvYOBYoW1jfZUuR7fpMsm0uyDad +u/W5zDZ4S5Dt62gLm5NsnwayfSpGtrf4ZIvNVxdu7ws6boXh1teBabJ9A8jW +d9wCsm0LZLtz2rngpXbZZGsct7og2X4Scdz6BzkX3MeOW/ccoJ0L0mQbdi7I +SbYh54IA2ZrRLU9W65TR+pCtN6ZltAihNa0GkS2UTY4t3LRkK0rma7pk+mT7 +HGzPeGT7KGxs9PIOlM136kO2OhImJp3VZDvDI9tA6VxlydYO0sbcCiZHZgxc +Ga10KnAyX+tCTVnXVstS7X5ZVIvNVxdsd2SoRdXtNtR83RqoRBPtsTTt9Ts0 +6AYUOWHt7wqobqC9pCvZduN3j+VHW9RtzX3aHanzq8Rhe/Cgge7PduYhg16c +DWsRdzghrhqmxXMN9WVX676sGqJVoi8Xb/GNUpPPf6I3sROh7SW2B6uxdtlV +AmfxMmc2PPMeS+fgzdwa7ODTHt1XL7jl7mzTZqVRN3+GC8lFPPIawaGn9uDa +AFeXx9yokkdo05yLLby53M6TbKtnDbhfi/CEIEW9WqgSU6a7swWmTytnaGNM +yyIw4lnZn2WevZx59grVl6WKeRWBKtTPJiimTapK1zDdMs0S9BZ44CBCsv/p +kKzpIgRItuyYgR0xiGJsLGcmiLGiFju6MM/90ImTTWlyf0zZGTgYK3Rho25J +Y6xyQPwnYKyXciAx9sHfIsbeEMfYlEV3CGP9LG7EWNthkK4xPsYOAYyVQoah +no2B1d0O8jBW11xrvDVR2xjUaRsDjbE7Gow91sPYPwqMvWAd6sFaGj2Yj7E3 +wnaLwNj5AmMXMcYuYYx93MNYMt4CbH1NYOy7jLGujYHAWEDYjwBh/7I2Zhbb +Mp1u8N7WcCwDxAJXKozd1mDsNw7G7pAPY5fkwlh2jXXdt9YG3beQYZ+IMOxL +xn2rLMN6XgbEsO3zMazO6qqUYe9ghrVeBpZh521shvXdt6ZuOoadaPS0gYWt +3xDDdncY9tUIwz6VZth3NMOqeukyLHsYvBeJ3HYSYeyiVpcMk201kyXnZM80 +mbG9Mhy3dO3E2axBASGYiDhMkr0DcbG+2dYAJ4nb+hb4cwW6O6DctSbX7eXN +FPxeaL50U5YaskSgUwy3qmCZE2A7EVMSgVkLWIir0FSG9pJuNPh1Ij/qeP4p +zbJq5kBz7M7wP9iV5wz2JkeFiWbGQA3K4olCmRlIhh0pZgvUcCy+uPhi4wuP +FxHdBb/SYDOJvbA1q9qyanbgCrIGttw6m9r0dFFDEyeoFLwekfUGvLmxCgdS +aM/cdz2x7IH1ZtmRt30KoPJ5FR55jRBXCriXdMA7iWBI/IMTk3iE4vSk5lo8 +enG6UjMtNvdoBkHx7HioAhOgGujZA6QtmpU9W019niRmDmhG9gQVfeCw7CRF +iaY3O8r2Zn2OvfIQZNerqCmL3oXX0PYj3Af1tIjZMXiD8jeMkcGs7mv1d+E+ +fDQ5HgL2qt/SHH7jBuMlY/xkhjpztaYeC9ZVTl5FZTGjZAzwK6E4A097CTQF +rM/wl1zSjXskykoNlFvAUp2sNsXaH6QNOc0GITe1nBaB3HkRyL2VIRcnEQTk +pqK8KoDc/gy5fTc55MqmQx7IvY6Dvjcn5E4KBCf6kOu5y1YMudar6xiokRpy +T8kBudpddu461INt5Xl1KchdICDXmh40FV5dTaxXVw7IXQPbOobcsnowB3Jb +acj1GfdrwbjfAuM6crCXdjSeBz/JCNoI437sMe5HzLgflmHcOmJc6X3wZMCv +K8a4wq+rHOOyJuzZP+Rj3IfKMu6/yGH2TnaY1cYHNx8YZlysv9foJTKfcUMO +s2Ndh9kY456bl3E9v64sxvWTEXIx7ux6Mq5c3tpYjPsqM+5rG5txdbn0GdeG +yLglczaUSpk/m2bcmIzWasFOo/agnj7wpbQDUjqwyV7urKMDS5K9nNjZVJvW +JCD4TrI9PRdZOTe7D0sTsLL6fLsjzXlxbxY7sym2PZHTv09WTokFlOQWcBKs +Cgtx0pWGwk7lDcsz4e5ahbrHEixvzZqxbQXm7sYeXvtwq1ZPIyjEHW0Q91CC +frwGOGTVWNZ/HSFatCfS4HL3Vaez3uts9IPlyQPdmtVt2avo/VbXNnME0t5A +2cRwSgUwgk8KJs3SKRY/N7cmjdDpje7Tfm+3mMdtf9DAeqPuiD//AzDlsyrk +lEZ45BVxL9mP2IXCoXHDgxPQV2GvQl4UDmEbl8REeGBz+5ZYCQrA+Et/NmO2 +WhY2VbdtxSiCj7lYuEgCNsmOIMjxAxx81YiLIIqFEgumxlsFsLMRb6GmVuEi +GO3B6zBnsGLc2YZxqw3jXq06vTTAQM1cOAdeLlwRfL5N9XJFH/eiiAVCNFTB +t6ctkxce6uNm1WnpqxjE28sZb6+K4O11jLc3RvCW9bsjJN7eK5NqA3j7yG8R +b8vFLFq83S+Atybwq2K8dXW6UbzNsKK1hXhvtjvQnl47UE2cwnh7ImynGiva +ltaKtgzeup5eWylPr/XK7mCRY3fQVHh6pfH2TePp1Tju6VV/vA3qw74xfGvs +DnB8ABH3xxyI+/UZowTi3iQQ19oefJiyPUDEXZRC3NU5EFfZHrwOiPsmIO7b +HuJa2wPXklbZHrywl/X2enZfF3GfiCCu8faCLW17IBC3fwhx2ZKWvb1mC0ta +g7ghS9oQ4vreXl4ZDdkklkNcxzUmUjobhLg3BBBXLn1tkYjLVrQO4i4OIO78 +IOKq6a0sxL2MBjD9stnRdAXSTjFywLaPN2BrxxBiVgdhbdhwp3872A1L2JUW +6scGpGETUrO1+4pAL92+3TWAt62JQJFEpxq0bWXQ9hSm19O4uQAVGJjlTETc +sxB2YS/pQnX5dH7UqRzOiJyr2rlbU5tCtXJ3ov8BjpyhCe5EGkfoZEYR8DwR +5ttxRhom27coDsE3Q3kZnEceXUr+pdq22J/vptu1S+capu2BPX287jEsi+fW +2xFd76jFjw6SLZ1ztWfG7cCzB03JrQ8rWpSd/zdANziqCkgjjfHYoj2AWbya +xMMNSYWI5fbPDNiiiRP1cjXUzvta6crgcCYPBATaWT+RPGnCRRuoJOAK+RSe +rdUzCDRXK2CWzGjZz0DPHqBuAIkR6ZE0YTxDiytaatZA0Siuds1WXQF4SnOJ +XrFLgGUUNnjN4LaI3yjgY4h3k8bwYz+lgdY2bJWGrLVh2VnhXq21PwiwbDBq +wfjVlnKrHcrNI5CFlx6r1etqzLETfY6dGeDYq/2C7HHsTRkcO9/jWCrKf3UD +bCXHProxOTbkT/vrcmzIe8b3BE9zrJ0HS0cqjAhzbN0gngHTlTds26Vmvlzb +LsWxrYljybaLORaqZsC2q6XxOQhxrLXtUhx77/qQbVeaY18KcOx7zLFKKNYI +OLZRfp8DzbEfSI5V47RfBDlW2HaZOQSZWqvmEDTE/pKC2EsBYG9giL259Jc2 +WX4HOsXWQKz0O1idsu96Bhg2ZN/1eun9tkowZjlWBtmuLL2+K9p3rSbBmOZY +tO96YW/LsTZeQXHs4xGOXcwcK/0OSDDGgWC+R+3NsOloBetR+z37HQQ49jCx +BOZz7Dgx3tVAjvXLZypPMZNjZSpNBRxrLv8Fx97hcuzwfzuO1cG19eFYO07r +OB4Cx1rHwxNEm3aK0NmK8rkqvcrlam397G8UiMksxb7U0gyP0QqAdUZotZ9B +G7bT2o4B1vZmj+fkmpNUyxVQDQru/4V0WiB4JW6lPJtpqgg3QskuMCzW4z9y +oPhZXKNPZ549SfRsjyEFmmbZXcxIgu7VqnGEPrSs53PsEObYgWRvcCy92OiV +pkZopxllmGLYmaY3i8uXil+v536sZtfbiFHVhwTPr3cVcBAbb+4GOqN+P32Y +7qGv7ingXQi39OnSn7C7StsPqgBuGxm4PXzBX/kAo4vFJggnSQd13XiP0rfj +hschIsxIgl0PdG/WkKu7tmpAQQPuBABcRCsaTJiuMMwMJUi45aQFbGXSYG2o +SztMdGixOztEdmdVO3augllcAoP7oLo2Qk1uAfsFRfyGAt99Vd8AAxgphNES +rlGSsVeCMbEd5uGtEI/J8TAnTazMuG2W3EHiLdTmAhbnZL0pz0GyLWdOK8l2 +lrZCEGRrrBAiZMvthpGeFcIIMTVWb7J9hsn2+U1EtinVQ/3ItqufLS6sw2l1 +RpCttKGx5fkkUZ4rIduAUIw1u2MiZDtRGtLWtTc9BCVd2J4cvPDq/njuFZzG +VVNbICiybWEsEJSDVwvl4LUOhWJbeRYIabJVFghNPAevJtbBC0j29TxkS9G2 ++cg2LRTbhsm2tSXbN7aF8gBcC3hZjmw3CLL9T49sP2Gy/bgispVWCHLS1mKt +a+OVxlp30vaDtA/C7q4rbTmsfYyxNuVKWwZrXbUY59wy1iq12PeOjRdi7RWH +Ck9wv5zGXGknV4C1vo1XDGv18FY5rPUTw/xVrd8U1vKK1hvP58fadwFrA/Uy +jrWubUw3Sgnz/A8yh7bOzLDuOtYkhAWjFlbFFWLDPYXYqNWDkmR3MV3bz1vo +6ulM1k6Qk7VrtFuXnDrQVLst2RUc41HtidxY1e3YM7jc/pGbCYixBZz8Aoo5 +l0vweXTf9LUti7S7H33jHLapwR/SpHsaTyjoru0xJCTbjh1rd+WJhH1ZONZd +Ddyu7k/t6RGGcEfT66enEPpRl/ZkGqBDS5/O8D5Rh3aFolu8XFEDtXO4M6vJ +9lb6bCiqvUt9ZgBbEV4XwJPDzxKeg2GD++CiqQpPy7RXoDur+SrqXhrs3n7Q +IBpEqLRzO2zhJ/Drh933tyLOsRdx4biIXEJ7cMGAw+04L4nIgoch4gsekmhR +Qg27O7mti6RL7dwvldRsnke5aJXA47dYRmgeYVqEcI+x47ap1u0wbtseyrMH +Q1TLFmPC53KbFksqlla0mbmBtm8bYb0t4leEuwUi30bGhEYHjTPrFkhu1oYa +uWyYoCzBZE3WEwnSI6FMB9ePx+EOrkZcY1xbjwZuvRy/Zv4cCBlzTcR1Nu7o +6xuAuIsk4rolOxNxX9jIiPtOCHEX1BNxRajjiosDiHuOQFxeSTNiiFjmguew +WC/E7RtAXOvyZRF3F67F29HSmEbck9doly8XcS9ixLVeCC2Uy5dAXOuFsJVy ++YJNunw9wohrXb7SiKu9EBBxlwnExSzctZy3kM+kNgfiOiKy7T0RWRhxf0HE +fQoQ98kQ4t4oEDcuJstAXGzkxs1qXU+E99uEKDfg9qUpt52m3LVO9oKlXHb7 +4vwFpNwlAcpdmEG5d4Qo9yCXco2ejCn38qFpPdmlvttXiHKPYutvP8omo3wG +KTegw80um64GN7zmVSnlQtm89+9bGOU+ApsumYtVyXw33BXo9V7aHDFEueEs +3HDZlDO2es0rbSOTjqvRjVtFuY6GLOLuZY0StbNXrHHrGtJqvNUzXbvw1IES +jKmB2lZm4uCENaZhG0RbhFpBtdOJav9EpIsVeIba4Cu4LeBdSWeaD5vOP3Au +E+8f+Xefxj1dtE+YQuK17Wm9TrmBqV6ukpb1BsrtR68BviaacgfDa6hnEfA1 +x1MXnsrIqwLeL3zvVP/2CpaLzeVZWk23d/DnQ/dn4XODp1z4HPWihQDcHkDW +fWdxI/yYIdguLtCdVfRtfOj2Bx9cn5GEoQ98DC8foEcBhyALCCBFHIUEbsaD +6pDFajuUNmRgeFTSgQ48PADRywkPRsW8f1MH6XzLuyikp66uZF0sBFgULrXd +XLxsPk7P3Z5uORc7mnreFgsboiJe1lMX93C29+L5WhQm4PSW5Vtg2kHEtVhg +4YW4aSAQ7k0DvyngXtKkdJP6Dm1IwFiCr2cyxohytqcpIPDCGce0dlnlS5XZ +Sy2XrrexiYWQIuL86ORtQFfWEBMwBt7w1K3v0fgT+bj5U7foiWFEZR7s+ta2 +mbD7wCeRyg2w+0Q52F2+iWEXj8a7NhrsdjRVG8fG9HLb6QJ2pSriWAO7eqnN +wm5oXCwLdvt5sJu2tFWwuztX5p0YdrdmMVkYdmdkwO5165obMZkPu/cx7C4u +A7svB2D3fYZdJSYLwW4twG4TgN2mALvNApa2AdjlKQUXdrfzYReBMzfvPgS8 +e7rm3evt1G0bO3VreXd+kHelAZhq6T4mzBN02FjaAEyFjUnzhPczYHd1xABs +vbW2NbD7MU0raNh9CGHXCMvCsOubJxjYHShgVyfqMuxeJUtqTtiVK2MGdrU0 +N0f5TMFuqE/geSdK2B0fgt0rvWWwLRJ23yFL2/rD7gMKUt4Nl0wFu27JVAm6 +adjtEiyb0w3sBu1sTXquHvA6PmX6FbaytWKFtG9iSI/bP0n2MJO24zxZrh5S +mOQMKewpKmpb40Mjp2v1ZC1W11N5vsBy7u+oM3suc+ufuL2AdosXqCycAvou +Jt2oCF8stotoU2NkGHN+Af/odIO+qpDjnzuZnBaws9yaFvHUOO6epUlr/kDN +XXyaqJ/DV0Ij76ECefEktT+ctPAkhu9ORxq7vUCN3JK717VkLaSaufBJoEau +Rl38xCxkxH1AfaLefqiAK6x483ARP2wFXESgvaQx3Inrrw/zdCFHOb/zEKDv +4PoMLKDYhxj3ITqeCth0gx/B1eUhD+MK80cAwXjbQd235C902KFdKf4oITEQ +DJIMEo3GYGz70pDDnYC/cFhjZhW5iwn8HXfZzzTMMPmCDURjx/KULqHvKYy+ +Uxl9eYAByx6WwFnDVWnUrd1reBIXYZVauqqVmyDkYgoFltxbVPmF1xBuC2jF +mPyOjWxsWs4NpgmhpsgEAWvjBeEsRnVaJT/AudEI0HKIz7JavaZ2B9DXb1bo +JTmNv6FB3bzoa0wchf/t2MCgrkTfVM4u1fEA+jpLc391Mnaj6PtkOfQNmDhu +QehrAiJXyoDI8ugrmxVx9LUTZqgCxqU4XHYy6TneZFna87YTFGlA3zXS81aj +77YGfbE4n7JGe97+znreMvrO9NF3vTYLk+jbzJqFwSZ9FBB9XbOwJsosDDbt +o/C28VHIRl+/x+uahZVB37el520KfV3ufX5HoTLbCccYEI01+v4no+/fK0Zf +6X2rfcOWeJ4KWej7WkXo+8qedlBXo6+aaAD07SBSHToL9A0JznxPhYag75B0 +NyGFvp5v2AUx79tfHX1/sMtjVDJ/a+j7LGxP50ff91wvhTj6eroGSnJIl82O +5Hdr0df63Z4hvMLSA7rpFIdJjl340LIiMz2cK6PJJfOmzMGSpI2IddSuNLuw +5EFir55eUF4Jsr17VgbyMu4azr1kXYsCdh2KWH8Bb2ZxJcav6BvJvhQTeRGj +MkZHavadxn/vlLUKv9X47k401DBpTXtu9XZTI7vwEuBLMgxeIsW8E+mlRWth +lEd3p+jcc+hKBe0TNO9iOx/fdjxratZVQwoL1ZmWZDFLaDCm11uP4kergH4d +OJNLnzQ8ST+J8w1vPon3vfmUuY8eQQ/Gm8fo60dL2yn6rSysDPB38MPr8Tgq +4IEEDyU3J+XoBH8cbqtLBz22nvaSjnSg4SPwoMODD5OoCIYftBCMMxB40OLB +i3I2PKhH3/o5wS+mYZFcDQrEBGCsSRdtoMUjLDI4wYuFyAHfo+xcAxY+mmkw +0EsWYVQ851ngJTWvhl1URtx24FfF0m0D4Ob2AWqvgMW4gN9MdjM1OYXAgywC +a/ydo/FX1GqSrR3qtiqierXQpIOq2wVkXziF+paPqfKdc8qhIjcxn3xn5Sff +MVTGv1SRvLd9HizjknyHhcgXB3kpzwzK+GPrSgMrIt/3NyH5/rnB5Nsxg3yx +hPcuS74hjbBNe9BDaJZ8BwXIt3cq7YHaFGvSNrmKfFs55HsGtyPO0RYLa1tS +DXYtFlqU5nrkezuQ7p1MvspBrJnnINYk6CAWIt+ljoOYGuDNS740wOvb5L7v +Wyy45CssFsqRbwb23pCK5P2QB3nXC58Fxl4k6DXCaqE8+b7guIlZ8nWtFt5q +u5ysFpzUB0+iJskXpxye6ijdxGLk+3eHfO/tayN5HfIdEHHMleQ7OEK+IasF +NyZys5Gv0T/EyPeyCPnO+TbiTvNbIN8n60m+t1ZAvjNV0sMKm2HWMTPp4dRU +2eznTDfEBsPGioavK0uz1mGh8hmTpGlL3L0dP4Uj2YxRrZ+1pk6vRl7tnyC7 +vNiKPRenGZrSktqfuLdwwVqVqXMxB55fyhV3luo3YM8NGfgKvLmS95IOVJAv +44ddSrCswHkGAzUW8TN5rkI1fdVEL7Y/Jtbtww3fXsT9I+sOphMMnnAOhlcS +X92+8GrjFHU3nDlZMZ3mUvBdRE8FDb3qE3CXae4q4H2Iu7aPKl86/GQh1b75 +NFHuM0S5z/Jyw3NJNd7iN55V323En0d9NfYkEO+Q/P3eagO8Bz22lijjoMfX +wR8e+MQ6eDwePjhXCVvSGA+kAu3ui9+mZhwwsIJfBF/AFez+YueXur4IvAtU +txelbNgERDTCxR70ZRh/+Y+liZf8TLUD6wk2JjXo4vQV1ipc90capO7uSDYP +U764DuRi7bxRAi7U19tpw1r7ZQE1wdVYeWmPkLeAyJu0ohJMDeCBKoDnJjPx +IGD3YDeMR485XGXNzJU3Q8lp8/p+j1lLc36Qrx/i67vmkuqiQk+x6ExaCHID +M2kGcq/LAbl3pZUXDuQulpD7EV0+acg9MAC5WLF7OpC7rB6QK30f80CuVl00 +HHJdIbELuX1zQK7MgjjMgdxyVTptk+tC7m4lmwXRmmbNjuUmxKkMudNUJc6A +3OYEudfDpv0XHMj9EFVqMcitBcittT5iKcitMZC7knzEXMjNpVJb/jvXRywA +udp/4VsJuQy4P7y4A400uJDbxodcBFXFuZcIzhXZvW1vM4K19QE/sTjkPu74 +icUhN+0n9pbwE9M+DAS5e8Yh9+mO0k/s47CfWM8Q5H7m+okJy9xbhGXu9ZVA +rhgU8/3EGgy5vp9YDHJlbyC0KlYOcuduqZD7xiaE3JtJfl855OqSea4XBXEG +R0H4I7wyyuxohtwjvFWxtEhtmBNjplq7IynC7ECR/eCP73ZNWzEaJQQc/jsY +cZryC1NWuFqUpqd2NePqli6P6ap2Li+e+WB7OWuCryTAvYpau1dD1b1GbfAV +1OCkE3+ttMNX8c9czr8DfydqjM/ndu9ZLJA7nqZ6t6WW9KS6dvAUO1LUBb4E +6OiDL5Mi3Ul0ZsJrC9KprTgHtguoQ48JHy7lzue27iIiXPXxYboFVu0JHzF1 +Zn6ebD/UR++lWvwcJlXsBvKi+tabLxTwccTBz5W2PfiQeknUBjy1Bl45vK2m +A6X/03WlfsAXyBgHPFsH3+v77OpGiBu0l+wD31lNj8BH4qwlgjAyLx522JbD +iQdiXThEcXYTxWzU1EXOvf4rOvTRlmU8UBWSFrYZkcoM40JpwnKFEwymkQvl +7vLDsHuqm7hqUBeBFC0ZuXGruLb/l6U/9/uigLKJAhbdYumuAz6nPboPXsc/ +c7uBWg4Iv1CO4SSls3pkj9dF3u+d/u5sgbxOfs/QQG/XH2vwE37h2c4ITPTK +TLSQj65WGcfyfCriXTSCvMTlXZO4Lnl3ToB3b2LexWleXbzZd4wS15F3FzDv +Lvyt8q5bvPPx7vnRdbkegnf7MO+642i+8WOoM1GOd2XepOubq2TEexmNxZHG +N1fx7nGsqThVVGbjyrBWtRlUFW4OVbW5cmXg+F6fd+8WvGtdGRTvPsG8+yzz +7kvMu9qVQUnWwrxbkSsD8u4y4F1g3c+AdYVvLjKqYznGsRA+8qaneNsw8rYt +/YK4+wRsD2JfdyTz7nVleFer18IGDXHetdI165+r43wl774X9R2rhHcfF7z7 +sM+7nkGD5t35zLvaoOHWAaq0hnhX+uc6vHtYDt7V0rV68q5sFZx4VrhsptbC +Ngbv3sS8e+uvyLuvA+++/gZF+PZ4/ZUM3n2clo17e2Y2Ud59/3Y09hcl83qO +BJjDia1CsvaBL1mTvOtP8Z6e8swNm9l4U7yrM+VqCXqpBl3H4z1dGYJO07t1 +e3pGDDsQPxrWXdvKVFPLui0N6+pG7oU8sqA59zKRLolVVvPttVxx56xvjl6q +65sXsfjSXtKBxspm8yOuofqsqFcTLw45nEd6tpbUz1CODTvA/3pXGnEbD88Q +nzWeRzAQbiiTLr7AveFFxzej84rp8MZdTG8kjmdjBgiNcMO5k3JE317MAwuP +l3q+9RTT7fOlHvAB6wHn5R5wfsbzNH74ur/+OoAZfBSBV/ECDD+U+FWBbpuY +nGm1vUof1h70YX2ZzvHbDj4kf3e3mcFfPE7UsbKaIlb7PK+PGbV+jEZQPV9c +WY0HULIvkUfPF9WG38KH7A9bHzjUgI6Jiw0TP6b6v3io4rADapjwsMaeLzq0 +UsgEDjdAycBSgmtGqLvCMoSdTCxbONiK9uBY7rBrihZgVx6qTBmQQnGI4Sbu +7d4GJZbYF0ouKoaJd+cj+UIxhpcBBRb3qA1eVfgKXu+7ObFSTZ8RJH8BDzWC +4/5fJhaOuWOh+8EGjm0ln+tVcyNE9sHYn/cNBQYHGsHp6r6h4mmHE7xluyzb +srjETUHxOMeyzFb48lD8qQvF96ahGJcMhjhQvJ6WF/CjtWVCsStKdiq8A8X+ +kl05KHarexyKQ3Y7ISiWCZa9ykDxriTEOIpKeWuaRjuehb/WqiwMxVdwqQ5B +8R0Aw3cxFN8bgGIrbaulMGDj4xCAYuvjoKB4bSVQHJpyYBPeL61VGTVwzaCD +zgN2ofgnkwfchqBYSdvaqh4wQfHuuaE4nQ+80Mz5xl3LpJ/D8wDFIT8HPx9Y +QPEuDMWea9nzewegGP0cOn1sstM0FC8JQLGVuAko7ieh+CuGYteMd24qVILN +IMtB8bgAFLNr2XRWCEso1v0Es34WK5sBZXBoQGzChRFpREOh+C4fiv+WQxGc +AcUvRqD49fpA8cOsKlrMUCwy1N9jH4f3PSheWikUz0hBsbNuttLO9/YtM987 +2DHfdTN4AqrgBANiy4z3qh5wJ2H2uDcZfk125np3MImTU0XzVwPxWcLSPNT4 +9WH4ao5bn82R63PZMec6teQG5AI1txpT2Gkv2YcW4ubxI2fzb7iCfzP+lT+x +pZlq/+L/VA06TADMx2eKlwT4wuB5Bs89+OKinrAzvBn4BuGbpoIibmQQvkdd +IWGrF1cL3mQIfuMFgtceb7yK4EvnZ1x5wHM1XJU1wRM3YBheoKnT91vEwW8m +1fy5hFP5G6+VWg8+tIKeby1Bb7FZMyLW3hpu4RjoQdtyxAf4OwAQ8PNd4Zjo +AhgBG3Bil1eXFmh3H2ILPGC6A2f0AN7oCT/YG3AYDyrkEWwLY+Nu0GPr6GBE +lsGRB0JfbAPf/IWKEsYWMBSJI2dsIA4z2AvFCQsWtX5HqSYqzu9eM+RHiopA +3sSWL46J3dH/q9KdUEhxfAwRViPugr7/KpQW9PmsCksv7cEzWuDy7wH/IjqG +Uxv+tCrJuix/aWk31QpWfg+yDXytLNOB9TpDuyPTkoxUDEWMdDksODryoI0m +c7aAU3O9weiJn8nMAc0mx5GZw3duhFpu0nVjJ4b58WllSLffb4Z0RdxEcDgt +RLqnBkh3ak7STVvuWNI90CFdm9Pe1SNd6VimSXcbQ7onZ5CuNXFobuqwJt2b +hZLtLq1k+xBNHJoJx7KmQsmmSFfP82aRLiYC14VIFyj3Y6DcT8w8r2/ikCJd +qJpfvLNNAUEXCoFy5Y1x7o6Wc2nWoY3jyIuc+19P7MycOyrKuX9p62YExzhX +WznUeVYOknN9PdtSbeUQ49ydmXN3Zc7dQ/qWrUv5lmVybg9l5SA59z7k3D5W +zxbj3BsN537HvmW6XWDdcYKhE8i5ozfIsPWgb5nh3KkZnKuLZgbnxsLTDOeG +wtN8zr22Hpw7fyNxrs7oeXlTcO6iCOcC9qCICQvm0vpwrgqa6MCBaamCufJU +Z70sNs07KGjf4GrYDrdFM0k6khGtny8Ra/xO4savdeDdVWjXthWujzayRzFu +yxTjyobvrAjfzuXaev165Qh5I0f6QJ0toHiiiH2FIpbc5A/UYriFbSNv5IKM +PzyHWxKXM1CjyO1sAnDsUG9LXWvsZONJAk8cyP8oHLGoeyq8R/CeLL+o1HXZ +VSpL4r3bGHMXca/3CUbcF+kzpfAWh2qQZeFzhydr+AySCxOQZgFulwNh0ufz +lQ/0VqRvNuLz+1L+2L6vfsVr7xaQjbFT/PrbQMFDwxRchftNiH1rmH23omMB +4aH7K8r2FP4vyLyvvo//ByOLx+0d/CNvI4bDH+kGT6EbYTh8leytWIPmhWCD +H+sOT6v7K0sNFeNhh71kZBfkGNTI4aGL3IMkTBYPOPQL5WH8rJ9KR174M5Ub +nLs68yQ15oolDUdjZ46Akjj0ZyqRWC6xfGIpvR3pl8j3i9I9UG4RcLH83oul +eP9P4f8KRRn+//fD11Sie/8TvsLbkirXXLLv5bKtAdk48bAwQ9pPaqN13QnG +tbwbzXqeaF0c/KPLxdgBxs03+I0ZryMXh0aBRRsjaHQWsqWMMHG5Eq/HIsZd +7DGxHosIxQojE2PY3g1fBiLgPSa+O8DEi/xI4TQT4wIDjeg8q9YriIlftEzc +5TfFxH6UsI18x4Eqn4nTWZg5XXxXx4IqtDRZGJutcV18j6KgijQTn8WWkMbd +IcDEc9ZpjVvzsLsDxwgvNu4O2Uz8BhubKXeHMBNLF1+/8wtMjN3cMlhsJoB9 +gweHimkC2I8RDlPxfwEVf0Pd34uBiucZl4e/eHI3HStcbgzYp+KVTMUrUi4P +OrfCp+L3YVtWemtnpXV7I6B1ezHk8iBihQ0Vo5tvN6Di7n8rPdQjbXDmU7Gr +dfvKcfO9gY10fJcHs4gWy6zI4ear/dCJirGVoMtmJVSsF80Ck2ST/EmyiqgY +PSG/ykfFC5iKF24cKu5alopf4lnM5zYCFd9Cq+Q9xfhvj6Wz2eHVjV/rrHMq +dMk0VBwomRmplQe66etJ0lfEVMQsIYeKiApVOUcHO7+uce8kJ8BSB7HvxBVU +rZ8dxzkUp3hjEJqIZ6zTYZa246sDLUM0LEiYwPc2Dmu/gy0kodoWcQKtgH0I +IDccRsMKjGtwt/Pjb2aivo6HJnDMYiYNSbQgQD6FxB/blY6q252eJw7TYZcF +h+8GwWuJFyC94OKkKw5EUA94Dl0A0RkTFwTgzNoTPjbU9yUoflP1ehE4kRpf +/oD6qL1ewrGCFRhJ8cLKKvykFnEv2UrMIqzglu1yhbEvMzJTV1bp27cefBgB +cRMDwVlt4RamLax/RzfD2ar93I0OiDd5JON1MYrxKvxPe7zxKt68UsS9ZDdq +TPcg6H+D5KLqeb5b6vHK+6WeLy+jXjGOS+AhiVSD48JDsT8MhzeSEg6WjodS +ceRFPwGdbSAiPutE1QnFsoYl7/Jhqh+MZRLpE8sollQsr1hmqevL8AtVGHsU +UJHhP4u3VeS3/oDdivgAuHsRPNQU7f0/LeCvwb6xjYRPnJYxDxDfznVcyzlu +FlPDTkQ8x7x5ArlU5kUoKj7UJk5NCmdEuWWOu8UGIrSDjzclPM4PuJA4bKaE +tYOPMD27yZd41BOHH/nvgMNnlsVhvarnmJ2lsofK4bB0Y+8Z9PlVLQ5t+aCK +uV7CO4Fx+HTSJrc0ZmdajFwOh28XOLwgA4efCuDwax/VemZn0vJB4fA6g8ON +yec3jcOu2dmnyMJLgYXfbyWng5mFt0UW9ochjOtDHhx+AnD4cYvD/wAc/g/C +4evcgOIgDt8tcHihh8O+9YOaEnZx+CUPh11V3NsBVdyru69yrB9eMFPCtlHs +4zCFWwhVXB4cvlPg8K0BHJ4XND37ORVuMTPk9zs+A4cjnpEywu30GA77jjkV +4vC4KA5/I3D4y8pw+P7643B3wOGuFePwMw3C4Z4BHDaCigAOd/JwuKOXA+QH +WoSSiENhFr6I2J0fc8omTgrH4oDGC4+zSSbDQlpF2tRLdHk4TlTQ0zwUttPA +FoVlY/harqbz1mkMVuNltxjdhc16Rxf1+WrcDAjmHrgtUrW9V21wH97uQ/fd +w2Np8/ln7+Dfh7/7Op46xv/HhWtbUPPjVDgTHIu5F3Xt4HXoCi/RABIRHkxY +jKZn8LYsvwDexqt4LGK+6hW/9Rj1iXEMgj5er75jELHni8vVZC2PFNCQ7jNK +l9bv6boq/PwmLXHXStVwjVjP8T6/2s7wqvldxcwvK2beRvFxvqmJrSwe65QX +xuEe+qB441U1ycyTyD3feBFeUThE4PfhSgpp+d58Dr9ChwqU7O2hgIMFfj1e +f5lgBF8GAhS4KujxyjL6vx/wbF3pQACdwUDJNEUBR/+oG78sjbvmu9IRl/5Y +mnreBqpMfzxeVTaERWwOXH2I6hXfxHSMVfZuIuNPSZuMGmXEYKzOD/b8ew2W +6kLpQbyBu+AUpxnZrO9ZMKZfskC498wPNIotEcfLubIH/insY+n7RfDaXygN +4/xA6Lw1RAMi9nRz5dI5o4JndPWJ0fClZWh4TtgCeFTKAnhLp2E757YpaVgK +nZ3SHqDhAZk0HBJ9hGjYD5UPWP/WSetfTcPbOTR8iqBhquNsKIm+OtYbormp +3z4NSwO0hR4NPwrbE0zDjlbuI0XDb0ZoeDVsqJVbD1uOsWAkXO2BpoC4lQfE +rSUQOyRMjeFX1LhEbhpeDDR8mkvDtkEsaTgUanyf0MwtFuPBSMNuqLGiYV8z +p0KNozTcNkDDe5anYZ2A4RuhLe75N7YATtPw3fWgYWd97dANwfDMlORYrKvl +stdJZ8FnKioqpuHLtZpiS6RhVS67YrnMRcPa+jdGw6Jcslau5/vCM1LT8DKg +YZYXd2Ma7hqlYRXv5tMw2f5y4kX5seDJhGxDVk9Ikv29yeBQ1RysxiVWD4Sq +qSun7/jbRQQW78NWv3vyqIRUGuvMoFYpEj7HW16zimNroK4bwtcJkcUtohGs +coTskpsm3/s+bFbAeTTs/HGO5gOq2BZwJ2lPdy/ioTUcXlvAbHwn/2b8S8jf +2N24GHj4nLX4DNDhoi0ZYeArgjMmuEhJLLxiWqk7vHHdMK0YL4Hg/NkTORgo +kQCQWqVL1UgtnLcRZpXTwhr6oKImnh3GgCYHPbaugFL5An1dTWGv+F2lnEcS +WAsciqjcmImgjvFYfczxL7SuBIVVp7gKULjHaxZ9e1rsZXDQGTDP2uvDN59C +07a3nqzBgyPZRbq5EWUYVSAq+157s9Tz1XfhVVhGCD/gScZfICEMxR0755vS +EbN+KE2dvoGW9qcdpyjw0lFQBg/9mcYPsGTewQ1hjbxYdlGdQaT7cPe/FnCe +DZ4ort9h0wL+TdR9JSrZtKZH63pQumltj9M6hcIDMfr+/XUpt0qPew7gcu6n +d4pJOLesf59SfVwtx4kjuciZPeLJgVFiv0ccSPKMEXGW+mOyNxUXJGIn3Kg8 +EY8SRKzVHy4R6/DjLYiI39UpnpuXiH1XdzkNZ2TQjqN7LMhoSFD/nF7w8zsc +erFvx9LRQMRTqCtgF/rOyEnEc9dpt7Tmpoth3COYiB9gt7SHM4m4MbmlaSJ+ +T1gCr8wmYqRfB4oDoxJpA4nWAfeI7ZmId3AGiI17xLOwAQ1vkGZpKSK+CIh4 +boCIbwlmIK9zMuF8wdwjnmDuaScAWRGxFcy5RCwGiTUR7wJEvFv9iPiRFBH/ +3ZRSXUZd17QAEWtr4IPKEPHQHEQsXdOiJXPjEHFoSS1KxKkITUXEckmtPkR8 +6IOsunhYOalvFCLGdd83soj4MdgeyUnEolxiChiWS0wECwUe4wDxB2qAuNNy +N/C4Y8hFfeWpTMRBg8kkGSiqphowy52eyTnHViTnDw93dgVygV7C0VocJ9wi +pKG6jBKSNCzHI+xoRHMzFiFJWFdTLT2+36IvVdaHqN/QBCjpwybVGDiU7EHV +dgl/Hx+LP4M/P5/GKJqVbli3Ff39S2lkAnsh6J62Bzzn7vD6HAxnn3H0Uu+/ +4txSz2VXAP/ChQ4uC7z9WKkH0B99hF55Dz5qy53BWaTaQeykYCIklvylGq/o +klY0PTB4CdtH0clfX+NRJgV9ql0oXmM0RfgJ3/bgCgC4pbGN6Ckv/ai/+yyz +gc7d0JeAjzEnoM/bw5hLtwQN21AP+A5uDyIXw+1ucN+D6n7aOOmDzY9pdgTx +AzWDyN6vvgcv0nJKPRgMx/IwONZRhTX58u9KUxCLAeHOOQbrG+Dj4T9SJxg1 +c3/u9yVN+WIzd7FCYcJcQuAun6CrZQEX8gro+QPnQRR/kPtP54+Ntbta41Pr +fI9oTNaOQLzet7jX302Xw677eU1jIYRGVJcN45u80KN5nuGaDNK4LE/AvV/j +ZXZcOYVdYHTiJM8pKBWXHOh8jL8o7BQUxeLrNBZ/RWbCozx13UiJxfcwFkux +yOJPthAsXlRvLO4msLhLEIu1GPrsdM6ng8VpkYiPxf763zAWiKgCH7IF0u0O +XxmisVjOwFksPm6NW9inCSxWi30t2T+ihfWPWOf7R1gsvldgsfWPUFj8dACL +XVWdwmLtH1EH21qFxYjBmb3iFBa3SltIOEEZ2Vj8E2Dxz89bD2GJxf8VxeJ5 +bl5cEIvnCyy+z8PihzwfiSc8H4kQFkf0dQKLbVSy9ZHw9XVPGX2dGp1ImavB +ps2EqcPQx667OWbC/a2Z8M3STPig74LmathRKIvFY11vyqCZ8MbGYt1JuMDN +ikth8RU/uGtrESzWwouGYPHBmxWLl6iQL1pX20hYvFxi8fkcjawniKe5BsIr +HQPhJDnE8RCWnmqufURsakJokaPNhO5iepibxHVWe6E9I9BETY9LnBhD4nU2 +WH6WaCroobN5geawHo/QOHzfem3H3syg8BLRaHiMrdmfUPqMAnYdilhjk92p +AfEkb/gYtLV8mJF64fqmhN1YuecaRG5ZOomwv2NpFFwuDIHXEJs3+y//U6nX +0tmlnvCW94SzKHZVe736ZqnXS8tKfZ5bSR/B/6+984C2qrjiPp9J7I1io1ex +0XtHOkqRIggoIEWkWOmIiICAUkRAQEG69KIiCtI7Dx68AqgoKA8RESlWNGt9 +a+1v7yln9syZc+99iCX5krWOUWKM8d6353f2/Est/ErWUUG7okmNwnZVmxp+ +lbP8iy6Ls2QXX2vxayI38FNJBAtMfqBgZ/1V12+BRAgrDCHcUuf+TERMZA8A +uaIA4/f19tcAsYFhVfGxkF0nz1dXyqQYmkNkvH32v+inIEtemZqMCFFJ9IPM +F//FIFtO+A3XQsV1W6DSmiSogbDfAP/PEyq1GfUNdOx/DnrgTOqHyPfiA+fg +lYanBWbSZRvpGRZUOCrcG4S0y3EW00x+p8QhmtFXkBH6MlpiZLkqmNd6lbGC +kJmhMGIw/k3j9MazM2g84tI3XndvZQHZc1yGwnsQmNUpuwpib9ZwjG4NXp3c +J4YMLtY8Fw1I2kgXseaw8Jcrh9mao+VIB3+Zkc5c/NlGuhD+zvrc45Wm7u0o +/E1D/E39k/B3rvj+Xgz+lvHir8kCKu7B33AWUFTFPfV8to7EX9sGEg9/je6t +LcPfhyPwl9/yiVCJuPhrLB7T1B5jpsLfeQx/lyr8fUfhr45PEwY6hb9bvPjL +6pHdrbAVKnF9PPYldE4Yf4ONcE6RJ8wrNH5A/P2R8HdFHvhpOeLv24S/TRF/ +n0P8HaPwd7zdG5dgXXJK0KexJFAO2/j7fihGTWglvPi7G/HXV5ecAh+xeAlt +pFt1T2z8pVGsNwl2jNqXdowaz+sJ4iWopehbU5ecGfxtFoG/bTzyMoa/Wl7m +vUjjXRoXhb/fZxp/W3DfsTeK/Y/G362BX+ji8HdepvC3nIu/e1jipMDfQQZ/ +lcmCErvKIP6KbcG+XpasTG4LtEaigyMrc6PTdOJksA7OkqWS2QinuLbjKsFG +WPosnI1wir00eESgr7pLw0ery57i2ghmmNOTM1CYHfAlskvsncEmKFea6SUC +Fc0vN7grpukqsVAQUxVJB+fqP8iOkaWg2C6sUc+Hwqgh/+R31F9kkeJg2lq8 +iv/jwwUD58T/Y7fjP5wK+M+zifjnXiXpOaiER2EFPDaFPHj9NqjyISLduyni ++K4nyiU+FXJIysWmCi36LjeeKb7X/yIeyJIDGr91RNbIzpItW43nqDvjQEnJ +4qcIhxcaHDYonA631s7EevhmQ78CCGziNanZ+t5Df8s1GMxk3/Y3TZvsjmn/ +wt9MzZJLNYhMU//RdGUvnKMshkvk/+yGdVDtgx34/yBNMFGrMScE/z7xGA21 +czC6wRmYVOukuF6j4UoDl1iWhjF12NN+Aoc0fro4rvH/5gd3ySs9/UfpeLiZ +PYbJCpLXfIcsPuZsbPIxtSWEXflpLnZd0tWd/UbQCHrKCmGT+UGyMEkM+Aay +Dc9dCwedc46GOPaOI/NM7Iauxb7640ys1BJukXJmmXhGLCa2xXDBC9/Ki2Pi +cn8LJn4uxMReIVzQK9f1EjNx/KC1til2pLBZbISZ+FnFxHKpQS66G5WLzs/E +UxwmnqOYeEHAxFezSOHYTLwTHxMqcbkbKkFsq7HYlQ57sdhjpIvqTr5YJj4V +k4knIRPbXco+/XA4XGKpEy7huumccIncmom5ftgXLqGYuGiYieUY/TgyXGKh +x03HrRg8XGJqDc7EKnKNM3FgRlYis4YxItfiMfEjbiJ7NBOHbtFijcsoJh7y +PasouggmnnIRTLw4s0yc9KczcXkdtSaY2OmU2xN1g8aZmI3LfWaFwJg4S5b7 +/JdomXFbpNje45Yet4XPe9wuNZfoSH4kNbuKD84Kj6d6NgkqUo275nQbp3Zc +uJNT87D2IOuNgl4BcxbW9fN6iq5hjUXrle4MJ+plZE7+J121ZcktfMobD9F/ +jn/uIeljpsajJfjXmY1YTH8fw9KzwhPUN5dSFP+51RWvIFV3D8VTcgZU2vQO +VF27GU/nfYJL69PKF7+mjfArS24h8tBTr4SggKkZ/6T3viy3BJcfwcPklKZl +xmByozncbKSAgW2MSWWhkDhBJr4lYOJKm1coTcNiUjyYja8LAox/KwgYUMp4 +8dY3UQUKkl/0VUqf2DWefjPuH1Bu1zj8fyxdpBOkvIT+m/RXwZ+bCvi/WnnD +aqi2ejvUXpqOCPUlPNr/LM4qRMamp2FyzZMwq/IxMWsJYWkeU/Q77S7W3p5C +V3n4v4bTm36zHw9BsdxQCw7K0JT3fDoxiKcGHXQY2c/HpkT0cyGfEGzM8+M9 +uZpcNmHY2JHE1dXLj7MwQrNxnEFvpQjF8NZlqlgpIow4pCIOcfF5S0Uc7l72 +cPGk2FzcOAEulpK4v5KLZ3q4WPYtV9jpt0uXYeWhpT258cU9ZUqZ5+L4l36S +i3lkUGXFxeUYF4cv/Iw/+mYTnhmErWU1YWvpbrrEDSZdIl1GApkAYjbZD1zL +/HRXi6luwtbCXGyHrV2p/XTEwPs89XOHkIk/9ljqXC4+FsnFNxsuRibWQWvf +fuSmS3i4+J3cSiYhufinEBeP9ddu5PbVbrhddAtUHPFixsWur86kTOzmvjrq +osstVcTbXC7Op7i4oOZiX+jaQSt0baWVMhGDi/Hxha5xLp7k5WIWulbfiSJu +5NiRW0REETsZ7mZcMi7OxLjU6rJQS5GXi8/H5+JxMbh46h/JxXticPHGMBdv +TpSL9bhU6RK0NfNwsVvXybnY6lpm9fQl3HG5r2eWLM1CDfXVIxvqW0ODfeGW +De/EdBo7ffXKbjmRkUjoxk6KG+biMtZQn2431FtT80B4ak63fBd2S/1SJYfg +PKyb6j/SbfUqrlJ7MLaxSboLp+hltGf4Jw1V5DZaOZBleZv6L9BfgAJ/aERT +Uhu1KT2JLwGt8R8Q/YOutnMkVN68BKqu2Qy1ViQLICaDGOUjEPWSKl7IgF6j +BrPmE76+jL7yWXLil16XFugcbpnFHTiPXrcLyF0HksblQG7Jfyjwb+K2WpnY +GN8W0HHlLfSdfpvpHzgRv+l0KnIM0OuxsZaZSIYJvkTfcYpYThqe5VZpLkp6 +kT3D8D8frur7RgukEH9t5OVypNv4cBs0nvoJdO73HQx65DRMbPCVkKStKPmJ +QFziYvJE053fpnx7L6OMeTwa6SqQ1HBixOswofy6dpRlbBZJCYKFjEKONy3Z +Y1+sRMrYKfSLKoTzNoO2pXh5m7WM987K26znV1cE2Wy+FmelrLBWIu15TDFT +yjmeu5htSxF5m22dtiWLlK28TRr9sm2pBeWxaVKeEEHKnsZmcXcyz5TTBfIh +yzwiJfZ/HSnj6N/+prodmZIgKcsrwlikrBVygXEk06QcP1wzNim70rhwkpAm +5cfTTI2SIeUbAllcaA+iSHmycyfISXmhIuVlipTdWS/mvCJlnPE40cSI32Vg +2UvKH0eQ8hEk5aNIyjp5wkvKW27CiUZBbBAi5dNRpLwqFxMUR5HyICTlVxQp +j0NKtoXFH3sL6sKtzamsoI4SKJJj+O12u63NFinzgrq9SMrJxm9nkXJacCEX +RcpLmUcjs6QcLBcEKctIS1na4SHlhhGk3NyzWIhFysyiHGo6SoCUQ4sFTsqD +WctRZGAPldz/nUl5vYyb2mzG5cWTshmXUcX2LimXRFIuQeMy2S2ke9ppNgoE +xd6G5rpcTbzPX9IREVtJKRWJOZPd5MqblJrCdiYLNQVzJicW5M50aE65kd1t +r/cLV5swd2bF4Jk9u1luj6r0vIzmJ/Jjmg60FH9Ev70J0nCipuKz7yBOXXy2 +4kP/U/Pxb+mV9NzwGP4jIu1KzW1jkJU/gprL06HhnM/EN5jwl77horuAvvUk +wRx9Gv/a+HNwmfjd26z8qpb65ZFTBCv/av66du5nyG20R3Mv18xyxXzbvZlZ +Jec3q2QEZRMpaJeO87vjCkHvDAtSSRqp7o+H49eZd8/Ilz9JAQPw3/vhr/WF +0nv7QBl8yu7tfRmU29s7yw1QHv+w3J5++AzAv9xQKIN/6Yob34QWkzfDk91P +wiuNT8CC8h8j4O6BjQVxkuffAtvz4XTPS3XWO/JswpOL3NVy4m8RU1/fHW7L +i39+Xpz8eXfD5nxJRlPH8ofWWbYSuSvhtU2hgPqysRI5vzB3iCzKLQg25hFu +tcK7EpJdjIwTUO+1lXh6PezVst9WEtVzZwUYxwmn97U5e2F5HKts8sLyMRPX +Jr7eTGik+u3o9iQmLKvpT4nYspJR5hRGw7JMXvldsLzDTP+/ApZ55pCY/vsT +7/CQsFwdT4CqcWDZF9NGoz87dE3lMW3GQzJI9TC5l4YilCIGLM/1wLIJpbja +uO9kpx2tj/nU17CsC5x9sCzdd9ciLF9nYNnT3+GB5Qj5MWtv5hFtq7n7jvV3 +ECgvI1guDKd6ICyXlLB8OCYs+2XI6UyG7MKyDqdI9rjweJuzgWVfmzPCspYh +F3ZceDFh2ePCq3DEjmtjsGzFtXlgOch/r+t0efDdQgLG5T8Dljs4sNzmbwXL +6cy6nxITlsvqcemF5TWBV1/akt75A2D5JbFSs+THOC4ZLGfJ0tZpApUGPKNA +7uaktHUIJmYgtUgokCKWYcNZKUeI0+RqwcgsnmLitAHMcWddwh0ISyysFtAD +EpSjxWlXm777Q1d5DRsm2PJy6VnGUUkj8wCOy4PmJu4ycm5kySG9G/rB6Xl4 +33XwKT4f4+8fxGc//vrutGtgRdrNMCS1mPhH1+SDkdB45i5oOgEhefQpaD3s +DDw09Ay0GXL2H/ibc5fBQ0POZckDrYecg1aUdKXbEIRQ3/kpUX3nLYILmJPG +3q9+Uprr3bNr72ebuJz3RjTdeXkZGC/PVof+GyJ6m4cNym9x/G7GkurQL4WH +fik89EvhV7h08rPilU+mUfVS0dvdoVJyN6iS/BhUTe6CX+VO+FV+FJ8O+HVu +jwDwMNyb3Abu294eek97AWb0mgPvNVoCW0otheQ8eALkWeZcML6Lp4AxpHDx +3c48riFFiu8kPONJkB/huUDESeD6sUNrEze4U0PzUQHNMs4iQyS9hbpOg3I8 +c8EYjig66z0Fhvo2zBErk77OKUCNTvG0GFEZx1x0FxlP5ANm/t32AbOVb3ws +lG/ceHYEMC+OD8yVP/gTgHnHpQbmWP7rMDDzkM66iQMzQaZb9NHCI7aTzKzW +JRYzG/NJ59C6hF8q+oV2JsjNZuYgseLAtSzIzTDze4qZgxOA9UDzIDeTWOHp +gQ4B8/UIzDfEj6vYJrXJJz3AfJrXQOsEN4LlINM4jwHmFXnlZnlZPvhpvgTm +LxCYPxPAPIbFVjDfXh5bo+wvwDO10PsjCvAMMK9hwLwBgXmT2DVEAfNGBsxi +v3A72y+EYiukzWNFCJg/Y8BsWztM6o8BZq+tw803ru8flS9GjUoeC+8As30Z +F1F+FweYfX2hbR2b898AmLNkmZppZi6XCDOzoo+KW7SFX01MurbONDOPimDm +cC9SKafzzpYnd8Vp2dmjwWibCdWaTG9z7+LsLON7IlLgbxYp8O6o5PoLrUk2 +7uYb4BXmz+OwPIPBsp0A797DXW3dw9lj8spgTIq8SwbJApB5qs/+a2M7m3FE +ZmynvQI+23JkuQy+2po9yz2QvjY3rFhYEsZMqQfPjRwDvfqmQtenzuJzHrog +aXTBH5/O+OPTCX98HuXdYurHpx1TfT40+Dw8hKQhiFrJ+1vij09Lizbkj084 +JMttU8gIaIOEzjlr3pe4KoOB87YZliEpUBLtinrlk5WNpfYqx2lyvyCDMPjq +7tPZVN1YgYGuaZTXx3QXQl9V+prSgU5fTZICtUspDD2TboUFc26H44PKwOmH +mkBG+Sfg83wviVOF3N90etCpIU+MBXhKLGarFQnT2tkdOFgCiN5uV6Oqk4Er +9NY6Cj0/PMfeOM8JnNzqRHCMfpOdFYp138hNflzI7HFxD463beanQVdbmhHP +reJbn3jNfRycg1zP00GuZwicX2Pg/LoNzlqzL0TMkeAsRcx/FThX3K7US5cA +nOXqxK0+dfYmCpxN9WkUOOu9SRxwbuF1qdDqpJVzz2iDc0EGzqbf6bFUO7Co +j+NQcU+DsQcMOMusi2sDJR4H50UKnJcnAM7bcPrviFkWLdvxPk6/Wp4IVtaF +D5yzK3DOwcD5ZgXOytQnt8x4UiA248uIBOdcNjhbW+Y88JMC559D4PyyAOfD +CYiY4zdHL/aa+8KBF+sscObN0SLwgoNzARN4YYEzG5H6Ys4LzuU+s30f3BNt +gXN8P3SQ96bA2S0GCcDZiUHmozJRcI6lYvM2i/4ecB6dCDjLvLc/c9Mcl5q3 +JELNF5cWb1OzbeorFjL12ZdyVZxcIHMp186zY3Cp2XXz2StmJ+84S5anpQU6 +JFy+VcQddxRxx1ktAVtvfPqrItHnPcEWBpqvD0kxfOI1332cbfG4IqhM0sAc +jEfu7QhGo4zAtL3OJg1ejMNNan9AewPaGbyfSyS8/7w4P/z42j1wpkttOFGp +HayvMQ0m1z0ELzY+J95f6UeOftRoladJpEcvWxzK30VDolC3pNfq2DFRWwF5 +vErkcUKVr9t33LlqXAQ4XwOVtk9jVTZ2REsZS0xkDnmhuMBvLW2VKZql7D4J +y/Jwf4xtxPD9bj8e6vvbKJd+C3GQ0yFOSdPN99cQ720PpxTHE7gw9E3LBWPT +s8Pb+26A3SvywHev3g3netWEbxq0hoziPeGLov3gSP4X4bN8o8VahtYxdG9J +qaHSGv62WrksFVtpuZHm6aDrhQVcnhLG5iJPCF0d5Z4O9skQRCE5Afkha4uV +Ahp9//gaW6W4EUhxdc0xhHqWTMPXNR3RrGedBj5wdr6+YfdfbHCW7j/9Fc4c +OIsMmP8CcC6d5N45Jg7O4d6Q6AvH+73gXE9unLmNJRFwLsKqQ6gOKocXnJ9J +AJzdNYoFzo44z75zvMo2/inbCj8VIsH5AAdnGRJnXH8R4Oxx/XnA2dEyJwjO +Szk4D0RwHs1kGtQhEgucp7NUjNkxO6aTWcf0nlgd02Ikmla93wPO75Q0pmkL +nJ2kuDlM0caT4sIBm6dCl3MhcG7glJHGA2cK1mz3x4FzVK58IuBMtVktfeA8 +OQKcWd1SQhvnHSZWXrGzFLV52HnDRbCznpi/k51lpPwIOz+IFemRoK2Yz/jn +3M9pObNboienZbz7uXrOqPQ5/ngKhr1tbi/EbNmtKHkrNyjtxrDrI8ZuYaZs +wxPBxwvZpnnlwXBe0IZDdnw8H42Bck0BM98lcMWaUKvtzCYv3hxYprFHF2xk +bv6exhuOtF8WFICf3ygKP425B873rgKnHmiO5NYDdpd6A1aWTYK38D15Qp2T +ourihebnxAUQ0Qrl2pKQlOiELnh6MpDWotFOeivtW+E9fx5aObXtRijq5HI5 +W2gFzpkm54o7JqlMbzzdk7ReWWqVS9HpHuiU++OJ3ldkv0rZPb8Voe+odKXK +2xB5kodpuT5+B2nHVUWkET6ML2md8Hv2FBLz4LRsMAlftFamXwtJ+LJzdFVO ++OHNovDDwPJw+sH68HWlVnC8ZBc4dscz8EXhgZKg844Wx8zHuSeJi0yZvT9b +WGM0Qe8LCPp9RdAfsT2LPirk5SS5B+XF5H7H9sLM4Wr97CtcteiZZeiHrC7M +GUiaDXkRedq6iOTKPW1zCZR7fO3sqvZUpVS/kF4jftWILzffq9gLte99H8rN +4BeQLaw8uZPSC+tWSV0CchbWFipmF+Qsz4Foct7yH0bOUY17fnK2dyj6LGgQ +Tc5VHHLW8cq2Wk/vUSgUqaMwgGcNDOBPKnLmoUi2Wu8GKyDUJeeZqvbUVeuZ +yAxJztrSssFDzsYFqI4HRc5xKqhD5Jyx00POSqdxciO5AG1yNm17jJxDwmZF +zsskOf88rzB8GyLnMU7NCG/fi8pZznwfdZLPDZhna3AjJ8mZjUSWJ5cQOYdy +MzzLBR85WyMyhheEydrc27koL8jgeBUj7pIhAeN0LC+IL5LeImdvI5OHnF/7 +88l5/UWS89ZLSc5uwpAm54EWOZtp+aQzLfmFty9aOWpacp0Gl7TV8Era5IIh +LGqW7j8pau4aIWfjywVX0MztHy41L4igZqHNcETMOy2/hxQvByMx0GOYhqUv +9pgReHy7GX20LCBpGnk4yOh8Xq2Xf1LEfGFmEbgw5Q74aXgJ+P7pcnCmbR34 +umY7+PKO3pBadBpsLroB3i2WDnMrHBU/1uPqfQsvNToDQ5udE8VxRC9ELX06 +ygRcopRYFP2o0nUEL6jqErz1kPNSIa1iaUJaDq2IVju8XNUvjpzL7xjvCDL0 +d1SrL/sFkS76NNetN1yIIb+T7cT3UX4Xm4m3N3pzo+8fHdEtBTGXhjapd+Gx +WwC/S7cKGxFdT9BVBFHzrpTr4EjSDXAKyfnnt4rAj0NKwpmHq8A3tZCeKz8I +x0t1gYy7n4Avi/SFowUGw+f5huNx87LcQeeWO2i5kJkT7KCloXylWrysDkQc +8siQ8j4qa9WLlo0qYskqaWWWQe2BIXpezujZ6rquaIpZda6G7rWOap/yWQT1 +3vlFZ++sDeLPRwk2fInMXWzBRhQ5W0rnGAV9CZHzaJY4N84m5+aTWdvUG8fs +zmqXnOd/yjqrw+RMZ4GuqKS+9mhy3oHPtr+QnIczcma3j3v7RaqcbXLuchHk +LEv5lGaPyJmik5onGJ0kbyALe8m5iyJn43PJGlqmxCNnLd2bdTB8NFwsOe9n +5ByzkE+R89E9eGQk3RhYAjN8PdUOOUuFM1IzL+RT1GwX8l0sOU9gBX1S6XzI +SmPW1kDZW53mKJ1jWQOTfNZARc6u0nmjsgbqHI21RVJDiXOxyNmXozHf0bTN +VOQ8nRU7RcYOBeH1SM4eXZtb6BSTnD19pr07y1EZIme3neRSkLO4olMBncoU +8v89OfuzOUWExh7pCiweYaIOvFRqWrrkzFPm4pfxaQO1u2AwTSRuJme7CCOI +OyJ1H7UxTl9vMurVePQZQOY5quaV7CrOUPNVQf7mLmfPrK/feD/TZ+6OeZfU +qGl9mkXMHypixnFGY+yXhfnh17mF4Nc3b4cLr94NP48oBd8/VR7OtKkCp+o1 +gK/Kt4cvb+8LhwpOgj1534ONhXbCu8XTYX7FL+DNal/BBPyxfrn+dzDi/rPi +x5V+TIli6MeSfiTJn/V0F7Pn69nT+LG6sltxvoduE7mHZjfhIqxA7vJyVWt4 +UeRcbucr6jQfajlWSZFREk9yU5Yj70HMHYjWDuF3cb9U2ssT+wHx3WsqiLmO +UNS3FMssfDtLvRvfwgrhG1gu/A7dhEdrNiHooWOTjsfNaddAOn6Gx7flgO/w +s/llxu3w07AScK5rRTjduBbScxM4UbEtfFWqM9JzL/xM+sAXBQfBkbwv4tEz +Ulx10qLmkJJCHwhUHAvUUbJcHCNGBv2RuK40+2d5ZIhlS/69waKF0zN3kL/D +6HmppudythFGL1YC1zg+utvEPRpcr6DbaRLKbY7jEwwvU+xgjSe4YoOXVT3l +CeZ33vDaWtXWCSxS4pEzD+W/pOS8F8l5D5IznQW7/jhy3onkvDMeOb8USc4l +9tpuF+mrDZ8Fl5Sc7w3Vl4TJWQfx617W3HYQv0e7189Lztc7CxV+NBhynsuu +IpeETC9X2eFz+BjTyxWBOzw5UXIOmV5scra8gVv9YRpR5Hxe5zNbNdYqdc4i +5yZIzgNwfI0SCwCe12wpNiLJ2Uid05hig1db7wt5BLmVmpOzp9ra8Qiuc6zU +PIEuELTFSKCzQjUqhT2CvPopFjmP0R0mscg5VgJdLHJWS4ZY13NRduqEyXno +fz85c0d1XHKOl2pv2qxLqH2e2TP4vIGxVc51vVV+EUoNq+UpyhfI+/vMcoFG +ZNc07gm8UYrZVG8fH4/GOn2dUGm4S4W51q75mvCu+aDZNXNqlrlCV1hXb9r3 +p5UZ4qpNef2Oq+wgGnWBKmONDNOkuHkaYzS+fiVqno3UPO0OpOZ74OdhJeGH +fuXgXOcqcLrpvfBNjSbwVelOYsf5cYFXYX/exbC9wHpYe8ceWFnyE3i7/FFx +Ey/2z3VPw6iGZ2F443NCi0o/qgMfMgRNP5Y640bsoXvaP4Jd2I9fezf4URM0 +pxPx4ybJJFfViyPnsrteCt7mSioNcwkfMSeHiVkqMlorRcYDql2sgSTm/TXE +94yuftukFsPvUhF8A8sDPcSeOZt406IjVFMzLY/24+f52a6scHLDLXAOjxgi +559fKg7f98K3mNbV4dv7GsDJas3hRPl2SM9dFD33hi8KDIQj+V6Az/O+pAJQ +5f75kFBw6P2zVnDw/fMHlv5Z7p+VeiNvkgktzQw9ewyD/HhwVRuv1zRiPnk0 +fCuynIOlCik23MpXdiwEDdlMsTFQifjcI0EkbGRS6xxqOolqAAwdB0TO+jiQ +5NzSJWflennArbPi5Dznv4GcleMlIGdXtecn53I8kSaSnB9Rptu2IquffOIN +Ymf1S3KuHYecfRVWMraZkujaO8eCSaKzydmkaqijIRDwXc+qXFVMvzoeTKrG +NY7z5arAHCiPiauYkO8KJ1XjCn8RNrueNObAG0MxdPKqMrvV+Eca5294459u ++7Pymg05f++Q80+KnH9eysi5u0vOrzByZoqN3P6mE94AmBbRAGiTsxtCxNI1 +fK3YbrpGIU7OqRHpnSruXoUQ2eVQmSPnwA6iRG3aSx1rueCOSIucW9nkPEAH +Ebnk3NkhZ5+f2leWqsnZswRrpV1NiZLzeJucmzNyfuCPIecKl5ScTRJdJU/x +X2bt1Iac3SJsu/TPziAKa5xN258m5/iJGqbpj2c18/g53gGVS43IbCKn2fQ/ +3ciCOm1T4CinxYRrm3V7ibF+XBMsE96PQc366i2NCdb0+PtMqTOOKmqWCRk5 +4CufMoPvmYnKFueDC/MLwG+zkJqnFoUL45GaR5SEH/uVhfPdK8KZNjXg2wb1 +4WTlFnC8RBck537waYExkJZ3DiTlXQWbC20T5aErSnwiyjjoNp5+1Mnt9Qrt +n+87By82OSf2gvTjOrANJ2iTEskFVCE9dDyCZl1sPnK+nH7/n+L3/5mD4fN6 +/P3LxO/9s13Xrl2P4h/uw6dSt27d/pkAbJfZPTRI1eAJivTVlYkarpDjESnJ +t9bSTMixXwo56NSmryKd1O3xK9gFIbun8p/S6fta+nVC7LMcvzq0b6IriMP7 +r4GM7dngW/yIzy/JL2F7dDH4oXdZONsBX34eqA3f3Hs/nKzSEk6UewSOl3zM +AHehAXA0/xAE7hHC2i4k03hK0W6HS6bpBtRIpj2CjyCxY5fo1BInjQLudZbY +40BY7OGcLLaD5stQ3WAsg6F7mvAc6EDk4VZvJ7KqZiIPN87Oq/lzjYX49X34 +98I2i2ik3Us0bDOLuagU/E+E7TGZgO2wRSZx2G7jwLab+B+I/bJkqebAtq33 +axXsXwxst4uA7cfSbM2fhu1BaXYvFr+95LA9jcH2bBwFc1lH7BJH+6dh+yPV ++bpJ3WTGg20F2lkuo7Mmyw3mtNHiDgu2s0XD9qab8a+B5xC+rETCdiDukDsd +F7Z/VrD9yxKE7bkStr8s2V/csoWNhRy2ffJoXZbiwrZdK7jPMRaGEj9DsM3k +0TQCSR6dz8ijPwrk0T7Ytp0jZiQezjRsu6mfUbA9UhWl+PzXfyZsd1aw3TEC +tqNHpQvbpwxsv6pge6KB7Wa/D7YTJO1dUCYYlZkl7cWGtLcjaW+fk4mIfHtU +2qQ9yEvaZYMaQbscpZoT9sw10Q32tYqTXac00ftdZUe5LFn6CnFHUKmdKktR +aEX9cGpeHI85Rc6z1L/JCim9otauEddIqL3W/PbOl7yxhHmszTi80gHtKw1o +swRPAm2ta5OaNhx5wjRoJNBin7BRjrbv1igJ9Coj6LiAoP0rgvavM4sgaN8h +QfslBO1BZeD7XhXgbHuktGZIaXUawdcVW8Px4o8J2D5cYDQcyPum0JztzLMe +R0oyfHBHmtCBESWRxmtazRPiNmpMne9gVIOzMLzROfEjTBfxRDZihaihu1MY +unm6ZBctTWWy1OCdlwfvInTnqhIB21n+eWXHjh2bIVT/X/xF+v1/iF++8jFk +a/qdyvgf/Yb/URP89/74a+fx9wnN/5EAd5fcPThYagfC6X0+4bTm7YfEq6EU +TjeR184p9YS/ibZldHiL17/Uu/BbWAgP51z4mnczfuuyi1c72nZNVMxNhypt +rHamXw0HUq8W6sYTm2+CM/hp/7A4P1yYXhR+eaUY/Ni/LJzrUhm+a1kTTtWp +C99UayI+1RNlOuJrVDc4dtcTir3JqijZ+7Bi708Ue9NBZZLzDHsnK/ZOcsTW +251l98b8saQiRk1otjqfW+51UTCgywurZeABc9yOlA7sia7AWh4sbtRTXGui +7+7TScNzs1Hd3hXr3rOPbUts5wR6SAnTeZu79WHysnOYMDtt88megsKEufsg +1F5+AGqpw+Rvw90iHEfXEyXK3X293E0V3pWSu6v3X15Iq1MlfVLBmF0r4SV3 +FHebpq12Tt0KcXenEHfLu8/+qmlriLPFieLu6Yy75ynu1tuc5Qc9TvVDdrzT +NtauZYI8QtwtDp5ATehwt08aYomqtxhpiCkljMXduRV327HRPy/zc/fniruj +Y6QnsRhpzt3cluj2roRtiXuYLdGu894kaqtCgR4Xy928oooFhoYDPTxJeDG4 +27eWiOJuHeghuDuGe/uScrdTUJjYfeCfyt2JL7k5em9R6C1bvMuHpqXqJty6 +HJ+lIfSu6ENva1raW4qyIfRW03KPmZamwfupEHrHE1XXS6iVSru2/dKQwIoY +JN/ptcStyNy6iDCbcGs/pZqodOqda0OUd392RTfPNlqg1hDLA4+JTLpzQzts +IfUV3uW2Zu4vPcx9SjP3WnlfR2NM2A5JRL0oP/w6ryAyd2HJ3BOQuUeWgJ+e +LwM/PFMeznWuBGcerArf3oeEVrMpnKjQFjKKPy7kIZ8VGAmH8k7BsbQAR89q +YWVbV2SvGBvLS30q2RvHwBvVT4gNJBHQy/XPyIW3kozQdpHCPwa009ZFGbQg +kvSihNfPGnGrIBf946isi/SjmKtymLuvkOTcSDG3+KN/id9enoJ/PFiBN/3r +XfzjN/GP48L21ddAqd0D1JuiVDKZZZr8mtruxAfVW6HO86C3wdpKby3VI3Kx +fTt+5fJB59Tb8KuWQ+TEPK++VvR1ItCmrw6dnPRatp+irJKvh2M7sgl70Dn8 +iH9cWEDC9phi4hXqfHf8KB+qBt/Wvxc/yoZwskpz+LpCO6H2OV68OwL3k0qb +TcD9Ap5eZtlNp5VcdtvAnSL02Tqm2gFutuzWyXpcm70mAG4TSU0njHQ1atO7 +BG63LZx3uHgX3T5NNhUexknR02UEQTSUR2hoJeh59NgxO1v6RpQcEmzr9Lxh +52zYZtk1LT3ZNRq2m03LCMP2rDiwvfRvCNu76Ph4LZOw3T8B2O4WwLZba1uH +19pmFrbDPS1+2NapeSYOSsO2TM27MSQ0jIJtnqM67QCvtL0msOnwq1N9uqxm +Wx07S/VKj4PxisQdjF7YzuFxMN7ilBre5pQaurAtQfsHKjTksI2gTbD9C8L2 +aQu2RwXR059a0dMMtvNo2Lajp9MiOlsMbK9k1VYRGSDUEZsAbMtk/ignYxi2 +l3lg2x2JQbVV9cxWW50JwfawRtHpeVZfC4NtOz3v0sN2m8zC9th4sB2utvrD +YXvTRcF2RR9sW9NSwzalkPHUPA3bQx3Y7h/Atq/UsLJ1HdhJUYzjYLRgO0pN +UifI/Qg3fxv3YptAcJeXFRnmkAvuVCO26xMsuG8M3f2NxzFolCQ0Eq+zBHaL +nPu+IFL6oAFtPQI5aB9kGR+BikSAtp3voUFbWEvWMNDWbsVFpCIpKJfbpL1+ +7W745WUE7RdKww99ywklydl2VeC7xtXgVN36Uvdb7hHIKNZdwPbnBUfAx3kn +4oiaLTKNadG9ueAO+Kjoflh1z0FYVloCN40CCs+k1SP9qFPMz8iGZ+XVftPz +YZVJe6ky0T+ubnw1aWO7uG5HJy8kk7BNKpInGGzPwT9nkd5sX07/9SsdxC63 +u484ynk/cQ0rKq+NEma3VBcuRjNC/cMt9lcNdthtUu/GL1phPH9ziW5h0o30 +Tc8qbkxeVjcksxRe03lJPiU6Dw/hmXcEzziSDNGZRUugn94uCBfeJMS+B34a +XFreUrSrjG9L1eFUbXxjqt5ExO7RB/lVqa5wvFgPyLjzafGBflFgEBzN62hK +ck/07LUXBJi918XsPBKzt1mYvdcEiNyu/T54vtAyh84Wpzx3iaMnERenLFNK +7LQdb4+v6cUNq9a2xxdi2R7dwBDn/HjG6RLv1SOcH9X1advyqKVQro8nQOzA +VHBG2HL9iI3PhJMRiI3nxnR5bjR+6+h/KGJPshC7nGdDkynEjpAhXhLE5sHU +lYPzoxX+KLfGN2U/YutLUjdx9UarDNdF7NFMlehWFejq8Dk4FuZ7EPtdtsCR +bvgrrX4vrVJMrDdcWx2vi2N1zCF8PzSSdG84CbZPcaujxmsu2A4qEPF84p3h +rM1FIPbi/PDLnCJw+nFC7H6I2C8pxPaFVHPEnsJqEY1w20ZsbXmksisTUr2X +WR73RISF7Mi91WN53Btk929giG23x7IE0uLRiB3dHnssGrHvVYjNHOEmZo/n +KZ3LPGJzuZ1nRMYswXoqHFAdE7Gf41n+EYj9MnOHJ4LY0/8LEFtNy90KsZNk +NYZA7D3DWHQZIbYMCXERu1yE1bF6yOpoTOEJVSAGpVdVQrvsNsF41LF6UmIn +qw+zy8z+VB1GnRX6pWux9o32Ljvd9INzD4seh1o/IkfhVYFQW28ZtjptLXSV +l87xWodOB3idVYy4rwK8lld032q8Js/Je2yPTdqRtwvAb7MLw69IZL9OQrwe +Uxx+Hl4KfhxYVgSDBLvs+jXgm3tpAdoCTpRtLxH79j6I2MPgk3yvwoE8M8RY +Iinb9nybYVOBPeJN/b1ih8SYkJj9pSCj1/HHn0iI3qaJfobfd05QD93gD9a7 +7bbmOsrSlrioHcMSmbtSA4HYVwaMHBOxZ+Mfv8uW1onvs6+GSklPRwhF2jGh +SHP1dVSZ1ELNpHfY5dRXr6i4Xe6Qeit+zXKIrxhdldDXit7YCLQpsHaRCgnY +iE8Svo0dwFPwM9pl78wmbmfp1KIF0M/zC8GFNxC2xxaDn55H2H4SYbtDZfiu +aTX4tkEdOCXE2y3g64pt8WN9VAq47+kl8q6/LNwPgfs5OJJvKHNNGhH3QSv3 +OiziTuKtMXlk5oiV2FfQFnCLk4YZ68Up47TE8CgqmTVyzNpp65NlYpR4m3eF +eZySOnrKV7BrC7cZbDvLmh4+4ba7qPHB9mAXto3/IGHYdpY0ftj+FGFb1igS +bNdNBLbXImx/9GfA9lR8Xrdhe7fTaxAHtk36JVci9ozTa5BZ2K4jYTtWCwy3 +/thZ1o+ohQ3Bdhdm/3Fhe7BlnLdhWwe1RsG2qTiIhu2NlwS23RxrG7bdBhg/ +bDs51hGwzTNFaJd9gWB7LsJ298bwZako2Da51h+rXOuP84SF236X5EJxqkW5 +JPd480V0I0zm8kU4bAcd5Am1zcaH7SkKtt34JQ7bQfR/Q+NriUrmiwnbHTIJ +2542mFD8/58M21b8/6WH7fIKtsPTMh5sz46A7UkRsD1StsAEsP08lNorc4KL +JxvNdhRsy7VE7KJZG7Y9wpFQpkgFB7b1eOTOSDMaHw+M4+aaj4u1RykyGs8a +X/g45Al8IaE2c0Tq5ti9uvzKSd5zQVs0u7g51etutQUj2g1Jo4pAe04h+G36 +7fDr5DvhwvhiQqgtRCO91S67fRX4rll1+LZuLfimxv0iP/mrsh0kbBftA0cK +DYXD+cbCoTzTRFYFadl25VkHW/PugPWFkgVFkbGadGZvVzTATStIoiFaO44m +MQn+iBP5CE1YC7PbFoIS1d4R7LZjCEq0oJt+RPMq2A6Ltv/RGiG6J/6CAG75 +a5eTUvvf+Mct8T97Hv89UaX2vxC2qyY9ob6Wjzrb7FbsaoU7IGmbXU2955UR +6y+KsHkkNS88iu919D5HuiTKI6CTdowG7QMynetd9U62E0E7RUXK0FqJTjaS +P9J71Y+0ApqHsD3tDiEe+WlIafjh6QpwrhO+P7WsCqfvrwWn6jSEb6o1xY+1 +FZwo/wh8VbqzEJFkkIikqHJMFniehfyNwVPrVZUna4pmUlnRjFz/rGIxJRtU +wtU2Btyq31yLSO5I9faar3Cisa14Ene7TXWMsSKxVVNCzA7z5v7TpH+oSOZ7 +qx3BVWu7UdhdnObQ6N5yD2zz4qNXGGxTeyhFkmjYfl2dINM8N6Ie2NYnCMF2 +nViw/eGfBdtvXCRsPxdUIdi7mqeDKoT4sK33NQTbunKxuahcbCREXvSza0VT +hWHbdPC2TgC2dSMCP1GeYrA9MCZsX2e1IvDTJeyOvMZbwuvC9g4mUUwO9ZRf +ERTxapV2OIokq+2OjKhblMKRiNIYPKWkcMSB7ZW2SvsXgu3FCrbnaNjuqy7h +RsaJwjZq7UMetXY6U2uHXZKuWtvvktwRr7ecYFsbVqxmWn+Y34ogzM8XSeLA +dpDWdFzGYNd0I0nCY9Ht2YplZrGM5G2cMD/fPsKJwe6VQPXio57GAKtr6w+E +7VDX1h8D2/5pGQu25zqwHWdaBhV2uvSZKuwkbOuqcoLtkqGq8p5BOa2ZlK5K +WxkkLdhuqgjn/kzGkMjYa91GK/cQOQLjOK9YlBEkdlGMTmdy4661K5IbVlay +fcNaoc6+ylJn29EjukqR9grXBRHXOnaETCjeQhgROyLHFiX1/6LD+uYiaL9V +BH6dItXZJBr5eWgp+HFAWbECPdelEpxti1TWVProTgrNQWuE7Y4I2z0EjR0p +NARh+2VBXzSqaCzRdpv0apvz74J1RfaJzAkqtSZi0sBN7970zk33/fSOTe/W +L9EFVqNzgZiEfqTpLt+35dY5EO6WW8dn049qvor1o2B7Cf7Bzxq25bZbxJAc +wT9ORtiu2KVLl3gxJATZNZO62z0x+31K7PpCr9RCbLGrqNO4pDADtE0pKO6U +O+HJ2z0tu6hoo0sSDdivK2H/AvVV+UipsvcduAoO4qlHMkl6x6KPnE4tOqFE +588cEt3fKSGbdEDPlofzXUmlXVVkMZ6qVw/fnRrByap6q91RyEgyivWEYyQj +0WrtfM/jCTZMhWqNFScWlTb4+mhkHqBO02ZtjvhVMLLFJBNNolscBWinSWtk +MZUDKNTazlabZCRcqe3LAPSsb8bUk9GwQR2ZlpA0cpKzY3TOWJDdKX4FWTeu +b+Iqbd/poSHbOT1aBqeHqR5roWJgm0+wc/84ZOuWxiZ0csxRJ0cMyK79l0L2 +9D8Ysm1vfcymXguy7UvRJkZ3SJDdfD+5l32Qbef98TCrdsrr0yH1JpmU7axt +dKDVQHVHavL+brCiYDlk8xIFG7KvtqyQBNk672898937intdyObbbKvAV6uz +CbJ3y7jYY75mxliQraQjmYbsRfnhgpCPuJBtUrOje841ZNsq7fQIlfZ+ryXS +7ji3ITtGx7kF2dyooiFbRaEyyF6uIHsJg+wFBNmeSFRdKBAyrzi7h3GUmP1H +QTYfkezir5fbNROn0DbTkG3tI04F+wgZkeqHbG1oafJ3hez5DmTLaVlhp0rK +VtOSOmbK7ZZ5f2UZZFNPtIbsknEhO9zMGIbsqHs/t1vGTiCRkF0+iB8R0pFU +WzrSXtggcwSqup7MBqmjR7gyW+8cTH857Ruu81ggrxF7htX4rDkku2Qogclt +XwzZH1mHDK0xNWBbHeXrnY7yd00aNo2o3yjbj+yPbxSFCxPvggtjisMvI0rB +T4PLwA99kMh6VISzHSvDd62qwelGteBUbVJnN0XIfgi+KvOoEPUeK/osHC30 +PHyWb5QYYzSyaAewl7baSFjb8m2FjQX3CEXa+3cfEORkgXY1CdraJilU2w3O +ilWjENEqq+RzrW3ldh/lR+OgbW23n5Y/svkr1AtBdry0bCLn2kmPmVsSFcp3 +f9CueJ86bWuJ75A8Xsuqm5A7hBqTRNad027Cl7FsQl70nPpujFEvXW+pFy26 +1P1QJW7Ry1Q6fr6f7r9W3FDQMUXHktCBvIOfG4WIzMLPawoj5974OXWrCGfa +UkRMDaEHERKfag+IlyGxoi7TGY6XeFw0OB6741mmCXmBhYuMU15H3UUzy9NF +Y7rQ7SbH7UEPDb8Y5cEi/OjQwSK8vTFqRS2PCnNMeHtngktPRw/iBor4yhTY +ZacvNdtX3uvtm0mEnF/8A8l5wd+FnGfhGfBW4uQspISqNSEuORu3jp+ceXjf +7yTnap7aMZaUnaKNOzpExJBzV3y6O+TcP82oC6PImR8VPnJe5CRVvaeODX0J +GvY1Xml1mtvkHMPXqHUge1wdiFQlfrU1RyC6Nv0yt5gQEW9Kdm4ruC8gZxYg +4ifn4YEe5LBXfM3JOVZqtm5qDPfNGHJeFREmYpoatzkd50J8nd/1N6Z4/I2s +RICHiShyXuwh57lOmEhscpaelMiMU5ec3TLbRHJOfSMyTqFt5sk5HNubEDm7 +o/LvSs6ePQNLyg6T83iHnNWOISBn1mWbbCalJufyFjmT6NoNEQlPybDo2tGB +7I8OELGLa/MpP8otwtOox6IM7TOFtc+n3xBXcD3zgN/PuEqPv0MyOGQLWxjo +G7n0QPbGvYw3hMTWVJklqJkkbR9Fia3zS7G11oC8fqcI6/tlVAn4aWhpsZom +wcD5xyrB2YerwHfNqcuE4pWpz6QZfF2xjSLn7oLEjhZ6Dj7P95IYZ+QboTFF +tmt6gSeL25Z8O8VooRRtuuGisUH7RqIlGg2cnkWqdr3vBD3LoBFbGzLI1YZ0 +Oh9TkF3gIsm5XlInJGYt5NB75kZB/qO83qgqvjvye1NMHKcPp+bH70pO/J7c +jG9X2cSx+Zxq6RyrqHmGCm2kI/CDQ7KImIIZUw/KtyLS9tBxRccTLXGEqAM/ +Owp7oY55+rwogk+Qc59ycP5xfMN5BD+nFvg5NcQ3nFr15TVClQfhBCWElO0I +x8XeGd907npK7Z0pEvt5pGct8FA9NEJRPY0pqud5FdUmFpspqvPullb5kMAj +Lbjd5N3noThsz975Tde66GS9anGHti4GpQpK3BFXSR2K4jtvlNQxIrBdy7uv +4/yhwc4yJUTOxu6eaXJmx8Hfh5znZI6c1RalLOsbE7rAuOTck4VKRZNz3Ysh +Z2l3Dx8NYXJ24/c6qHwpfkQE8XsectZywXHqqODkPN1T3LtIdZrzvCmxcGGZ +U5uYgtol51RBznYlWYicLbuiR0GtZIZhcg4rqM9ycl5lkzMtcH5c7pDzIkXO +s4vAd0jOx0r1gSOCnGOJO4yS2heBHdU3Y8g5bFv0dZzvZOQcVlJL2+L6goac +TVOjTc7hGL5PGTnjGKx4JCFynhqQ80l/DF/dBMj5AYecfUmljJylkjpz5GzJ +M+OQcytOzpEauBjkPCVBcl7wF5HzkkyS8wSHnEc55DyEkXN/i5ztTvMeVlBp +uJkxipwbq5v1hiYNZD9PA6nsF3UETm7ZyEh6t07CqpiN7Zull+y5tBvtqGun +U0Y3MeoxaNsUZSDSWrY04HF7+/WigLcvpsj2RRl4pAQdVry1Uk5bCSC5jXJ6 +QX74bW5B+LeO23tN2RRHlFT75nLwfa+KcK5TZTjzEDUyIpHVrwPf1LwPTlZt +bsiZ8trueAa+KDwIyXmYCDuiG38aVzSeaEdJ44dGDo2YdYX3wQf4Ik7aMBob +lKtm07OM6KOdIv34j66v6LmRoqAWRugxkAs9HrXV1XR9pH+EC5ave1Hk3DCp +vfoeNXM8hnWEZlJ+dyooaqY7itvxeyJ9hnQv0TNVJsUMTpOu/rFKAk0hWfMP +SF0jXbVuUEr5ZFJppF8txDpf0L4Zjyl6A6IFjlBq4Gd3YUEBuPBWEbgw+S4k +5+JIzqVkaksPpXRvWQ3fcGrK0A+t2Kj0EJwo115Ko2nvrLyIXxTuC0cLDhKF +MnQ80VLnU6XakLVnRrWRpuTR+4IWR92BvjZQbZBe0BTKqCWMUm18eIfZO7/L +986lI/bOlV1p9FfsqGB7Z2pJqPed5Wx3yfmFqAIZFRoVztU7H9NgE4+crabG +gJy/V0fCJSZn1dLYcH7i5FzlAzoLkqHCJSVn2TeWOXJ+xSbnPT5y7oePdtS4 +Tb06IapbaJPCybm+akKgwpjY5Fw7NjnzLD0iZx5cnVMZbHJYyay8tLe/o/0b +kQA582YEbbhZqo6N+OR8hSdLz/UeXi30gZ+mXpsJcr7J8R7eLPbN37pyaJ2j +55DzD3Tt+Y7pM//ZJeeFmpwbKXIeJshZ7p1jy6IP5Q6HWKdbIdZ+D2Iy8yDu +DQI/1oTIeUdkx3l8cuaLA+5BXKqu3nwB1lHkbHsQI6zZdc+EspACcm4cn5wH +quKYS0HOuqlCtDSqwA9OzjGt2peanK1C2z+BnO1pmRg528HV9u2cJuehIXIu +lixjkcLk7EYiPWqRs71fcB3arCsmhYd86Bw9t7j2ThZYnSsYi+EMvayBHdvs +m28IpNB636wjRecesPfN77B9s25h1C4Qo9JgGf1cpZHM2xdl/9XXm28KZNCn +1/pUGkhfi2QxzG+zCsNvb2rPodw3kxT6p4FlRVg1JRyLRIgHq8LpRjXgVD0K +rVapEBXaGnK+82mksIFid0m3/hS7RjlFJCqjsST3zhtgW94dSrWRAqtxnJCN +mchpUfnPhHtCS6TJKTFZ1XyMVVFoI4RM2uwQBzvqjX6BeuN8yJtY6CLI+XIk +5/uT2jHD4H3CcCS/O9VVMgfXNBcSYseOwjSYQ3w/+qhsxRFK1zxJfQ/mKpUO +fe70mW8TKg18OxJ+0mvF5yr2zXg80ZFEnyF9fvTZ0T3BhRm3w4VJSM4vFzd5 +hz0qSFXNg9Xg9P34WdVWbzl0P0CmwgoPw1cqxeN4sHfWVYyD8XjSSR66jnGi +inadLsSC0aqNNY5qgyV50N45lOSRHkqnFt0Hieyda/K9s+2e4YqNwFSYaAUM +i8sLyJklUkfmQCWQARUU9w5RMasvGgHfg5kkZ55G3SSq3zyKnN9V5Pz+fwg5 +780MOdvZqpqc61jkzNV7bvhToOCzyblqyJZupVCn2BF5+ogQYj5Fzk8ych6g +rp7sXgPTJ6avJ6ewRYtM7bjWSe0wneYU9BOkdrCeg62emkVe5hsiZ6FxNhF5 +Vs3iLmlpz/DVvejUjoCcb7M0zuEEarnA+XElI2dBzaoYeJF06Rhy7u2Qc3Qi +9ScskTqsddaGwvlxDYV7vekduv4lVse5IudCKVZ6RzxydjvOpbf6CEvv0GnU +x4NR6JKz20hrmrF4VJ6nclGTs2c0+hYLl5qcEwo5SoScJ/3B5IzTcs3eTJFz +RZyWFRMi51kOOZvKl/IJkbO5nSuW3AfJ2Z6UFRxydm/mLI2zNSU9LYspOkG0 +WkQ8nu4y1wuF23As5hD+6q6psgXLNhHKxNBhwb75hqBa0eibTcWL9lKvYEI1 +biDcLlQaplIxnV2thROnSaWRTV6hycZYMcZO+1Qaqrf8V7VvFgZClTp9YUwx ++IXSOoaUhh/7lRMmQqPUQBqjPWYd5TzzkXOR/qIfhEYbjTJ66adkTyItGke0 +m6SxsyXfLthQMBnWKM0zjRAaHfSyTaOCqmHoxXoqjgYK9JkQ7J6VyfB+SUND +mpluDkFADxv1hvzRPh/cthcuV+eiyLlxUmulj1eh0Sm11NtWJfV9KaGO0ML4 +/cgtrmq7pmUTi6a++BA1a13zJKXWIaXOYqVrp898y0F8Q8LPN5U+Y3wroqOL +NOskKDwpnIGk1FAa5yWqCnM6kvPEu+GX0Yyce1aAc49WhjOt6C2nOnxbp5ZU +1lRvCl9XaSmdguU6wFelushIDq13LtKPhU4PU3vnMXLvHDeW4z0Wy7FeCgFz +b5MiQFWmGHS7CLegaRDTgj9epLgIjw6rMUzonVlLL7+i5EdFEDbNSgtYwJPb +yhsz+849GiJ85t2etMlZxzMGURxqoRKQs1tQMIKR88uMnMd7yNktTZyRWXJO +R3JOM+S8+u9AzmOjyTkwllNkKpWUZ56cbd+LS87ecGmbnHV/C/eYu+RcRDXw +5lEFBTcFBQXdUnXeXVaTd8daeIcxcqbxwPPupnJyVmUFJk2Vk7NMVA0iONTi +RXvQdeBTqChRaZwPHbCVGjqCw7gD8XhJ0u5AQ84ntimlhuUOvDU+OTvl5D8u +z2MpNajp98JC5W+fVZiR84tIzcMjg6Y/UVpnTc4y+05rnSU5HxDk7AuadrXO +tmKDuwRtcra1zlqgJjL240RxcHJe4SHnhYqcQ1Ec1cKmaR85j/eQsxUJej+r +ueIh0y095MxM1H1/LznzuitGzm1/DzlP+OPIedXFk3PFgJzfi0POcxxynhaQ +c/mY5DxMkbO9Y9DkHHYHdvfezEW5A/0a57qekkS9VODxG7ZSoz2OQ11p1VOl +EvVJs52B+vptTLrsjNULhDdVGvAcpW/WcZ/WvvkQjT1ZyaHFaUKYhmPuABOk +aZVGOHYjh4zd2CBVGmLf/IGJ3SArhtw3S1fgv2cXkvtm0je/qqI3hpWCn54r +K5yBQqnRGUmsTRWZQly/pnAHflOjMZJzS0bOj8v9JRIYaWZpb0njjARndE1G +BYo0loiwyGqxLe822Jxvj6ApusGnUUK7Rxodkp6/sOh5kuh6UZl4OAbEbbyi +5xeahbXPJqbjPDyrMhKKlL0Ycr4Kmia1UDe5Wt2jw+nKGmoWR2cuEVDXLS27 +pGYlZhyhjsWJKo2Krl0XqRyNDw/Kz5s+6314jFGG4acp14jPlT5T6j2gI4ni +U87jsUMLGnrroTeeX/FzE+oaRs7fa3JuXQXJGT+vuvcKrbP4vETGhlJskFOw +ZDep2NA5G2zv/Fmel1gVOXcLzhRLG3nkLFZ753fEgsbrFsyrKlsCt6C0zJBS +5/17PHtnKz1aHxvO3lmF2U2pqTsJTsEERc5jXHJu6IlGjcjY8GmdveZx1yXo +Vo5nlpydI6GFSmZq/qppQGym68anJkrOn4h6lj+PnFlxbgQ5u56XClScG5ec +B1lu8RLBeSDzTyt4yLlGQn1ev4OcdS2LCq9L0eZxfUTcpMLrsopryZ7OgmVg +BDmPDSrGea6G7CDgNV9R5Bzkahy8ylsxvjez5GwpNbKF3IEBOXONs1JqhMmZ +5WrwenGqZBEa53xWSjQpNWixI8i5G5JzSU7OrtZZk/OripwnstRoTs5vCbOz +T+ucIsh5KRtn8cjZdgluRXLe4nMJFtkfcgnGI+clHnKep8h5dkDOtngtRM61 +bXLmYfpknrYSozNBzu5igY5WLmj7bybnDy6GnD/A5/045DzPIec3PeSsSqwC +cvY4QhxyLuGS8z7uDjSVLPdyjfN+mRJtO0HMhHwgqBe/V5Gz1DjLEH3X/uHW +sWQT13A6augZneVpOQOvF0ZpEq2ZpsNr2b5Zjr+lB00Ny2pWwyKXBVewwDo7 +GfrTIBmauQKVSiPYN6+/xWRp4Mii67Ef3QoW0je/VQR+m1YUfqU8jbHF4OeR +JQWFCWegUGpUhLOaxBoTidWUJFZdk3O7gJxFpDAV5uV/Thih6SqNRGcH80wX +L/n23nmzuObaWGCveDGnkfKecgyS2IvGhqBnHBXTqyvdM46GCYyeyTk4XO8T +HzBXTa72uY+K8i1StvZFkXOzpKaKmmsGTkAZ6Vw8yM9oL6j5JuiGR+aTyi2q +FRqvpMt7B7pzmK3inVeoO4b1KoFuDx5haeoIk/vmrEJMSMcSLXGEUuM9pXHG +Y+W3OQVlDsqEu6Wbc4gi514VjCadPq969KZTD76p2UgpNloLxcYJ/My+ot4V +odigKOhn4YvC+NYT5Gy4eufJ6uoz3L/i3ztvUksZtXfOmxyUHa4N7Z0POTkb +7LqSHRuznN4Vqdjwx0AHlhhfxkaMgsOwS9CJgO4e7lsJ5Wv47C9RpbiJkPPE +S0POtVam41mQJu4f/1pylso9HzmHw0yRnJN9OUucnO2arktGzm7HSvkIci7s +kHOOEDnr6CVOznauRmxynu0U5C5hxQLvMXKWuRpXhfrCOTnrvvCY7sBY5MzS +6Aw532KRc6jM0Eqjy+NJo8tnZ2oQOb+dX5TwSnJ+VgnJhlkR0Eax4WqdOTmH +I6DD5GxrnW1y9vSthMjZLjc0+Rr7A61zvP7wSHL2JtOpuKFE4p/jkXOsrhVf +9ysn584XT86BqO33kPM4Ts7h67m/lJzXXAQ5z/CQ82sOOYe91KX2PB/kapQQ +t3O6+vWpgJwrOuTsugPrOuTsm5B2V7gd1inJWV3FWZkauUSmRkdlmOZxz2Fn +oOoIT5djcIpTYBjWN9udKlqcZrrB1ZjTfSq+ffP27CIiyO5Tuc24AlXMs06h ++3V+QfhttsrTmHKnjHp+hZyBpUSvCik1fniqApzvJjXOZx7Ut//3wql7G4iq +aYucS3ST5Ey7ywKDxILgcN6XxRKAOldoXBFpUZd4Eo6jXXnWC7LanH83bCiU +LK60Vt91QGieBT2rG3u6puL0PLmWoeeXSbnRQFYuaXrWEdHPPcT2iEq9cXuZ +WhdFzi2S7hNvWPJ7Qm9XpSU1pxYV+vf2otr7ZiFqNNQsvwuk2NHUPEtp25ep +O4aPVN03fc4ptG9WdwnBvnmb3DfTMURHj1BqUEz3ggLisxOOTronGOmQs7oj +OK3vCOooXTql01V5UGU7a8UGOQWfkK2F9NZTcKDM2aAiFdZcKPfOU52989uq +T3e5ynh29s5BVfhu2JxH52zsC8R/urlQLmCYVYb2zjET6mIoNurovTOzxKhj +IrNaZ512yHOdn+Cph73C5Bw0FXryNTQ5t4pLznKZ8vcmZ/csyDw5l/eS83An +ofRiybnjRZKzyFsS5ByZ5SyvJB/SYr6AnHPjcwuOg+wqkS6rZYUJEunUkiWU +SMfI2STSGXKed9BuCeDkrBcvFMnkI2fbHSjJ2W4m1O7A6yLcgdkcjbPOcTbk +rDXOpzk5s0yNgJyDfbM8kuxWQnU8LZCRT2FyflG91Nta58OO1lmSM3cJ2lrn +tBhaZ+kSjCpPWY8PJ2fHJZjHQ85FXHI2QrWgPyoqma5CNDnPYORs14Lb5OwW +uLoiNp5MN8TNdI4i544R5JxASyEn5w6XgpzHK3J+LRY54zPr6KUk59Ixyfmj +uORcaatH2xYi50kOOb/ikPOLHnLuG5Cz1LTJRDo5JWWuRnWnmbCOQ86+CWlX +gEdpnImKKI2uqBKwUVFKTpWpkS3I1Hhaub8GqP2iVmqYJDpTkjKd9UUtYEsD +naexRl2z+QpSxJjjrkAcbUfcFDq+b96gspu5vpk1EQb6ZhxLv71RFH6dfJdo +I/xlNCk1Sgulxo+k1HiigmjWEClnosJO6mYlOTdFcn7QJue7n5BuM9GuMRTJ +a5RUbOAIoxd+0sfSeJJ75zU4fjaJ5sJN+aVqg5LqaKTQOJF5G5+JaB6iZxoX +REw0Iiar6B1Bz0RIDVSFeCM1ApqbH31Oz0Uvkpwf3FM/oGbx/UgtJpIKpWv0 +VrFg6pbmoWalcZ+qzPGUo0FH3ntK27xZfc70GR88cIV4K6Iji46qDNo3b7lZ +LHHoyvPcKnln8DMdLfPlZyeynMere4Lny1jqmrNt8TN7oCp826CGIuf7lNb5 +QZGHcqI8KTY6ScUGNaPc9RQcK9obvizUn+2dR6grUbV3Frabac7eeZE6cuTe +OcmtIdR6Z9WOEuQ7326Tc7B31kW3sZyC+D0Qig2eUBfq+pbk/BI3k+uryWas +evBBT/Ugz3XubMf9u0fD409ER/2Lq8j+jJyVYqOVlUx3Vsb8XzQ503GgKgfn +fZYQOddwPS+ZJuf3GTmHy7MyQ87hbH9JzqV4QmmyTij1Z/tHk7O+h3TJubly +/Nq5GqJqMIXuIhk5h7OcH3JbUFJ0ca0h506KnLun6kS6rCZXg11PjsBjYpRa +tIxTZnI7V0MaynWn9wIPOVvuwEPGJuPv9I4gZ7ZvNu7AGwKN87Eoct58k5Xj +LMn5NidXI3Pk/Ksi598UOZ9Bcs4o+QyS8wtSsWGRc6yebzvX2XYJzvHUDi4R +kUH7GDnv9TSiiFznPD6XIJGzdAlu0C7BBMnZ7vj+TERNLSp/JDpfwwnqFOTs +mKZf1W0ooUzn85GZzpki56jxyNtQPJWDCZFzrGbWIPo+k+Q886h/yfDnknMl +JOdKgpw90zJhctZ9UWpSesi5pJec47gDnd1CI5W/a/unbY1zK0FFZY1SQ7Sf +3M6UGreK23iZqZHNZDinm0KooF5QmaS1M1A3rc4+YCweulrwPVUtKKKEDl4V +5Dcnsas13nri2zdnKH3zyVCtoIqdf1cKyij2h0zLv72dX+6bZ9wOv029A36d +eLdQavxCBEYZzpSp8Wx5qZntXAnOkDuwBbkDazjkLB1nIiOYbv5JM0u3/kIv +O0RlNIyVig0cXeQUlAl1K8U1GI2h7Th+hGoDX9RJA0vjZdU9xjG4SKXV0Qs3 +p2epe9aZG2fESBApZI3s3fOg1sYcfEfpey+KnFsl1VLtOKVk6bb6XnQQu+ab +RBtOQM1p0g34snpzmqLCWGlhtERp2j9Udwv0Oe8llYaqIjisWk/kvlkm0ZFg +kMq36O2HBIGU4yxqIWcUEVkov4xj/esuOTfDt52G+JnVrYOfWUN1T6DS6UQr +Cr7xlMLPrXgPOHb3k8YpSHvnfM8LM7vJdx4vrDcyZ+NNoV1PrB1lq8nZyLfH +zncuajsFg71zGf/eebb6DviznSMyNlwzuat1ftBks/jIOWikZbnOIZdgjHyN +h5XWOUTOLzjkPNIcCdHkfBzJWZVjTf8ycXJe9nch5ymenKUoch7iIefeEa1Y ++kww2xQ3pbTuPlvB55JzU0bOzSU5y7DS2ORMyxXegvJw6s2WFcYk0hlypuvJ +ITHI2eRqyGPDJWdtj9FBpi452+5A0x3oLeg+cFVQC2DIWRZ066BTTs5BA4rO +1XAaUAJyDhpQcklyfj+XePm3NM4qUyMgZ5apIciZTNBvITk/dr8g56MBOQ9z +tM6uYuM1EQlkcp05Ob8V0SW4OOQSTA7I2dY679JaZ19hdx6Tr7EByXk9I2ch +ULszFjl/EpGvYch5TkLkzDKd65o2FHMNdz48EjU5Z7YNxSFnHnvfw429T4Sc +BznkHPQInrVLo17+neQ893eRc9mY5Lw+DjkvxWexh5xnOuT8ukPOYyPImfqi +TCJdVOp9pTjkXC8gZ9+EbGhyeANyrqoyEng5FFdq5A+UGrr9pEcqs3yky0yN +F1R+wijlBpPOwOuNM/CA6wyUC4P3mb55U2jfHNY3h/M0sjt5GrfaeRqrnH0z +jqV/8yS617lSQ2Vq9Jca5+97qBzntjpXg8i5tqAwntVgkTPd+tPeklyC+YYL +6hKKjdzTBG3JG/5laj+5RtAV3eiTFpayNqhfUGueqSWDkspohMxX9Ewj401N +zyyx7mVFz8NV5nOwe2apdXeUrpl5cr7qKmidVF1QM/VJkmOUGk7oDqIzHpOP +811zmmzUJmp+NV0ujiiMdS5zBK4Wdwvyc96jVRrqsxX75t1ZxfFE16B0FNEb +0Dml1BAa9QV4nGhXJyXSjSnGsrcdcm5eVX5m9TQ5N5YZ3JVaq3Q6akVhGRt3 +PmOaUfKphDpxROlewdfEIsdu5Z7PWrkj9s6sV1DnbNBbkrt3juUUDLKdq/Bs +Z49iQ3UKvkIhpvVZK0occo7MdWbkzLXOPXuEyblrVDLdABO55CXnEbxH8Fto +ESTTxSPnL+KT8xJFzsv/7uRsZ/tHk7Ot3uOOcXkmdIlu3k6AnJsJcr43IXJO +lVH/ba0s55skOeNI0OT8JCvKGhBBzmOC2H95TcnJeRYj54WMnHUiHc/VcFu3 +d1qt25db5BxT45wcleOcPWhAoSsxS+O8wdY4C3IOnIGsASXoDTRpdD8vccj5 +bVVrG5Dz0yL2h0bSkYCcX7KauL1a59xhrTPPdU4NuQSXhXKdY5Jzbt2IolyC +SM6bWQu3dAmmiGMtZr5GydjkPN8hZ75AeMNDzq855PxKZsjZtYDwpYIajSEx +WwKFUcFywSVnErUNVEZqHzmrMdlyBIu+d2KI/jbkvPESkfNUh5zHJ0DOvC9K +JhBxTVtUy2pti5yj3YFNrQYUMk5HpNEFy4S8QdSQbD9xMjXSPUqNA0apoa/c +ZjlN29wZuBbHm+kLvEL1BV4exNUfipense0mo29285tdZyC+zP97Lo2kwvAr +OQMn3SUznHWmxmCmcX68osxpaFMVvmtWXSXS1ZaNzoqcTwhy7qB66XrKnAZq +1hA3/sPEUkD00eV+Xdzy07jie2eqNaVrr615d8KmAlK1QS/oNF605lnnbeis +ZyInGhlTPPQsXIN6JDj0fGepzJPzFVddCW2SKktqTr1d9QLeJhUaTNf8nDLK +j1ZN65OVSJFyNBYekJ/3+0qIaFQal4uGbVLh0JF1dM8NYrEj9803i2OIPkc6 +ckSmBl1n0hUmvfWQyoZyNeiNZzhloShy7qnIuZ0i5/uRnOvj206tBipfozmc +rNxKqGxEOh1rRcnAz+4YkrN883lOXI8ap6AvoW6WWtwsFMeO7BXk+c7rWa/g +zqBXkK4yKbIp7BR0GrnLHRYZ3wtYNBN9/jO1yI/vnR1yHuPE/7sxTAnnOney +c53d6CU3X8MKLNXHwgCfiM9HzvJIaOEeCRNPIDl/lTlyXojkvOg/hZzdhNLY +5Fw6JjnrM8FR8FEinVDwZZKcw1nODwU2mDsVOfMs55ukiTyCnAeqF2yTq3G9 +GBnxyFm3bptcDXNlaeVq/CHk7HcH+sn5Nrs7MGjc5jnOWqnBc5wzQ85uOp2d +6/wpT6dTjSi+XGdDzlFaZ5ecaaQxl6AQo7nknBRE2Eut8z7lEjTkbLsED8mr +tkhy/txJpjPkzEM6ZdTQ1+FM50TImWU6+8g5FD3ks4EkSM5dFTl3csl5gCNq +I3Ie8h9HzuX/cHJ+GZ9RccjZzdUw5Ozmavh2Cw2D7kBSajS2c5xJ47yf2z8c +jXOK1jgXCgzTHSjaPs2TqYHjL5SpgSOPdwZKi4dcGuja1JXMGbiOFT7tZGPO +7QvUYy3oC1SjTPcF6tElnYE5g33zj8u5M7AA/HtOQXnbL5QaSM7jisn2kxdL +wY9EYH1VjnO3itId+BCS8wPU5lxTNmtY5NxGuc26wvHiPSAjaHQeJLTOn4vb +/rFiCXAwzxuCtuQN/zJBWTSSKOqHrr225N0lRg6NGkqq05rnFaU/ERRFlaSG +nuXOcYp6yRbKjTps99xQ7Z5Z5vNdJWtcFDm3SyqrMlbyCWrWCo0n1BXsc0qp +M0rlqUxW9wykzlnAHIFrVVzUDnWnkKbeiki7TtejX/J9MyXRfSQdnnS9KTI1 +6FiZV1BcYQp3IOVqqDce8bn1jkXO9WUGd7VmkpxFrrOtdc7QWufCKts5n852 +Huk4Bd2EurdVQt0yJRBcpY6aj5SdRjsFd0mnYD7ZjCIVG569s+UU/CxQbMyt +pMjZq9iwrTHcFjPCp3V20uliNqJ0ikHOHpdgYuSsRHyJkrM6Eh5gPYJNVI9g +41mKnOcqcn77jyVn+yxIhJxtt/ilIGet3pPkLM+E30vODwQNR5kiZ9OCIhcs +HRU5d0sLZzkPVIsWTs4vK1sMJ+epaoS8FUHOyxk5r7ZyNa503IG8dVstY2KR +874Id+Cu7P4GFKbUOOXNcda9geEc55+W57F6Ay8sUjnOQuOsyHnG7ZKcS2ly +fsEi589D6XRGsWHIOZzrLMnZp9jQ5LwipktQkvMmJOctYZegl5xpSeDL1zDk +TMfc8lC+hkvOKpkugpzd6zcpXjsdvw2liYecVb7Gn03OD11Ccn7AJedZfyE5 +rxTTMkzOuj8wipwnRJDzMCTnoYqcn4tBzvaUjMzVCCakcQeGybmWx/6hG7e1 +gI2n0VEpVHboguTcXRGTaT+RZVDDFTmNUTtHnqkxk42+xTjquDNQLwp084lW +aqSoEcf1zTTWxEhLulHE0sskOjnCdCSQTqLzOQNF1M88J1NDtG0rlxlpnAeV +lQT2hGpAaU+JdIqcG0SQs9hdqlt/ka9BnXQDldZ5hFJsTBAaWbouo3ElSWul +GEs0jugFnsYPjR265qJ9JInCVt0j95BEUjpvQ2heVUu3oOeaYXoeyehZ9w1e +LDk/nFRctGlLas4h3ICamgep+4aR6vibpJZFOkdjqWo7+VDo2GXaoMzSkCqc +T1KvFsJCS9+sk+jIGfh+7kCpIT4/ynGeoT47ytUgV+eL6nN7tjycj0XOKl/j +ZOASVFpnlU6XIbTO4YwNudxhig2rkdt2CvKEuiSl2NgZNHLvVI3c3CnI984H +xT3DSvV5k1LHKDZ8bdz+jI3xdb416XS6TzDBXGdfI8qzTiNKZL7G0+FkOj85 +f585cn6NkTNbpjSZzsh5tiLneX8sOYe3KH80OeN5kNw/kpwrRpJzhwhyjpNI +lxlyvoMdE3nwmDDk3AXHw+Ms8l8L+wayK0odw6TJWeZqXM9yNSQ5z2bHh3EH +XqNyNYzBPNy6TcfJlcwdeLmndfsq1h2oNc6+XI345Pztekep8SHvDWTkvNJp +3HZ6A39TGud/z+Pk/JSyXgxRIjLdJxiVTqcbUSZ7yDlK67wocAkmh7oEVwfk +vFvlOmtyjmrhFuRccJ8nmU5XqB4IyDnI1yjj5Gs4LdwmX4OL1jzJdLWZ7aOO +IeeQ9eP+CHJuGSbnAf/B5Nx0xn8IOavOqICcJ0aQ8whGzs+HyNnnBgkn3tvk +LHqinOQhtzuwueiAsxtQyB34kNY4p7gaZzkOu7LrtyCNjrWfjA5G4HVW2/as +AybDeYnKcObOwI2BUoM3bV8RNG0HSg2hb75BaGGFXWN79mDfzJPoqPkkaNpe +KV/spVKjgHSXzSwssoBF2/arSuP8UimT4/ys1MvqXA3RR9c0gpwrtZG7S33r +T/kaIht4ABwtoFxmeUerJufJQmwme+gWML3zh4Kw6Fafxs+m/HtV1kaqaOd+ +r9gh8VK+TJAUjhIaI0rzqun59ZrSJWbtntWI0PR8d4nqF0XOj+y5E78DOeWu +OdXsmskkP1TFSo1Vpvhpqu1mPnMEfqDejraqNyNyfqapyltyBdIxRcfTcZWn +oZPozqhEQfoMf1mqjpU5hUQDivjsxt0DP1OWM3N1nu/ByLmFbEv/tn4tJOd6 +jJxbykaU8g8rl2BXyAj6BJXWWWVsHM1HS57hSlA4Vl2FTlYZG9OZYkM7BVco +p+Bq08idh/bOjlOwAHMKutnOJXi282GWsaEUG6xIS+6d7TDT8eo78IpSbOg7 +iERynV1Bnxv5H5Bz93BoqWuBoah/Lzl7Mp3dI6Hl35Kc/WdBZsnZtGLxbP94 +5NwnYXION2NllpxrSnKu7pBzWTuRLrDCGHLuIFpQ5FGhryefxjHRm1lino8g +Z926bXI1DDnPY+5AXQOgC7SiyHmHImevOxDHD1138dZtfaWpyZkqTLnGOTY5 +3xqHnJk70Mlx/pnlOEtyLqDIuaBY8BhyHuwhZ1exMc6TTjdFjSue68zJeb7V +Jbgv5BK0tc67I12C4RZurXW2W7g5OYeT6Xi+xkKPSzAhcq5lk3Moma7hObte +NUFyDmc6f2+1oWSKnJ0ReenIWQnb/kxy3oqTcvMfSM7j8BkTSc4l9zwn+6L2 +9kuAnOVuoaZyB9b2dAfardsmr9OQsy6H0taPEoycpe1DptHd5PQGmjQ6o9S4 +IdR+8oYafXNUpobOcF7JOwPVDb4WpemK1DS1GOBKDZ5EZyk1tDNwnXIGCqVG +Lk+GcwFBXrJtuyhc4Bpn0soOKYPkXE66A3siOXdRiXQPhsk5yNYQ5PwIknMn +mUx3Ty/4EunriyKqVYP66PKOFCI0MjwfDJyC80UWcHKwd14rUuroVn9zvt2i +IYVe1mnU0NVWoHlWjkFxe19ZtjJTzyDd2r+ulRt1XN2z3D3fXaKan5z/Sb9/ +tcPL7fcUUN0mcsPcW2lzAlZON5lzOj1j8UGmaFa5cztVf7r4RLUXUG+Ztap5 +s05EUb01+M5Dn5xoC9RJKG8oVfpYlabB+2qUlzOSl4NPS/Gy8gZmKIWzbBHs +rXhZ6jTCyRoT8QAyOo004Q+M1mnsVP7AbcwfuJHeiWgN4010dnQa5WSyxvyK +WuF8zKPTkBGmr9XiCmd963A2SHP2Kpx5VZbXG3g+lKrxRKxUDSfgP+hAGfT/ +Gy/jCbDDl+gfi5dli2x8Xn4iE7zcBnk5we4THy/LgyGal/N7ebm7w8v9Pbwc +pGkwXp7CeHmmOjQML18tDg6TpnG1SdNQvLz5kCkCiEzTiKds3hv2BAYVWyq9 ++aRO09jg7z05q6q3jCeQtQU6GXS/sLZAyqATvExxqW8WtXjZ0mnk1QpnX4vg +BDuTLs9UDy+HvYH7E/AGGl5WCmfWgxLFy+sYL8tRx7yBxV1elmsCfcnm42V9 +2RZK1SBedpOcXV52wu3jdaBE5tE9Gm2bjsnLbnvgH8nLb1w6Xl59qXlZ9m0b +Xn7L4eXJmeflIOteuqf5lNSewGpROXRqQt4XeAKbRPSe1LAqoczlmwq1V5I1 +PQ6pLbBbmgmz1yaPIUqmNlI1xI0/wDtPzOjTSRpan/E+PmtUzZPWZ+xWZEWl +qOn6Ek0nNzM/oNFnqM3kJlufcc6X3Eyv8PMKBBl0Qh1LGXRa2aw9gf2Rl5/W +G0vk5YcVLzfx8TLLNQt4WXkD6Z5f9KC8oDLppK9MKmOnqzSGhYK0tMqZZGN0 +6UXJzrSNpLFDr+gf3snTnVWzIEvZkBl1jJmVKUL3L7+kfGF3F68qePnqgJET +2TRfAZ325BZ+0F4BNUt54kuqV/21dJmcMoPSMw7KZsDlSr2+Vt0kbNc9J4fU +exDdHuy/Tm2Zs6q+dNV3sk5+jue5PkN1pNMtgWh6FNoanYLCPrfuipx1ooZF +zjLJWXRvi1SNdsLTKW8J1GfHWgRdncZhJ1kjvk5jtd0kmJs1Cebfy5oEU9V7 +UXqYnAOdxhFHp2HL+6Y6QUxWJl09czEZIufmHnLWR4SnQTAUuORL1WCXkEGq +hmV6+d4cCyJoyQn395Bz84TJ+TCS86cBOdf7y8h59u8kZ9YiG0nOPfFM6O44 +Xn4vOdeyyblKDHIuyshZxpW65PyEIuc+ipzJCDFEmSFGxCDnN9Q4mcnWLQs9 +5Py+Iue1ipxNDt0VFjnvc8j5UngC9QWnRc5iy6x7T9RRRHGoDjlrfcYvS522 +QE3O8wpKEw6S89mu98Px0k/BF/kHixd5K5Mur69/29VpvC5e9H1pzmneNGep +cN4Xk5zXW+S8nXsDA3Lm3dspwZhzu7f15RoFSCWSRyfI2VkeiBHojea0lwij +eC2UI1sb+oAJuLekaz7jhxs4xM0fbtB9DHLuGEnO3/9+ctaB929mlpwPSHLW +bVGpl4Cc380kOU9JkJztBGdJzv4pyZ3TvgkpybklI2dSNru9J/fG8ATeJfLG +tLK5PY7DjuQJVD3bUtmcVSqbWVugnUGn9Rly9M09YCdpaH3GWhVW709uvtJR +Nl/PtszZ5BjbaidpyORmk6Txg5OkIciZ0hiYslmmN0tP4E/aE/iUIjCd4NzS +R848EfhhSyV7LPAGDsAx97xKZXhZ6jRyT1LENVPRFlc5rxXjSCphtVZjn3hZ +p1FDY4YrnbVPcE4Vk/A8Tb14a5WrbpEbgeOiWPEqF6HRuAIe3XAbdN1+I/Tc +fgM8g0+/HdfDYHxe3HEdjNp5LYzF57Vd18BUfGbsuhpm77oKFuCzbPeV8O7u +K+ADfNbhs3nXFbAdn6QdV8KerdfAvs3XQer6GyH9o2xw6MPs8Mn7N8Hhd26G +I8tvgS+W3gYZC3PBV/Nzw9ez88A3M/LBqWn54dTEQnBqXBH4ZtQdcPLFu+Hr +wcXgRN+S8NVTpeH442Xg2KNl4ct25eGLlhXgSOOK8Hm9KvBZ7epwuEYtOFyt +LnxauSF8WrExfFKuOXxS9kH4uFQbOFTiETh4T0c4eFdnOFC0G6QX6QFphZ6E +1PzPQErePvgZDYB9uQZDcq6hsDfXcNiTaxQk5RoDu3OOh105J8KOnFNge843 +YGvOt2BLzlmwOedc2JRzAWzIuQTW51wG63KuhI9yroI1OT+AD3OthdV49KzK +swHezbcJ3imwBVYU3grLimyDpUV3wOI7d8LCu3fD28WSYF6JPTCn5B6YVToZ +3iqzD2aU3Q9vlkuBaeXTYErFdJhc8QBMrHQQJlT+GMZX/QTGVvsUXql+GEbV +OAwv1fwcht97BF6sdRReqPUFPF/7Sxhc5xgMqncMBjY4BgMaHoP+9x+Dfo2P +Qd+mx6BPs2PwbPNj8EyLY/D0g/i0OgZPPXQMnmh7DHq1OwY9H8mAHu0z4PGO +GdDt0Qx4rFMGdO2cAZ27ZECnxzLg0W4Z0LF7BnTokQGP9MqAh5/IgLZPZsBD +T2VA66cz4MFnMqDFsxnQvDc+fZF+++FYH/AlPDAIn+dwtD9/BJq+8Dk0HfoZ +NB12GJqOOAxNXvoUmoz6BJqM/hgav3IIGo89CI3GHYD7x6fDfRPSoOFrqdBw +Ugo0eH0/1J+yD+pNS4a6b+yFOtP3QJ239kDtt5Kg1qxdUHPOTqgxdwdUn78D +qi7YDlUWboVKi7dAhSWbodyyTVB2+UYotWIDlHhnPZR8Zx2UenctPh9C6fdW +47MKyrz3LpRdtRKfZVD2/aX4LMZnIZRdPR+fuVD2g9n4zIRyH76Fz3R83oCy +a6bgMxnKrp2IzwR8xuN5Mw6fMVBm3Wh8RuIzAkqvw/m/Dkl5PZ4B6/EMWI9n +wAY8Azb0heIbe0Oxjc/A3Zuehrs2PQH3bOoJxTd1h5KbukGpTY9BmU1doOym +TlB+86N4NnWESpvbQ+XND0PVzW2h2uY2UGNza6i5+UG4d3MLqLW5OdTe8gDU +2dIE6m5pBPW23AcNtjSAhlvqwn1bauNTC+7fUhMaba0OjbdWJXJusrUyNN1a +AZ9y8MDWMtBsa0lovrUYPnfhUxRabCsCLbcVgAe35YFW226D1ttuhjbbssPD +27JCRxwXnfB5DJ8eODKewOfpHTdA7x00Pm6AgWqEDMURMgyfl/AZjWNkDD7j +8HkVn4n4vL7zGpiGz5s4Vt7CZxaOlTn4zFcjZjGNmV1Xwgp83sFnFY6Z1fis +wecjNXY20ujBZ9vuy2EHPjtxBO3eqcbQ9qtgz7arYS+Oo2QcR/s2XQ/7N14P +KRtuEKMpbV1WSF+XDQ7giDq4JjuOqRzw8Qc54JPVN8Gn798Mh9/D592b4TMc +W5+vlKPryLJb4Sg+NMK+XHIbHFuUE0cZPgtywfG3caTNyw0n5uJYm5MbTuJo +OzkTx9tbeeEUPTPywrfT88G3b+Com1oATr1aBI52qAcH8cU+NW9vSMnTF8dR +P6TbAfgMwqNjMI6mIWo00TMMnxE4okbiMxqfl8WoSso1To2rCWJk7cw5CcfW +6zi2porRtS3ndHzewhE2E0fYbDHCNuecL8bYxpwLcZQtxlG2FEcZjbMV+LyD +I+09WJvzfViTazU+H4rR9kGudbA613pYhcfYe3k24YjbDO/k3wIrC26F5YW3 +4ZjbDstu3x6MukV37RLjbsE9STC/uBl5s0vthZls7E0vm4KjL1WMvqkV0uH1 +Cnz8HYJXq+AIxGccjsExOAZfxjE4GsfgyJqfwQgchcNwFA5Vo3AIjUJ8nqNx +WBfHYX0chzQS78OR2Mgeib2bO2OxtRyLT7ZRo/HhjGA0du+gRiM+XXE8dqHx +2JWNx8dxPOKIfKQnjkccke30iMTx2MoZkc3EiMRnAI7JgWpEDj4KTYfgmBxq +xmQTNiYbqzHZaAw+4w6KMXn/q2k4KlPhvok4KifTqNwH9acm46jcC3XfxDE5 +g8YkPjNxVM7eDffO2QU15u0kcq72tpyWlRdus6flMntalhTT8gOclO/jpHwP +n3dwUq5Q03IJPovwWRBMy3I4LcvRtPxgBk7KN/GZpqblJHxew0n5Kj5yUpb9 +6GWckqPEpCyjJmXpdUNwUg7GSTkQJ2V/nJJ9cEo+i9PxaZyUT+K/94Jim3pA +iU2PW1OygpiSHXBCPoITsp2akK1wQraU03FzM5yOTXE6NsbpeD/UF9OxnpiO +9+N0bLSlBk1GHIuVcCSWx5FYFkdiKRyFxcVIbLHtdhyHhXAc5hXj8CEch+1w +HHbAcdgZx+Dj+PTCR4/BAcEIvB6G7ySSuk6Mv/Fq9E3ZRWPvGjH2ZuNDI28R +jjgadytxnL2nyIrG3AZ8tuBoI7rapUcbjbUt14qRRuMsbd2NOMayijFGI+xT +oi0aXStvgaPL5bg6tvg2OL4gpxhRRF0n1UgS5DUZyWtCETg19nakrzvhm2F3 +w8khxeDrASXgxLOl4PiTpSHj8bJwrBMS2MPl4OiDSGBNkcAaVkYCq4oEVhMJ +rA4cFgTWRBFYKySwdnCoeAc4eHdnSEf6SivSC9IKPI3jrg+OuIE42l5Q1DUa +ducaj6NrohhbRFubc87B8bRAjaaVYhzRGHo/z3p4L99GWFloiyCrJXfswDGz +C+YjVdF4odFCY+UNHClTcJRMUmNkvBgfODqqS4KSY+MLKF6scibI+R9Ezivx +OS84+rz+zRbxx/8omYX965o4f0UIfnP0L/gz63t+rb3n1zr8Cb9W77/o7/Va +z6/d6Pm1rAn+WqL/3Uv95/3v7+Xv//fyV/09i+/4/34G/vf38jf4e/nfz8B/ +1j+3//29/Pf8PfOfgdvo94F+X/zmS/rN/1F/3v/5f8oddpI=\ +\>", "ImageResolution" -> \ +96.],ExpressionUUID->"3f21b220-1703-4e0c-bce7-a874b1bfc4d5"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"ComplexPlot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "5", "]"}], "]"}], "/.", "m51"}], ",", + RowBox[{"RFC", "[", "0", "]"}], "[", "\[Theta]", "]"}], "/.", + RowBox[{"AH", "->", "0"}]}], "/.", + RowBox[{"{", RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "6", "]"}], "]"}], "/.", "m61"}], ",", + RowBox[{"B", "->", "1"}], ",", + RowBox[{"\[Theta]c", "->", "1"}], ",", + RowBox[{"AL", "->", "1"}]}], "}"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", + RowBox[{"1", "-", "0.05", "-", + RowBox[{"0.05", "\[ImaginaryI]"}]}], ",", + RowBox[{"1", "+", "0.05", "+", + RowBox[{"0.05", "\[ImaginaryI]"}]}]}], "}"}], ",", + RowBox[{"Exclusions", "->", "None"}], ",", + RowBox[{"ColorFunction", "->", + RowBox[{"{", + RowBox[{"\"\\"", ",", "None"}], "}"}]}], ",", + RowBox[{"PlotPoints", "->", "100"}], ",", + RowBox[{"WorkingPrecision", "\[Rule]", "500"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843299313653433*^9, 3.8432994569912157`*^9}, { + 3.843299602226522*^9, 3.8432996048420277`*^9}, {3.843300691462996*^9, + 3.8433007047420273`*^9}}, + CellLabel-> + "In[231]:=",ExpressionUUID->"626e933d-cd37-4a52-851f-6473bebeff53"], + +Cell[BoxData[ + GraphicsBox[{GraphicsComplexBox[CompressedData[" +1:eJxE3X/0//9c33GjfVHZ6ev3z/xoUc1Z6Ds2fKlJUbKkYpvf+VXEGN8OJiSZ +UF+/vn7/iuHrx8paJzoi+ZJJP6gxErNYU8LSQZiz9/vzvV2uz88/zvUfx/P1 +eTzul+N8edyve5+H/sj9LnmJS1zim25wiUuc/uv9z/z5zK1f8PzTPxe+7WrX +/e63vP4hR7/3z652+c+fd/TjLvj8A/7F446+yZ3+4Lcf9+SjP/kNr77Cu375 +6Oe96/E/+Y3PO/oHn/Bv3vYjLzv6a7c450rPf83Rb/zC5R70sV87+r5v/Mvf ++dY3H32VB7/9yg9++9Hvuf4LH/xf/tvRj/34I373S+87+kYvuuNVb/3ho//i +x7/tIU/6X0dfcPYlL/r9vzr69mc++Oiv/sJvPPSuXzn6V7/nl9/5kkt9tr7P +Vx94jU9+w9FX+s1/+bB/csWj3/2wa/7ew6559GNu+IVrvukfH/1P//KPHv61 +Gx798Zdf+O7v/WdHP/tuT/zmp5579Pdf5e6PeN9tj/7y+276nqvc8eg3PO2b +rnOPHz/6Xt//V4985T2OvsLp597/6He95cXXvfFDj37Uz5z+OfqGZ/6Cj/7Y +p7/jW77uPx79zFd/3aN+4Pyjb3ufj/7h+c87+ksnX/vBlx39ug8+49HXuvDo +ezzzQX/8E288+uwfuu31X/vmoy+69LX/w+fefvTPnPzt3vQ9R3/HY99/g8e+ +/+g/v9nrH/uODx99/t8+6U8u+xdH3+YN9/z2H/7ro79wcpov+PzRF17vCv/9 +I185+j8/5LznnH3Jz9WXvsNP/M45Zx19r5N/t7t8/dFvPuvcKz/mHx19+U98 ++/e85PJHP+j0+F756ItecqnnfuLqR1/r5Gsvfe2jz/vXf37yix/9R6d/3Tc4 ++tuueHrgjn7C/33FTz/7O4/+8Mnfxm9+19Hfdfrz3Ozopz3lpz7z/25x9Cfv +f5eTEXD0rb739M/Rzz05LQ+43dGf+9q1XvCLdzj69h/5+ne+4YeP/pU3f/Gz +f/yjR3/lgk9c/e/uevSPnpzmq9z96Dfc6a3/7ub3Pvqs73zdC+9+v6Pv+Y2n +E+XoN33q50+++Oizf+/0wh39U6+8x8kNOPodT/jBh1/u0Udf857//MU3+tmj +H3nLb333nX/u6D88HX+/cPQNvnj6wUc//k//+na//UtHf+iN/+Pf/89nHn2T +03Hx3KOfejKtrv/Coz9x+5f+3e1fevS5N3jatX/6FUdfcHq9Xn30Zz9+v0f+ ++uuOvt3JNP3Arx798hfd+vf//teP/vKjTgfO0Xc+/et9y9GvP+eskxN99D88 +/dyLjr7HZz92MpGO/oP3nv45mh8POjlF51zp8EPzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/Oj33d+aH5o +fmh+9H3zQ/ND80PzQ/ND80PzQ/ND86P7Oz80PzQ/ND+6P/ND80PzQ/Oj8zs/ +ND80PzQ/Oj/zQ/ND80PzQ/ND80PzQ/ND86P5OD80PzQ/ND+aT/ND80PzQ/Oj ++TA/ND80PzQ/up/zQ/ND8+OWtzm9+K/JD80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzY9+3/mh+aH5ofnR +980PzQ/ND80PzQ/ND80PzQ/Nj+7v/ND80PzQ/Oj+zA/ND80PzY/O7/zQ/ND8 +0Pzo/MwPzQ/ND80PzQ/ND80PzQ/Nj+bj/ND80PzQ/Gg+zQ/ND80PzY/mw/zQ +/ND80Pzofs4PzQ/Nj8udGfSHH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh/9vvND80PzQ/Oj75sfmh+a +H5ofmh+aH5ofmh+aH93f+aH5ofmh+dH9mR+aH5ofmh+d3/mh+aH5ofnR+Zkf +mh+aH5ofmh+aH5ofmh+aH83H+aH5ofmh+dF8mh+aH5ofmh/Nh/mh+aH5ofnR +/Zwfmh+aHx89MxdenR+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of/b7zQ/ND80Pzo++bH5ofmh+aH5of +mh+aH5ofmh/d3/mh+aH5ofnR/Zkfmh+aH5ofnd/5ofmh+aH50fmZH5ofmh+a +H5ofmh+aH5ofmh/Nx/mh+aH5ofnRfJofmh+aH5ofzYf5ofmh+aH50f2cH5of +mh8Xz8XDD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzY9+3/mh+aH5ofnR980PzQ/ND80PzQ/ND80PzQ/N +j+7v/ND80PzQ/Oj+zA/ND80PzY/O7/zQ/ND80Pzo/MwPzQ/ND80PzQ/ND80P +zQ/Nj+bj/ND80PzQ/Gg+zQ/ND80PzY/mw/zQ/ND80Pzofs4PzQ/Nj8efceFV ++aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofnR7zs/ND80PzQ/+r75ofmh+aH5ofmh+aH5ofmh+dH9nR+a +H5ofmh/dn/mh+aH5ofnR+Z0fmh+aH5ofnZ/5ofmh+aH5ofmh+aH5ofmh+dF8 +nB+aH5ofmh/Np/mh+aH5ofnRfJgfmh+aH5of3c/5ofmh+XGnk1vwp1/+T/mh ++aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH50e87PzQ/ND80P/q++aH5ofmh+aH5ofmh+aH5ofnR/Z0fmh+a +H5of3Z/5ofmh+aH50fmdH5ofmh+aH52f+aH5ofmh+aH5ofmh+aH5ofnRfJwf +mh+aH5ofzaf5ofmh+aH50XyYH5ofmh+aH93P+aH5oflxvVM2zjv80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/Oj3nR+aH5ofmh993/zQ/ND80PzQ/ND80PzQ/ND86P7OD80PzQ/Nj+7P +/ND80PzQ/Oj8zg/ND80PzY/Oz/zQ/ND80PzQ/ND80PzQ/ND8aD7OD80PzQ/N +j+bT/ND80PzQ/Gg+zA/ND80PzY/u5/zQ/ND8+Pyzr/OvLvu3r8wPzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/Nj37f+aH5ofmh+dH3zQ/ND80PzQ/ND80PzQ/ND82P7u/80PzQ/ND86P7M +D80PzQ/Nj87v/ND80PzQ/Oj8zA/ND80PzQ/ND80PzQ/ND82P5uP80PzQ/ND8 +aD7ND80PzQ/Nj+bD/ND80PzQ/Oh+zg/ND82Pi878PRx+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofvT7 +zg/ND80PzY++b35ofmh+aH5ofmh+aH5ofmh+dH/nh+aH5ofmR/dnfmh+aH5o +fnR+54fmh+aH5kfnZ35ofmh+aH5ofmh+aH5ofmh+NB/nh+aH5ofmR/Npfmh+ +aH5ofjQf5ofmh+aH5kf3c35ofmh+POdWn3rWrT71ivzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND86Ped +H5ofmh+aH33f/ND80PzQ/ND80PzQ/ND80Pzo/s4PzQ/ND82P7s/80PzQ/ND8 +6PzOD80PzQ/Nj87P/ND80PzQ/ND80PzQ/ND80PxoPs4PzQ/ND82P5tP80PzQ +/ND8aD7MD80PzQ/Nj+7n/ND80Py4/7N+6KYfuu/hh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ke/7/zQ +/ND80Pzo++aH5ofmh+aH5ofmh+aH5ofmR/d3fmh+aH5ofnR/5ofmh+aH5kfn +d35ofmh+aH50fuaH5ofmh+aH5ofmh+aH5ofmR/Nxfmh+aH5ofjSf5ofmh+aH +5kfzYX5ofmh+aH50P+eH5ofmx83+z+mH/0p+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofvT7zg/ND80P +zY++b35ofmh+aH5ofmh+aH5ofmh+dH/nh+aH5ofmR/dnfmh+aH5ofnR+54fm +h+aH5kfnZ35ofmh+aH5ofmh+aH5ofmh+NB/nh+aH5ofmR/Npfmh+aH5ofjQf +5ofmh+aH5kf3c35ofmh+XOb02N/t8EPzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/Oj33d+aH5ofmh+9H3z +Q/ND80PzQ/ND80PzQ/ND86P7Oz80PzQ/ND+6P/ND80PzQ/Oj8zs/ND80PzQ/ +Oj/zQ/ND80PzQ/ND80PzQ/ND86P5OD80PzQ/ND+aT/ND80PzQ/Oj+TA/ND80 +PzQ/up/zQ/ND8+NDp9f6gy/PD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzY9+3/mh+aH5ofnR980PzQ/N +D80PzQ/ND80PzQ/Nj+7v/ND80PzQ/Oj+zA/ND80PzY/O7/zQ/ND80Pzo/MwP +zQ/ND80PzQ/ND80PzQ/Nj+bj/ND80PzQ/Gg+zQ/ND80PzY/mw/zQ/ND80Pzo +fs4PzQ/Nj9f+74++7TZ3PvzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND86PedH5ofmh+aH33f/ND80PzQ +/ND80PzQ/ND80Pzo/s4PzQ/ND82P7s/80PzQ/ND86PzOD80PzQ/Nj87P/ND8 +0PzQ/ND80PzQ/ND80PxoPs4PzQ/ND82P5tP80PzQ/ND8aD7MD80PzQ/Nj+7n +/ND80Px4zC1OH+R9WX5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+9PvOD80PzQ/Nj75vfmh+aH5ofmh+ +aH5ofmh+aH50f+eH5ofmh+ZH92d+aH5ofmh+dH7nh+aH5ofmR+dnfmh+aH5o +fmh+aH5ofmh+aH40H+eH5ofmh+ZH82l+aH5ofmh+NB/mh+aH5ofmR/dzfmh+ +aH7c4fzTQXD4ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH5ofmh+dHvOz80PzQ/ND/6vvmh+aH5ofmh+aH5ofmh ++aH50f2dH5ofmh+aH92f+aH5ofmh+dH5nR+aH5ofmh+dn/mh+aH5ofmh+aH5 +ofmh+aH50XycH5ofmh+aH82n+aH5ofmh+dF8mB+aH5ofmh/dz/mh+aH5cfPT +Y/m0l+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ofmR7/v/ND80PzQ/Oj75ofmh+aH5ofmh+aH5ofmh+ZH +93d+aH5ofmh+dH/mh+aH5ofmR+d3fmh+aH5ofnR+5ofmh+aH5ofmh+aH5ofm +h+ZH83F+aH5ofmh+NJ/mh+aH5ofmR/Nhfmh+aH5ofnQ/54fmh+bHR06++m5P +fEl+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofvT7zg/ND80PzY++b35ofmh+aH5ofmh+aH5ofmh+dH/n +h+aH5ofmR/dnfmh+aH5ofnR+54fmh+aH5kfnZ35ofmh+aH5ofmh+aH5ofmh+ +NB/nh+aH5ofmR/Npfmh+aH5ofjQf5ofmh+aH5kf3c35ofmh+/Oy1Ljy52S/O +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzY9+3/mh+aH5ofnR980PzQ/ND80PzQ/ND80PzQ/Nj+7v/ND8 +0PzQ/Oj+zA/ND80PzY/O7/zQ/ND80Pzo/MwPzQ/ND80PzQ/ND80PzQ/Nj+bj +/ND80PzQ/Gg+zQ/ND80PzY/mw/zQ/ND80Pzofs4PzQ/Nj+uc+d1flB+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5of/b7zQ/ND80Pzo++bH5ofmh+aH5ofmh+aH5ofmh/d3/mh+aH5ofnR +/Zkfmh+aH5ofnd/5ofmh+aH50fmZH5ofmh+aH5ofmh+aH5ofmh/Nx/mh+aH5 +ofnRfJofmh+aH5ofzYf5ofmh+aH50f2cH5ofmh+/e/K39tUHvjA/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80P/p954fmh+aH5kffNz80PzQ/ND80PzQ/ND80PzQ/ur/zQ/ND80Pzo/sz +PzQ/ND80Pzq/80PzQ/ND86PzMz80PzQ/ND80PzQ/ND80PzQ/mo/zQ/ND80Pz +o/k0PzQ/ND80P5oP80PzQ/ND86P7OT80PzQ/7nv1S73qxvd6QX5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+9PvOD80PzQ/Nj75vfmh+aH5ofmh+aH5ofmh+aH50f+eH5ofmh+ZH92d+ +aH5ofmh+dH7nh+aH5ofmR+dnfmh+aH5ofmh+aH5ofmh+aH40H+eH5ofmh+ZH +82l+aH5ofmh+NB/mh+aH5ofmR/dzfmh+aH6cdTpW7vL8/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pzo +950fmh+aH5offd/80PzQ/ND80PzQ/ND80PzQ/Oj+zg/ND80PzY/uz/zQ/ND8 +0Pzo/M4PzQ/ND82Pzs/80PzQ/ND80PzQ/ND80PzQ/Gg+zg/ND80PzY/m0/zQ +/ND80PxoPswPzQ/ND82P7uf80PzQ/HjNyal70R2flx+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of/b7z +Q/ND80Pzo++bH5ofmh+aH5ofmh+aH5ofmh/d3/mh+aH5ofnR/Zkfmh+aH5of +nd/5ofmh+aH50fmZH5ofmh+aH5ofmh+aH5ofmh/Nx/mh+aH5ofnRfJofmh+a +H5ofzYf5ofmh+aH50f2cH5ofmh8/cGYuPTc/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P/p954fmh+aH +5kffNz80PzQ/ND80PzQ/ND80PzQ/ur/zQ/ND80Pzo/szPzQ/ND80Pzq/80Pz +Q/ND86PzMz80PzQ/ND80PzQ/ND80PzQ/mo/zQ/ND80Pzo/k0PzQ/ND80P5oP +80PzQ/ND86P7OT80PzQ/Pn0ypc8694L80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/Oj3nR+aH5ofmh99 +3/zQ/ND80PzQ/ND80PzQ/ND86P7OD80PzQ/Nj+7P/ND80PzQ/Oj8zg/ND80P +zY/Oz/zQ/ND80PzQ/ND80PzQ/ND8aD7OD80PzQ/Nj+bT/ND80PzQ/Gg+zA/N +D80PzY/u5/zQ/ND8+KXTY3TOc/JD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pzo993fmh+aH5ofvR980Pz +Q/ND80PzQ/ND80PzQ/Oj+zs/ND80PzQ/uj/zQ/ND80Pzo/M7PzQ/ND80Pzo/ +80PzQ/ND80PzQ/ND80PzQ/Oj+Tg/ND80PzQ/mk/zQ/ND80Pzo/kwPzQ/ND80 +P7qf80PzQ/PjRmfO4bPzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND86Pfd35ofmh+aH70ffND80PzQ/ND +80PzQ/ND80Pzo/s7PzQ/ND80P7o/80PzQ/ND86PzOz80PzQ/ND86P/ND80Pz +Q/ND80PzQ/ND80Pzo/k4PzQ/ND80P5pP80PzQ/ND86P5MD80PzQ/ND+6n/ND +80Pz4/0nCrzqes/KD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzY9+3/mh+aH5ofnR980PzQ/ND80PzQ/N +D80PzQ/Nj+7v/ND80PzQ/Oj+zA/ND80PzY/O7/zQ/ND80Pzo/MwPzQ/ND80P +zQ/ND80PzQ/Nj+bj/ND80PzQ/Gg+zQ/ND80PzY/mw/zQ/ND80Pzofs4PzQ/N +j0c84HYnk/OZ+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofnR7zs/ND80PzQ/+r75ofmh+aH5ofmh+aH5 +ofmh+dH9nR+aH5ofmh/dn/mh+aH5ofnR+Z0fmh+aH5ofnZ/5ofmh+aH5ofmh ++aH5ofmh+dF8nB+aH5ofmh/Np/mh+aH5ofnRfJgfmh+aH5of3c/5ofmh+XHl +s0//PCM/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80P/p954fmh+aH5kffNz80PzQ/ND80PzQ/ND80PzQ/ +ur/zQ/ND80Pzo/szPzQ/ND80Pzq/80PzQ/ND86PzMz80PzQ/ND80PzQ/ND80 +PzQ/mo/zQ/ND80Pzo/k0PzQ/ND80P5oP80PzQ/ND86P7OT80PzQ/3vRbH/qx +77vM+fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH50e87PzQ/ND80P/q++aH5ofmh+aH5ofmh+aH5ofnR +/Z0fmh+aH5of3Z/5ofmh+aH50fmdH5ofmh+aH52f+aH5ofmh+aH5ofmh+aH5 +ofnRfJwfmh+aH5ofzaf5ofmh+aH50XyYH5ofmh+aH93P+aH5ofnxX0+m1he/ +9vT80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/Oj3nR+aH5ofmh993/zQ/ND80PzQ/ND80PzQ/ND86P7O +D80PzQ/Nj+7P/ND80PzQ/Oj8zg/ND80PzY/Oz/zQ/ND80PzQ/ND80PzQ/ND8 +aD7OD80PzQ/Nj+bT/ND80PzQ/Gg+zA/ND80PzY/u5/zQ/ND8+NI1PvDWe//N +U/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80Pzo993fmh+aH5ofvR980PzQ/ND80PzQ/ND80PzQ/Oj+zs/ +ND80PzQ/uj/zQ/ND80Pzo/M7PzQ/ND80Pzo/80PzQ/ND80PzQ/ND80PzQ/Oj ++Tg/ND80PzQ/mk/zQ/ND80Pzo/kwPzQ/ND80P7qf80PzQ/Pjlg89/QciT8kP +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/Nj37f+aH5ofmh+dH3zQ/ND80PzQ/ND80PzQ/ND82P7u/80PzQ +/ND86P7MD80PzQ/Nj87v/ND80PzQ/Oj8zA/ND80PzQ/ND80PzQ/ND82P5uP8 +0PzQ/ND8aD7ND80PzQ/Nj+bD/ND80PzQ/Oh+zg/ND82Px5+5l0/OD80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzY9+3/mh+aH5ofnR980PzQ/ND80PzQ/ND80PzQ/Nj+7v/ND80PzQ/Oj+ +zA/ND80PzY/O7/zQ/ND80Pzo/MwPzQ/ND80PzQ/ND80PzQ/Nj+bj/ND80PzQ +/Gg+zQ/ND80PzY/mw/zQ/ND80Pzofs4PzQ/Nj4uu9Iv/9uVvelJ+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofvT7zg/ND80PzY++b35ofmh+aH5ofmh+aH5ofmh+dH/nh+aH5ofmR/dn +fmh+aH5ofnR+54fmh+aH5kfnZ35ofmh+aH5ofmh+aH5ofmh+NB/nh+aH5ofm +R/Npfmh+aH5ofjQf5ofmh+aH5kf3c35ofmh+XOYnT8bgq5+YH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh/9vvND80PzQ/Oj75sfmh+aH5ofmh+aH5ofmh+aH93f+aH5ofmh+dH9mR+a +H5ofmh+d3/mh+aH5ofnR+Zkfmh+aH5ofmh+aH5ofmh+aH83H+aH5ofmh+dF8 +mh+aH5ofmh/Nh/mh+aH5ofnR/Zwfmh+aH3c4UeO85zwhPzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND/6 +feeH5ofmh+ZH3zc/ND80PzQ/ND80PzQ/ND80P7q/80PzQ/ND86P7Mz80PzQ/ +ND86v/ND80PzQ/Oj8zM/ND80PzQ/ND80PzQ/ND80P5qP80PzQ/ND86P5ND80 +PzQ/ND+aD/ND80PzQ/Oj+zk/ND90+89Pr+mlH3fsP1/zQ7f/fN3+83X7z9ft +P1+3/3zd/vN1+8/X7T9ft/983f7zdfvP1+0/X7f/fN3+83X7z9ftP1+3/3zd +/vN1+8/X7T9ft/983f7zdfvP1+0/X7f/fN3+83X7z9ftP1+3/3zd/vN1+8/X +7T9ft/983f7zdfvP1+0/X7f/fN3+83X7z9ftP1+3/3zd/vN1+8/X7T9ft/98 +3f7zdfvP1+0/X7f/3O9r//m6/efr9p+v23/u++w/X7f/fN3+83X7z9ftP1+3 +/3zd/vN1+8/X7T93f+0/X7f/fN3+83X7z90f+8/X7T9ft/983f5z59f+83X7 +z9ftP1+3/9z5sf983f7zdfvP1+0/X7f/fN3+83X7z9ftP1+3/9x8tP983f7z +dfvP1+0/N5/sP1+3/3zd/vN1+8/NB/vP1+0/X7f/fN3+c/fT/vN1+8/X7Y86 +/cxPPPrYH7Xmh25/1Lr9Uev2R63bH7Vuf9S6/VHr9ket2x+1bn/Uuv1R6/ZH +rdsftW5/1Lr9Uev2R63bH7Vuf9S6/VHr9ket2x+1bn/Uuv1R6/ZHrdsftW5/ +1Lr9Uev2R63bH7Vuf9S6/VHr9ket2x+1bn/Uuv1R6/ZHrdsftW5/1Lr9Uev2 +R63bH7Vuf9S6/VHr9ket2x+1bn/Uuv1R6/ZHrdsftW5/lN/X/qh1+6PW7Y9a +tz/K99kftW5/1Lr9Uev2R63bH7Vuf9S6/VHr9ket2x/l/toftW5/1Lr9Uev2 +R7k/9ket2x+1bn/Uuv1Rzq/9Uev2R63bH7Vuf5TzY3/Uuv1R6/ZHrdsftW5/ +1Lr9Uev2R63bH7Vuf5T5aH/Uuv1R6/ZHrdsfZT7ZH7Vuf9S6/VHr9keZD/ZH +rdsftW5/1Lr9Ue6n/VHr9kete3/3zFw+73h/d937u+ve3133/u6693fXvb+7 +7v3dde/vrnt/d937u+ve3133/u6693fXvb+77v3dde/vrnt/d937u+ve3133 +/u6693fXvb+77v3dde/vrnt/d937u+ve3133/u6693fXvb+77v3dde/vrnt/ +d937u+ve3133/u6693fXvb+77v3dde/vrnt/d937u+ve3133/u6693fXvb+7 +7v3dde/vrnt/d937u+ve3/X7en933fu7697fXff+ru/z/u6693fXvb+77v3d +de/vrnt/d937u+ve3133/q776/3dde/vrnt/d937u+6P93fXvb+77v3dde/v +Or/e3133/u6693fXvb/r/Hh/d937u+ve3133/u6693fXvb+77v3dde/vrnt/ +13z0/u6693fXvb+77v1d88n7u+ve3133/u6693fNB+/vrnt/d937u+ve33U/ +vb+77v3dde+XnDnoDz/eL1n3fsm690vWvV+y7v2Sde+XrHu/ZN37JeveL1n3 +fsm690vWvV+y7v2Sde+XrHu/ZN37JeveL1n3fsm690vWvV+y7v2Sde+XrHu/ +ZN37JeveL1n3fsm690vWvV+y7v2Sde+XrHu/ZN37JeveL1n3fsm690vWvV+y +7v2Sde+XrHu/ZN37JeveL1n3fsm690vWvV+y7v2Sde+XrHu/ZN37JeveL1n3 +fsm690v8vt4vWfd+ybr3S9a9X+L7vF+y7v2Sde+XrHu/ZN37JeveL1n3fsm6 +90vWvV/i/nq/ZN37JeveL1n3fon74/2Sde+XrHu/ZN37Jc6v90vWvV+y7v2S +de+XOD/eL1n3fsm690vWvV+y7v2Sde+XrHu/ZN37JeveLzEfvV+y7v2Sde+X +rHu/xHzyfsm690vWvV+y7v0S88H7JeveL1n3fsm690vcT++XrHu/ZM2Px538 +qjf8woPzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND86Pfd35ofmh+aH70ffND80PzQ/ND80PzQ/ND80Pz +o/s7PzQ/ND80P7o/80PzQ/ND86PzOz80PzQ/ND86P/ND80PzQ/ND80PzQ/ND +80Pzo/k4PzQ/ND80P5pP80PzQ/ND86P5MD80PzQ/ND+6n/ND80O3f/DMf477 +HvsH1/zQ7R9ct39w3f7BdfsH1+0fXLd/cN3+wXX7B9ftH1y3f3Dd/sF1+wfX +7R9ct39w3f7BdfsH1+0fXLd/cN3+wXX7B9ftH1y3f3Dd/sF1+wfX7R9ct39w +3f7BdfsH1+0fXLd/cN3+wXX7B9ftH1y3f3Dd/sF1+wfX7R9ct39w3f7BdfsH +1+0fXLd/cN3+wXX7B9ftH1y3f3Dd/sF1+wfX7R/0+9o/uG7/4Lr9g+v2D/q+ ++aHbP7hu/+C6/YPr9g+u2z+4bv/guv2D6/YPur/2D67bP7hu/+C6/YPuj/2D +6/YPrts/uG7/oPNr/+C6/YPr9g+u2z/o/Ng/uG7/4Lr9g+v2D67bP7hu/+C6 +/YPr9g+u2z9oPto/uG7/4Lr9g+v2D5pP9g+u2z+4bv/guv2D5oP9g+v2D67b +P7hu/6D7af/guv2Da37c/Go3evo/+JO75ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ZHv+/80PzQ/ND8 +6Pvmh+aH5ofmh+aH5ofmh+aH5kf3d35ofmh+aH50f+aH5ofmh+ZH53d+aH5o +fmh+dH7mh+aH5ofmh+aH5ofmh+aH5kfzcX5ofmh+aH40n+aH5ofmh+ZH82F+ +aH5ofmh+dD/nh+aH5sev3f/kP9bTvjs/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P/p954fmh+aH5kff +Nz80PzQ/ND80PzQ/ND80PzQ/ur/zQ/ND80Pzo/szPzQ/ND80Pzq/80PzQ/ND +86PzMz80PzQ/ND80PzQ/ND80PzQ/mo/zQ/ND80Pzo/k0PzQ/ND80P5oP80Pz +Q/ND86P7OT80PzQ/rni/M31rfmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH70+84PzQ/ND82Pvm9+aH5o +fmh+aH5ofmh+aH5ofnR/54fmh+aH5kf3Z35ofmh+aH50fueH5ofmh+ZH52d+ +aH5ofmh+aH5ofmh+aH5ofjQf54fmh+aH5kfzaX5ofmh+aH40H+aH5ofmh+ZH +93N+aH5ofjz4qmf++0h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofvT7zg/ND80PzY++b35ofmh+aH5o +fmh+aH5ofmh+dH/nh+aH5ofmR/dnfmh+aH5ofnR+54fmh+aH5kfnZ35ofmh+ +aH5ofmh+aH5ofmh+NB/nh+aH5ofmR/Npfmh+aH5ofjQf5ofmh+aH5kf3c35o +fmh+3ODifx6SH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh/9vvND80PzQ/Oj75sfmh+aH5ofmh+aH5of +mh+aH93f+aH5ofmh+dH9mR+aH5ofmh+d3/mh+aH5ofnR+Zkfmh+aH5ofmh+a +H5ofmh+aH83H+aH5ofmh+dF8mh+aH5ofmh/Nh/mh+aH5ofnR/Zwfmh+aH1e9 ++H+PlR+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5of/b7zQ/ND80Pzo++bH5ofmh+aH5ofmh+aH5ofmh/d +3/mh+aH5ofnR/Zkfmh+aH5ofnd/5ofmh+aH50fmZH5ofmh+aH5ofmh+aH5of +mh/Nx/mh+aH5ofnRfJofmh+aH5ofzYf5ofmh+aH50f2cH5ofmh8/f/H/HyQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80P/p954fmh+aH5kffNz80PzQ/ND80PzQ/ND80PzQ/ur/zQ/ND +80Pzo/szPzQ/ND80Pzq/80PzQ/ND86PzMz80PzQ/ND80PzQ/ND80PzQ/mo/z +Q/ND80Pzo/k0PzQ/ND80P5oP80PzQ/ND86P7OT80PzQ/vnrmYJ+XH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh/9vvND80PzQ/Oj75sfmh+aH5ofmh+aH5ofmh+aH93f+aH5ofmh+dH9 +mR+aH5ofmh+d3/mh+aH5ofnR+Zkfmh+aH5ofmh+aH5ofmh+aH83H+aH5ofmh ++dF8mh+aH5ofmh/Nh/mh+aH5ofnR/Zwfmh+aH4+4+D2s/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pzo +950fmh+aH5offd/80PzQ/ND80PzQ/ND80PzQ/Oj+zg/ND80PzY/uz/zQ/ND8 +0Pzo/M4PzQ/ND82Pzs/80PzQ/ND80PzQ/ND80PzQ/Gg+zg/ND80PzY/m0/zQ +/ND80PxoPswPzQ/ND82P7uf80PzQ/Pj0N595jzc/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P/p954fm +h+aH5kffNz80PzQ/ND80PzQ/ND80PzQ/ur/zQ/ND80Pzo/szPzQ/ND80Pzq/ +80PzQ/ND86PzMz80PzQ/ND80PzQ/ND80PzQ/mo/zQ/ND80Pzo/k0PzQ/ND80 +P5oP80PzQ/ND86P7OT80PzQ/bnLxPpD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/Oj3nR+aH5ofmh99 +3/zQ/ND80PzQ/ND80PzQ/ND86P7OD80PzQ/Nj+7P/ND80PzQ/Oj8zg/ND80P +zY/Oz/zQ/ND80PzQ/ND80PzQ/ND8aD7OD80PzQ/Nj+bT/ND80PzQ/Gg+zA/N +D80PzY/u5/zQ/ND8+MwDz+wjzA/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND82Pft/5ofmh+aH50ffND80P +zQ/ND80PzQ/ND80PzY/u7/zQ/ND80Pzo/swPzQ/ND82Pzu/80PzQ/ND86PzM +D80PzQ/ND80PzQ/ND80PzY/m4/zQ/ND80PxoPs0PzQ/ND82P5sP80PzQ/ND8 +6H7OD80PzY/XXrwPPT80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/+n3nh+aH5ofmR983PzQ/ND80PzQ/ +ND80PzQ/ND+6v/ND80PzQ/Oj+zM/ND80PzQ/Or/zQ/ND80Pzo/MzPzQ/ND80 +PzQ/ND80PzQ/ND+aj/ND80PzQ/Oj+TQ/ND80PzQ/mg/zQ/ND80Pzo/s5PzQ/ +ND/uf+ZePjk/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80P/p954fmh+aH5kffNz80PzQ/ND80PzQ/ND80 +PzQ/ur/zQ/ND80Pzo/szPzQ/ND80Pzq/80PzQ/ND86PzMz80PzQ/ND80PzQ/ +ND80PzQ/mo/zQ/ND80Pzo/k0PzQ/ND80P5oP80PzQ/ND86P7OT80PzQ/rndy +Kt77Z0/JD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzY9+3/mh+aH5ofnR980PzQ/ND80PzQ/ND80PzQ/N +j+7v/ND80PzQ/Oj+zA/ND80PzY/O7/zQ/ND80Pzo/MwPzQ/ND80PzQ/ND80P +zQ/Nj+bj/ND80PzQ/Gg+zQ/ND80PzY/mw/zQ/ND80Pzofs4PzQ/Nj49e4wNv +vfffPDU/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80P/p954fmh+aH5kffNz80PzQ/ND80PzQ/ND80PzQ/ +ur/zQ/ND80Pzo/szPzQ/ND80Pzq/80PzQ/ND86PzMz80PzQ/ND80PzQ/ND80 +PzQ/mo/zQ/ND80Pzo/k0PzQ/ND80P5oP80PzQ/ND86P7OT80PzQ/XnAytb74 +tafnh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ke/7/zQ/ND80Pzo++aH5ofmh+aH5ofmh+aH5ofmR/d3 +fmh+aH5ofnR/5ofmh+aH5kfnd35ofmh+aH50fuaH5ofmh+aH5ofmh+aH5ofm +R/Nxfmh+aH5ofjSf5ofmh+aH5kfzYX5ofmh+aH50P+eH5ofmx+t+60M/9n2X +OT8/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80P/p954fmh+aH5kffNz80PzQ/ND80PzQ/ND80PzQ/ur/z +Q/ND80Pzo/szPzQ/ND80Pzq/80PzQ/ND86PzMz80PzQ/ND80PzQ/ND80PzQ/ +mo/zQ/ND80Pzo/k0PzQ/ND80P5oP80PzQ/ND86P7OT80PzQ/Lnv26Z9n5Ifm +h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+ZHv+/80PzQ/ND86Pvmh+aH5ofmh+aH5ofmh+aH5kf3d35ofmh+ +aH50f+aH5ofmh+ZH53d+aH5ofmh+dH7mh+aH5ofmh+aH5ofmh+aH5kfzcX5o +fmh+aH40n+aH5ofmh+ZH82F+aH5ofmh+dD/nh+aH5scDTv9xyNWemR+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5of/b7zQ/ND80Pzo++bH5ofmh+aH5ofmh+aH5ofmh/d3/mh+aH5ofnR +/Zkfmh+aH5ofnd/5ofmh+aH50fmZH5ofmh+aH5ofmh+aH5ofmh/Nx/mh+aH5 +ofnRfJofmh+aH5ofzYf5ofmh+aH50f2cH5ofmh/vPFHgVdd7Vn5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+9PvOD80PzQ/Nj75vfmh+aH5ofmh+aH5ofmh+aH50f+eH5ofmh+ZH92d+ +aH5ofmh+dH7nh+aH5ofmR+dnfmh+aH5ofmh+aH5ofmh+aH40H+eH5ofmh+ZH +82l+aH5ofmh+NB/mh+aH5ofmR/dzfmh+aH58y5lz+Oz80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/Oj3 +nR+aH5ofmh993/zQ/ND80PzQ/ND80PzQ/ND86P7OD80PzQ/Nj+7P/ND80PzQ +/Oj8zg/ND80PzY/Oz/zQ/ND80PzQ/ND80PzQ/ND8aD7OD80PzQ/Nj+bT/ND8 +0PzQ/Gg+zA/ND80PzY/u5/zQ/ND8eMLpMTrnOfmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH50e87PzQ/ +ND80P/q++aH5ofmh+aH5ofmh+aH5ofnR/Z0fmh+aH5of3Z/5ofmh+aH50fmd +H5ofmh+aH52f+aH5ofmh+aH5ofmh+aH5ofnRfJwfmh+aH5ofzaf5ofmh+aH5 +0XyYH5ofmh+aH93P+aH5ofnxsZMpfda5F+SH5ofmh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmR7/v/ND80PzQ +/Oj75ofmh+aH5ofmh+aH5ofmh+ZH93d+aH5ofmh+dH/mh+aH5ofmR+d3fmh+ +aH5ofnR+5ofmh+aH5ofmh+aH5ofmh+ZH83F+aH5ofmh+NJ/mh+aH5ofmR/Nh +fmh+aH5ofnQ/54fmh+bHuWfm0nPzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND86Pfd35ofmh+aH70ffND +80PzQ/ND80PzQ/ND80Pzo/s7PzQ/ND80P7o/80PzQ/ND86PzOz80PzQ/ND86 +P/ND80PzQ/ND80PzQ/ND80Pzo/k4PzQ/ND80P5pP80PzQ/ND86P5MD80PzQ/ +ND+6n/ND80Pz44Unp+5Fd3xefmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH70+84PzQ/ND82Pvm9+aH5o +fmh+aH5ofmh+aH5ofnR/54fmh+aH5kf3Z35ofmh+aH50fueH5ofmh+ZH52d+ +aH5ofmh+aH5ofmh+aH5ofjQf54fmh+aH5kfzaX5ofmh+aH40H+aH5ofmh+ZH +93N+aH5ofvz9O07Gyl2enx+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of/b7zQ/ND80Pzo++bH5ofmh+a +H5ofmh+aH5ofmh/d3/mh+aH5ofnR/Zkfmh+aH5ofnd/5ofmh+aH50fmZH5of +mh+aH5ofmh+aH5ofmh/Nx/mh+aH5ofnRfJofmh+aH5ofzYf5ofmh+aH50f2c +H5ofmh93ufqlXnXje70gPzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND/6feeH5ofmh+ZH3zc/ND80PzQ/ +ND80PzQ/ND80P7q/80PzQ/ND86P7Mz80PzQ/ND86v/ND80PzQ/Oj8zM/ND80 +PzQ/ND80PzQ/ND80P5qP80PzQ/ND86P5ND80PzQ/ND+aD/ND80PzQ/Oj+zk/ +ND80P37j5G/tqw98YX5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+9PvOD80PzQ/Nj75vfmh+aH5ofmh+ +aH5ofmh+aH50f+eH5ofmh+ZH92d+aH5ofmh+dH7nh+aH5ofmR+dnfmh+aH5o +fmh+aH5ofmh+aH40H+eH5ofmh+ZH82l+aH5ofmh+NB/mh+aH5ofmR/dzfmh+ +aH5c4czv/qL80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/Oj3nR+aH5ofmh993/zQ/ND80PzQ/ND80PzQ +/ND86P7OD80PzQ/Nj+7P/ND80PzQ/Oj8zg/ND80PzY/Oz/zQ/ND80PzQ/ND8 +0PzQ/ND8aD7OD80PzQ/Nj+bT/ND80PzQ/Gg+zA/ND80PzY/u5/zQ/ND8eNi1 +Ljy52S/OD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzY9+3/mh+aH5ofnx/4u4X52t6zCO45uFeQA0Aidg +YGMUNgqbxTGClUCQQ9BgpbLJhkUDIH9UTgIPgaCbUoxOgsVo8rnvfV7v39Pe +8ft7rut6tbv3zQ/ND80PzQ/ND80PzQ/ND82P9nd+aH5ofmh+tD/zQ/ND80Pz +o/mdH5ofmh+aH83P/ND80PzQ/ND80PzQ/ND80PzoPs4PzQ/ND82P7tP80PzQ +/ND86D7MD80PzQ/Nj/Zzfmh+aH68u3j1vYdP80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/Oj7zs/ND80 +PzQ/et/80PzQ/ND80PzQ/ND80PzQ/Gh/54fmh+aH5kf7Mz80PzQ/ND+a3/mh ++aH5ofnR/MwPzQ/ND80PzQ/ND80PzQ/Nj+7j/ND80PzQ/Og+zQ/ND80PzY/u +w/zQ/ND80PxoP+eH5ofmxyensXz0LD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/+r7zQ/ND80Pzo/fN +D80PzQ/ND80PzQ/ND80PzY/2d35ofmh+aH60P/ND80PzQ/Oj+Z0fmh+aH5of +zc/80PzQ/ND80PzQ/ND80PzQ/Og+zg/ND80PzY/u0/zQ/ND80PzoPswPzQ/N +D82P9nN+aH5ofnz6+HQInueH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmR993fmh+aH5ofvS++aH5ofmh ++aH5ofmh+aH5ofnR/s4PzQ/ND82P9md+aH5ofmh+NL/zQ/ND80Pzo/mZH5of +mh+aH5ofmh+aH5ofmh/dx/mh+aH5ofnRfZofmh+aH5of3Yf5ofmh+aH50X7O +D80PzY8vb96+2PzDD80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzY++7/zQ/ND80PzoffND80PzQ/ND80Pz +Q/ND80Pzo/2dH5ofmh+aH+3P/ND80PzQ/Gh+54fmh+aH5kfzMz80PzQ/ND80 +PzQ/ND80PzQ/uo/zQ/ND80Pzo/s0PzQ/ND80P7oP80PzQ/ND86P9nB+aH5of +r/7685fbn/+QH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh993/mh+aH5ofnR++aH5ofmh+aH5ofmh+aH +5ofmR/s7PzQ/ND80P9qf+aH5ofmh+dH8zg/ND80PzY/mZ35ofmh+aH5ofmh+ +aH5ofmh+dB/nh+aH5ofmR/dpfmh+aH5ofnQf5ofmh+aH5kf7OT80PzQ/fj2t +9e+HH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh993/mh+aH5ofnR++aH5ofmh+aH5ofmh+aH5ofmR/s7 +PzQ/ND80P9qf+aH5ofmh+dH8zg/ND80PzY/mZ35ofmh+aH5ofmh+aH5ofmh+ +dB/nh+aH5ofmR/dpfmh+aH5ofnQf5ofmh+aH5kf7OT80PzQ/PjqN/b0X+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofnR950fmh+aH5ofvW9+aH5ofmh+aH5ofmh+aH5ofrS/80PzQ/ND +86P9mR+aH5ofmh/N7/zQ/ND80PxofuaH5ofmh+aH5ofmh+aH5ofmR/dxfmh+ +aH5ofnSf5ofmh+aH5kf3YX5ofmh+aH60n/ND80Pz49rfp4cffmh+aH5ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH70feeH5ofmh+ZH75sfmh+aH5ofmh+aH5ofmh+aH+3v/ND80PzQ/Gh/5ofm +h+aH5kfzOz80PzQ/ND+an/mh+aH5ofmh+aH5ofmh+aH50X2cH5ofmh+aH92n ++aH5ofmh+dF9mB+aH5ofmh/t5/zQ/ND8uP/kzo33X7zMD80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzY++ +7/zQ/ND80PzoffND80PzQ/ND80PzQ/ND80Pzo/2dH5ofmh+aH+3P/ND80PzQ +/Gh+54fmh+aH5kfzMz80PzQ/ND80PzQ/ND80PzQ/uo/zQ/ND80Pzo/s0PzQ/ +ND80P7oP80PzQ/ND86P9nB+aH5of39z68OTWh8MPzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/Nj77v/ND8 +0PzQ/Oh980PzQ/ND80PzQ/ND80PzQ/Oj/Z0fmh+aH5of7c/80PzQ/ND8aH7n +h+aH5ofmR/MzPzQ/ND80PzQ/ND80PzQ/ND+6j/ND80PzQ/Oj+zQ/ND80PzQ/ +ug/zQ/ND80Pzo/2cH5ofmh9vz/+HV/mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH50fedH5ofmh+aH71v +fmh+aH5ofmh+aH5ofmh+aH60v/ND80PzQ/Oj/Zkfmh+aH5ofze/80PzQ/ND8 +aH7mh+aH5ofmh+aH5ofmh+aH5kf3cX5ofmh+aH50n+aH5ofmh+ZH92F+aH5o +fmh+tJ/zQ/ND8+Ofb6/e/fjfww/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/N +D80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80P +zQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND82Pvu/80PzQ/ND86H3zQ/ND +80PzQ/ND80PzQ/ND86P9nR+aH5ofmh/tz/zQ/ND80PxofueH5ofmh+ZH8zM/ +ND80PzQ/ND80PzQ/ND80P7qP80PzQ/ND86P7ND80PzQ/ND+6D/ND80PzQ/Oj +/Zwfmh+aH1dObHz1Oj80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/+r7zQ/ND80Pzo/fND80PzQ/ND80P +zQ/ND80PzY/2d35ofmh+aH60P/ND80PzQ/Oj+Z0fmh+aH5ofzc/80PzQ/ND8 +0PzQ/ND80PzQ/Og+zg/ND80PzY/u0/zQ/ND80PzoPswPzQ/ND82P9nN+aH5o +fnx2sQW//Xf4ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH5ofmh+dH3nR+aH5ofmh+9b35ofmh+aH5ofmh+aH5o +fmh+tL/zQ/ND80Pzo/2ZH5ofmh+aH83v/ND80PzQ/Gh+5ofmh+aH5ofmh+aH +5ofmh+ZH93F+aH5ofmh+dJ/mh+aH5ofmR/dhfmh+aH5ofrSf80PzQ/Pj67ML +P+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH +5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofm +h+aH5ofmh+aH5ofmR993fmh+aH5ofvS++aH5ofmh+aH5ofmh+aH5ofnR/s4P +zQ/ND82P9md+aH5ofmh+NL/zQ/ND80Pzo/mZH5ofmh+aH5ofmh+aH5ofmh/d +x/mh+aH5ofnRfZofmh+aH5of3Yf5ofmh+aH50X7OD80PzY8357v4U35ofmh+ +aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5o +fmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+ +aH5ofmh+9H3nh+aH5ofmR++bH5ofmh+aH5ofmh+aH5ofmh/t7/zQ/ND80Pxo +f+aH5ofmh+ZH8zs/ND80PzQ/mp/5ofmh+aH5ofmh+aH5ofmh+dF9nB+aH5of +mh/dp/mh+aH5ofnRfZgfmh+aH5of7ef80PzQ/PjjfBcOPzQ/ND80PzQ/ND80 +PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ +ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND/6 +vvND80PzQ/Oj980PzQ/ND80PzQ/ND80PzQ/Nj/Z3fmh+aH5ofrQ/80PzQ/ND +86P5nR+aH5ofmh/Nz/zQ/ND80PzQ/ND80PzQ/ND86D7OD80PzQ/Nj+7T/ND8 +0PzQ/Og+zA/ND80PzY/2c35ofmh+XDof+p/zQ/ND80PzQ/ND80PzQ/ND80Pz +Q/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND +80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND86PvOz80PzQ/ +ND963/zQ/ND80PzQ/ND80PzQ/ND8aH/nh+aH5ofmR/szPzQ/ND80P5rf+aH5 +ofmh+dH8zA/ND80PzQ/ND80PzQ/ND82P7uP80PzQ/ND86D7ND80PzQ/Nj+7D +/ND80PzQ/Gg/54fmh+bHjdPP8T4+/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ +/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND8 +0PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80PzQ/ND80Pzo+84PzQ/ND82P3jc/ +ND80PzQ/ND80PzQ/ND80P9rf+aH5ofmh+dH+zA/ND80PzY/md35ofmh+aH40 +P/ND80PzQ/ND80PzQ/ND80Pzo/s4PzQ/ND80P7pP80PzQ/ND86P7MD80PzQ/ +ND/az/mh+aH58eBiiq5ffpMfmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+a +H5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5of +mh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH33f+aH5ofmh+dH75ofmh+aH +5ofmh+aH5ofmh+ZH+zs/ND80PzQ/2p/5ofmh+aH50fzOD80PzQ/Nj+Znfmh+ +aH5ofmh+aH5ofmh+aH50H+eH5ofmh+ZH92l+aH5ofmh+dB/mh+aH5ofmR/s5 +PzQ/ND++/+70d/ih+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5 +ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh+aH5ofmh ++aH5ofmh+aH5ofmh+aH5ofmh+aH50fedH5ofmh+aH71vfmh+aH5ofmh+aH5o +fmh+aH60v/ND80PzQ/Oj/Zkfmh+aH5ofze/80PzQ/ND8aH7mh+aH5ofmh+aH +5ofmh+aH5kf3cX5ofmh+aH50n+aH5ofmh+ZH92F+aH5ofmh+tJ/zQ/ND/w9c +YURj + "], {{ + {Opacity[1.], Texture[ + GraphicsBox[ + TagBox[ + RasterBox[CompressedData[" +1:eJzt3UuoJNd9x3E5WdgiCVF2CWhCHPICIYgjzciS7vs19zUz8oxGUmI7zjhS +TBaSYGQQju0sssgiwkGQRQyBKBibmJCFFl6ExBhiY5BNjJEsWwgjJ0pGmlsz +kjySje1lqvt0nf7XeVX17ce/Hl/4TFO3+jHdVf9fn6pTp6rf+9HHz//Zz910 +001PvCe/OX/pyfXLly994sIt+R8XH3vizz/22CMP7z328Uc+9sjl93/05/OZ +v/Eu828w/eYH752lP8Jk3kAH/GGUeoG1gv4aRE3xUlehXrqkoOO0K7yH+dJf +6dAuvJ4gC02kXRWYGfVaaiP1tYa2UK9VIoAOIwXA/BAE4NgeqqL+DoFpVFa4 +LvXlg85QL+YGUl8pmCv1Aus59QLoJ/X1jsVQr7QGUl8p0LQ0S+rFTPGjZKbl +rY4IIEq7OLtAfSWiPvVq6bcHJ6dfMx2gvd774Bi13QT6xUnlt4d6ubYOxd9e +6sWDSkSAmsf8qBckZY8Oo/KB+aH4AQAL8MCsqX8i4ME5FHZDqC9YNIF6HXaV ++pqFpF4PmC31imoy9bWDtlOvYWoeHUbNAwAANNNFJeofHJ2kVc9No74iMHPq +RQVLvRg6SX21oiHUS5E6R4dR7QAAAMjdX1B/J8BE7h+73nj6iwuN1apKbib9 +lQgHVd0h+uXUKNQ2Zkq/pONFrr5wgCnxTQ4AANAFF2ZH/bOgJ2ZYtC2ivtgx +Q+rlBId6SbSa+upDw6mXKIWNDqO2AQAAAHTD+cZTX0RoFPWC7Bj1Fdor6qsb +C6ZeclQyOox6BgAAAIA6PjA19Y+A7pm+LD+w3BHq6wJplGirqddPy+pWe32h +XZpVwNpLAwAAAACAHrqv/dSXIWZIvZzgUC+JBlJfKegMKhYAAAAAAMzKOSXq +HxyKtKquP9RXcYuoryy0DsUJAADQDNeaQX05YH7UqwvqNUAFoq3OVqMgUUuN +WkKA+oprKfUVh1agCAEAAAAAAADrDMrU10hLqa84tAKVBgAAAAAA0EmHheBd +6m+vjQ57SX2xq6zo4HTwYYdiwpl5GPozOAe9xTdMzeWQmN/zRQf4ZON1GGm/ +cgfle/Pp/RV77+CEU9ovwOdvBNqZNlN5lHLD6WxnpZREkzIzcVj8eSBe9qB4 +HaCHgrtUJlAiR4NYHQ5ujdL02eXr9y+ZmW47eEi40D9nvOkz5XCdWX7j4pKZ +Y3MkmcS98+TJ/Pb6haW3/uQe/97xxuRB0aIdEjd0xYF3eyg2+ZwH2228g1Fr +NUrKnpustz9+6p2/uPP6+SXz543H7zIT+Uz7mLf+tBy3w0EDN2oTzWYkKbOr +oyfUF/X0ayo4J/YBZYN1RmwEDlOWN15OpmSUcj/5zO3Bdk1680P3yrhdGzaF +o4g5S1597QPH439Pmjlm9+rscM5w/L/TZuWZygVz9Mo3b0kn6+1nT5iJr534 +unNXvjE5aMsOlt2UAS116DVkttPPnFkjdrJMU3UMz3znF83EF97/Sj4t/5QP +s4EdpOzM3D4ysDCHos0yc/ZX7E7WGw8s2Y1Du0vl7HaZjLx04ul8QrZoNjs2 +TZXpG28omtuDZVKG1rN9CzZiYoMw3z/K/3x9+b5Xb3vUj8YffPkbwZlOpuTD +zAakSZ95mNOK2SCbPbJR0IDm2w/9aRove5L1/uCqp/42m2SbMLmxd+xNx1hL +l7eJ2U7xxtQXHXAM+0UbITve9+um47HnfyU43zRYfsNk5zgNn5mfz3SeYhJ3 +zfThqy+rua+LvlJf8vXXzoG4DX4Kc4xJdGtku6NDxsEWqnJrMMHkxbRxiWYu +f0wiiVZxmEy7GIAYky87GtDuc9VosPKwrD/7vNPEyNTI9Jn5E+XRvpTzmqXe +j9G7BRStFLcr5S/8lXG+9scbgf7AjNyrtz362t0PBeu/vgt/+3owR8GXir2+ +P9/7XMdbRMCs7Q2jNGy8TK3mm4Wjg03FnB/85idqVr7Z9qtsj7LhMeVEV2Fl +u2n/O9P3OPoU6gsT2DMTolET+cqTZQa3j9oF0S3vD65wkhXcSnQ2CE8+91TN +FrBySJW/qam/bIE403jZcpVDcP00BTMSO/jlPDjYfRF7fX9+vqUa/I/Gp54B +izdsuTJxO5jYHRg9oDgzKyvOJZkoX3am0+XuZyF2eCv2RNu3nz/eP1RtH/DT +z/6e/kJ2Fjj6ZxSx3cA2VSxQtvfPaVnsIHlT+fm9NhQyBYmRUZVNnn9czM6x +p72UWjEKG3qyPdF4DQvyaGsjN9jzurBk6tM5Iasm2+g4iXD+TAyUSmSqfgej ++hJGn2X2VrRWebjknpcZTCur13ah24Zs0iPLsVx86cWbgyM0gn86g0BkW1ay +q7+c0TE2OJkMkTfzmmjCsu21rOiQzyeurpzLb52DXH7E0k2PH0Cz6eg8bPVb +l+q3cZUtV+AI2h4wRzJWg12t0L12K9EZCpVmspMIV7BxqWzv7IDDY+fu7q9+ +0U6bPhxg3mQDZ3oLB5tPZjSUecD+itnzcpjB6lnyEHB+18OXb8iHVTY9zqvZ +XsHYAyZS+t+1lzx6YXfUftneeFmQtpf+aOO0nfmNW//NiYDcaAwOC6xkmyc5 +bCPRqJmzVNItoHmR6O7bLrAoe2JaVGO+52V7C69Frq4WayPMhBmSIQcW2u6+ +PBHOfpaNmN9IfenFm7NQT77szZDZjI2xt1fzIGJYnL2iCSuHK9/zeuvSPSZi +zpCkylEWfsti9ssqnxU7FyzzuuLlEedgc/nKN2+JNYKD56ovdvSQyJeZ8IdC +BTv9Mm/TMdiuOTPtoAvn0PM0pznLFAfTOn6A+tJG3xRFmLdcdvpn//A7sWKW +pZtoehLPyspd6LFzlmN/ygHzZmL/8y/bh71626N2Ty3wxtSXNvqp3C3/s3/8 +LTPxvRdullVtbp2zI/2CT8Qknb6JWrH8waXdqzpPV1/O6KTTQ7vFrSQLL1LG ++e0/v/gLseD4feyJFi0Rt9guWJ1XsEGTUfWfe7Sxo78uMKnTDbPrTTj37ia/ +5E9HUyYr3NkpC3ZlxNKRHmco/68Hvp0aui/33YLniAUyq7520G27pYiZ0byD +L/aiAhNjomLz07tOMeZhfieJ022YOD86EUz/z9HD1Jc/us1rv+TR5HQlp2ve +aZsq+z38q9zIqAb7PeQOoJ9xf5fQDjuh/cLi5PnaXk1U/vO3fq5OyipailD6 +/JeKnVYpHyC3A52h+8H3k2pA1Rc+OmxHTOyMxsxb//u+R8xE4sCWE4Rgb4bf +vqx+61Lihxvq/1+VaUpcVGd8BW/1tYAOcyImXF07kH+aH2XIJz754A9tjj67 +esXc++zvfy8YBJmC2HVpnN4SGx9zBrTc0jN3yesAJ3pI6hj81+qrAN0gv653 +InNOF92MkYI0gwlNcPyu+GAjUtni+ClLSG8EyqZWjptyel1K/5f6esFEjvVF +qsC81V1x65E/NBlkRkf4PQmZ17MRG5jhp0zKxA5X7PUl2YEfHGoVuzocMFdm +fK+8qmGlk889JS9aaIMWO0vFjlPKG0H/ihx2g9O/2FRCsKF0BIcj/vULv/zy +ib9RX+zoDxMuf2SvM+4oE02DEyVZ7X5T4u8r+a/gMOcdx0YU1+wY8c/TzLZX +X7j1n370qTtu/68n1Bc7+ub15fvy2yunPixn/vtvfzsTTYDcBTMTzkXYjPrX +AZioF9H0QDozY4fhAvN3V679x6/93x2XLn76hvrSRs/Zyn/48g1nh6vyKVbs +Wr7p85TTrx97rh1CH3tYPufv11778b/+uvqyRXetereu2OAoy253Ba8O6h8C +9p/uzHHaxEw0jv7r+3/KPSzbpMoOFrkvRhcHFmJVTKzGsmYFo1TZK5iF9uOc +F6nzbmOnvcQ6OmTWJvqPgBkpZcr5bS9fnYYpMT8teAUA+//WfBH//M063YzA +7KymtwxzP/6r92XFyZXmt7Sy4lIzCYlYffHU9/2j0sH+Q2dElnPQyp7MlR4h +X6cDhLashVYbbMWd2B7aTf2CQ/blX0183huP35UelPvU9nV5V/q6oLGWJXg2 +WSwd8jWdq1SFXl99paC7TL68EpXX34gV8BeKn9yq/M7JgyCvoJuOT6zdMW2f +bO8SYUyMqC+/vvbyR7dtlyJ27eyyPLnSNE9vfujeOtGoeWma4AakbYzyDVEZ +XpmjOtfPSfS0RPbmtJc/um073IRdP2d7Ody7ZMXGurvrZKpmc5aeGVT534lj +CtrLH121XdxKZqDvYHrtaHMzXeSxTjl7KCp9Ln86BZUZkZcF9t9P5Umd4tsD +mA+ZrNJdg2srXV3fM0Xo72E5l69xDi0lYpVu1+y4i+DeU3DP62hzO3YqdNrw +1ADt5Y9j2F44+V87byP2DuUD5ERRfs5emK3el048Hes0iMUhdjXRmhuN/ois +yk714FisZ/wfAlMvFRzD4vM1y5DafI2ucnPlzo9UNkN++xIse/9ZdpCw0/Al +zuuvwz8Q5rS2oweoL3P0wtpoQtRknq/Xl+8zA+kzbyBi8FpPlZlK7JE5oUtH +sk4TFjN+ov5iR0+sjSdsvrY28k3E8bXairuemfAy1Ikg2F/fS7c+x2iwMnHI +LH8DJ7/ynzab5johg68L/cWObhOxCjVh4/nl0rVXoU9kQfYQTjlAUTZn9gI7 +lX2PsaNsNF7tt9ZaxfvfWh82WIPpYEdHLCCJY82xJiZ2QQyTEXmILY9VzWuv +SeGj0vqLeqI1gvbmK/mGqy7n6/eHm74+WfnBZMW2G482t+Wf5qRpu7FnNk2D +vffpnvn9z78sGi/1ZY7eM9UeD1dw2GGiyO1dJ597Sibuc/f8t3xY7Fqm9Ts0 +qh+pvmzRe3I4vU3ZYCBivukYyk6dy/banTL/0FidrkjbigV36xJj6ct3tW4D +A120M+ozNCN+R3th22vORX1jKfCbs/SAJTlC3nlAYpx8YsSviaEbVfWlChi2 +IEe3bi+in6npz1WsE66J/hc5CJ98oVl2St014xEdp/44K+9/pXvpgw9IbM69 +/ewJ87vJZo75USEb4eDJLzaGqV4O9eUJxMT7OmL7WTJclScR53M++eAPYwEM +Pl5O2wf/5DO3B/vtj7Y29JchEDPM19WVc6JiN288fpf9SRR7gQ4/IDUv+2nP +Nwn2lmSie8RMPPfddydeXP45uCKx+gIEAtZHE6MRU6cHfR1bm9nW+hsXl2QN +y0FT/sn+foeh6daLdWtkwytjVw4YdppR2ZD9i/0FdvkpgMaS5VpU9TtPRn9w +XA7ETZyrkohM3iY619xwnv7a3Q/5+3HyNQfdnurLDTO23hL+W7Xvf600Z2u9 +/OlKP3OZvkCHbb/S4yucc17qnyZpnxh4ivu50A3qwZlh9IYTW6W7zIai/ZmV +USUnt9zqJEX+UFE6X3UubFi0XOpLsu3U09TJfCUXuNtMeHOSW4yxwYd/951f +8sPlnO318OUbsfHAY1vrw3CpLyggLfRdMdxWHDRhQ2ZmbIx9sFMxdsrkpIJD +qgZ9L6UtQ6Bd3JY6L2l5aMxUePCnzIOJML+WHrtkYuWGoum3fO3uh370l3eM +WlL9RQQcy3hHbLyDNmjFxuHaGLUgQ8PThN2txzwU9iKiqc28SDADDZ8Zcpxv +FhbtKdAVxbbixmlzXMwW/5W7PujEoXJssNNm5Rl86cTTsSbMDtAaHpIjWeim +Ub42t0YtiPgF58EDdlb+53cv5/I4XD+/5GTEnD5pOJuUX/nue9IxNFcIMW9A +fSEAM7YVmDPu9zA7YsNRwVdXztnrUJlGTV6NajRd/ESLGc3rJ878TJKMbT96 +a+HZagPnDQena3DaDtGvaCpf7HyJaTO2Ks/alTs/crS5bSJmQyevuGiCOe4/ +GfW9Fx0sE75bdIF6dhZkIxNd9JlovETo1sxF3gZztkrHoG2Xvmnsrpz68Ggf +beVsninbZzK6TJx42dHEVoMXtXoFdtuw8KagXR6T5Et83nVb/1mpXVsbdSra +aAyaoQ2bIJu7QT+/nSlyJAK7Vvx36p8diqbMVyusi9sN25aNwyW2G4+KGI5P +wip6QsoJWhtvWxZ/iqCZF1kPvY3WfTVhGurFr+NI3GZiW9FtgIqsyeDIDI76 ++WewJYC50snXkVdaC7DIBXvkTcQeJt9e6p2Xt6sX/4nQIir5Upd5E+lYpZ/u +L8xZLVj18sCU1EsdUEG+AAAAAAAAAGjbbD/1ZQjEqKcD01CvH6SpVwgwP+QL +AAAAAAAAAID+2YxQf2NAB2xuzUg8qlrUly0ws3x1gPYXAl8X3aNf1Zgf7e8E +vkb0awCYnvbXQpT6kgEAAAAAAAAAAABmaLsx1BcFMHPqsWod9VWGFlEvVyyA +epn1lvqqB+pQTwr5AgAAAAAAAACgLXbqUX+fQAtt7Oirm3GSjrZRD1fTTBZ2 +Io8k9XpGTccJPtnXpl426IxjfgN0+ntAfaUAWqb6Qqj3zaD+GQEAAAAAAAA0 +1+mZUv84QKPMNl+K1Jck4FPPRWeor0o0kHpZYrbUKwqSej2g89SLnHwBWsgX +AAAAAAAAABW7C6H+MQEN67sLsqAgE2c0ycLytWALjTOJRoR6EDpj0Ykm122g +XpaYkk6uCTj5wkIoB7zZSVdfO8BM6Ac8RH2xAAAAAEBf7JWpvx+gS/YWTv0j +Awuz+HwRYfTF1fW9Y9MOURPor0E02TT5WgDt+DSKfrVgUuoJUqedmibTr8+2 +Uy/vntBOSrvo54J8IUY7HR1AvtAg2nFoLvVVAxybenzIFzBX5AvQs5+k/vaA +NlvbD6gI3Uxof3BgAYL5mh+Si15ZcL7anVzyiwmp56IVFhde8tst6qWLtQXn +lxSTL8yBQop7n2X1lY7uUQty8xKtvi6AKenHOZ5r9YUDtFSdxKm/SQAAJvf/ +9bYrZw== + "], {{0, 288.}, {288., 0}}, {0, 255}, ColorFunction -> + RGBColor], + BoxForm`ImageTag["Byte", ColorSpace -> "RGB", Interleaving -> True], + Selectable -> False], DefaultBaseStyle -> "ImageGraphics", + ImageSizeRaw -> {288., 288.}, PlotRange -> {{0, 288.}, {0, 288.}}]], + EdgeForm[None], GraphicsGroupBox[ + TagBox[PolygonBox[CompressedData[" +1:eJw0nXf813Pb/vvs/TVSKVSUBiktUSQrslJCVmintIdKaVNRtGWGLiVEsvcm +W/aMrOwtI93n83Ec9x/n43dc5/s8z9f4vN/P48t93fdvz97Dug9NVqtWrUuj +atXS8f8mIvjP/SP6ReQi8hFDIgb7WSpigGvQ9A10Dp2JGOQcOhtxvnPMKkRc +4JnoYsRQ59CliGHO7RixU8SYiNERlYiqiJERI1xbjhjunqz3PNhrlt0zwjU7 +R1SPGBcxNmIHr8HsUZ69gzVr7B0RV1NtTsRs72Vn97Knhq7h2aURdSJ2i7g4 +YnJEjYiaERMixlvXipjoHHrXiIucQ9eOmOQcs3aPmOKZ6D0ipjqHrhsxzbkG +3hN7uSSifsSeETMjZri2XsR093AXu0Rc6Dup554ZrtnFZxjvmr28BrNnefZe +1jO99zo++yTfXeOIub7DThGHRayMuDmiSUTTiMsjLrPeJ2Kec/tGNIu4ImJ+ +RPOIFhELIxb42X4RV7pmH/fM94xlEVdFbIv41737RyzyjJYRrSKWRCy2bh2x +1Dl0G89Z6rWae23WbBtxQMRyr4NuF3G1c+gDI65xbn+vudh7aOw7uMx3RO1B +Ede6p31Eh4jrI67z3R0e8T/f4cERh0SsiLjBumPEjc6hD424yblD/Rvc7Byz +joi4xTPRR0ascg59VMRq546NOC5ibcQdEUdHHBNxW8Qa13aOuNU9B/kM1/lM +nd2zxjUdfIYbfMYuXoPZt3t2F+vbvPbxEXd6D+gTIu5yDn1ixDrnukacFLE+ +4m7rbhH3OIfuHnGvc+iTI+5zDt0j4n7n0KdEPOAc+tSIB51DnxbxkHPonhEP +O4c+PeIR59BnRDzqXK+IcyKeingy4syIsyIej3jM+uyIJ5w72z1POkfvuRFP +e8YZnvGY1+DZeRHPuAbGws2NEW9E9I7oE/FcxLPWfSOedw5PgPsbIl6whvsv +OoeG+y85h4b7LzuHhsuvOIeG1a86h8YXXnMOjS+87twF3vMbzsFoWP1OxNs+ +C5x/02dCw/63nBvhnredO89nftZ3wrvDO8O7ss6z8YZ3vQbMh/3vR7xXTcyG +05siPvEzOPqBa9Bw80Pn0LD0I+fQ+MDHzjELbn7qmWhY+plzaHxgs3NwGu5u +ifi6mjwArn8Z8YVrp0R87p4J3vMnXnOKe75wDRyH7d9GfFNNHjDDs7/y7OnW +X3rtWa7d4l685zvPGO07e893CJNh868Rv1STb+ILP0R872dw/DfX8Awu/uga +OIkv/Bzxk/U8z/rZtZf5GT2wG0bD5mWeDbd/9xpLnccb/qkmJsPmvyK2VhPn +8Yk/I/5w7wLr3/1skWv/9Nln+yzcwRKvwey/PXuJNWu0jj+42kQsi1hq3Tbi +KufwDHyAP8y2VxPT4Xg2/nMmoWdwNZFQDRrWJhPKoeFqKqEc+oaIdEI5ZuED +uYRmovGGfEI5ND5TSCgHs2H3DqGrEvIIfKEcupRQLT5STKjnBu+ZvbImz+ih +lhqYDtt3Cr1jQp7BGsyuJDSbHJo14NjjEQ1DN0hoL8yglz3BOGp4tldCjIfr +tUPvmpBn4BO7hK6ekMYnaiSUQ/Pd10woh4YFtRLKMQtfqJPQTDS+sFtCOTS+ +sHtCOZjOntjLngl5Aj5QL3TdhGrxjT0S6uEu8L6dE7oTntFDLTU84wzsnRo8 +hDWYXT+h2eTQrMHeuQPOzhm4O3xi74TuECbBoU6hD03IR/CNxqEbJaTxiSYJ +5WAkrNwndNOEPADuNw+9X0LP8Il9E6qhlx5qmcHfRLzTvMv/uRefaJHQDDwC +X2gZev+ENL7QKqEc+lV/N+RYC29qltCaeAS+0NbfEhqvOMDfFRpfaJdQjrVZ +k7XYA3fDHXB27ohafOPAhHrwDLyjfeiDEro7uH1YQneIR8C5g0N3SEjDvkMS +yqHxhY4J5dD8Btw9OWbB5cMTmomG1UcklENvijgyoRzchsPHhu6SkCfA+aND +d06o9tOIoxLq4Sycgb1zJp7RQy01nI0zsHfOiCewBrOPSWg2OTRrsDZecFxC +e0DjFccnlEPjCycklMMn8IWuoU9MSMPJkxLKoWFnt4RyaLjfPaEcGq6fnFAO +Det7JJRD4wOnJJRD4w2nJpRD4yunJZRD4wM9E8qh4frpCeVgNKzuFfrshLgP +588MfUZCGvaflVAOTQ+15OiF/eckNIPZzKCXNXiG95ybUA2MhZsXRAxJ6J9b ++E56hz4vIc230yehHBru900oh4b7/ZxDw/3+zqHh/gDn0HB5oHNoWD3IOTS+ +cL5zaHxhsHM573mIczAaVo+IGO6zwPmhPhMa9g9zruSe4c5xF5yZs3InvDu8 +M7wrJ3g23jDSa8B82D86YlRCzIbTEyLG+xkcHeMaNNwc6xwalo5zDo0PXOgc +s+DmRM9Ew9KLnEPjA5Ocg9Nwd3rEtIQ8AK5PibjYtfjEZPfs4j2P95p13HOx +a+A4bJ8ZMSMhD6jr2VM9ew/rKV67vmunuxfvmeUZVb6zUb5DmAybL4+4LCHf +xBcujbjEz+D4PNfwDC7Odg2cxBfmRsyxbuJZc13byM/o4e+w5X6nt9lH4PZ8 +rwHTYTt/Cy1JiMmweVHEwoQ8Bp9YEHGle2H/FZ7R3D0LXbOXz3SJ76Cl12D2 +Ys9uac0aeAse0sb7wDPwieUJ/U2WTsY3ETEoYmBSTIfjKyJuSMgj4Oo1EVdb +w9prnUPD1eucQ8Pa651jFj5wo2ei8YabnEPjMzc7B7Nh960RqxPyCHzhloj/ +uRYfWeke1jrYe73ezw53LTUwHbbfFrEmIc84yrNXefaR1qwBx+DeYxGPei9H +u5c9ne4anj2SEOPh+vqIuxPyDHxibcQd1vjEnc6h+e7vcg4NC9Y5xyx84R7P +ROML9zqHxhfuc66n98ReHk7IE/CBByMecC2+cb97uAu873bfSQ/3POCaLj7D +Ha45zWsw+yHPPs36Qe+9q8++zneHTzzuO4RJcOj9iPcS8hF848mIJ6zxiaec +g5Gw8pmIpxPyALj/fMRzfoZPPOuac9zztGe8HPFKRKt4l1sm1YtPvOAZeAS+ +8GLEBmt84SXn0IM85yWv1cdrsyYegS+86nXQeMVrzqHxhded6+c1N3gPZ/kO +nvAdUYtvvOEePAPveDNio+8Obn/gO8Qj4NzbEW9Zw753nEPjC+86N9q/wXvO +MQsuf+iZaFj9kXNofOFj5+A2HP48YnNCngDnP43Y5Fp84xP3DPUZNvpME92z +yTXDfYa3fMbJXoPZn3n2ZOtPvTZe8IX3gMYrvnQOjS985Rw+gS9sifjaGk5+ +4xwadn7rHBruf+ccGq5/7xwa1v/gHBof+NE5NN7wk3NofOVn59D4wC/OoeH6 +r87BaFj9V8TWhDwAzv8R8bs17P/TuYXu2eocvbD/b89gNt7xm9fgGd7zj2tg +LNzMx7eRS+qfyfGBbRH/WuMN/zmHhvvbnUPDff4HJ9ut4X4iqRwa7ieTyqHh +ciqpHBpW4zvk0PgCHkQOjS9k7Us3es/slRyMhtXl0KWkzgLnC0mdCQ37i0nl +0PRQS467WOaz/uN3Z4bfla88G2+oJLUGzIf9O4SuSorZcLpG6F2SegZHd0yq +Bg03d0oqh4alOyeVQ+MD1ZPKMQtu1kxqJhqW1koqh8YHdk0qB6fhbt3QeyTl +AXB9t9B1kqrFJ2on1cNa7Jm9sibP6KGWGjgO2+uHrpeUB7AGs3dPajY5NGuw +Nj3Usgd68Z49k5rB3XFn3BV3CJNhc5PQjZPyTXyhQei9knoGx5smVcMzuNgw +qRo4iS80Cr13UpqZzCJHLTU8owd2w2jY/LJnw+19klrjJefxhv2TYjJsbh56 +v6Q4j080C71vUr3k0MzgGT3UUsPZORNn4Q7wENZgdoukZpNDN/ffWPyt9TJ7 +SepvsXb+rvjW8Ax8oG08a5MU0+F4h9Dtk3oGVw9IqgYNa9sllUPD1QOTyqFh +7UFJ5ZiFDxyc1Ew03nBIUjk0PtMxqRzMht1Hhj4iKY/AFw4L3SmpWnzk0KR6 +WIs9s1fW5Bk91FID02F759BHJeUZrMHsw5OaTQ7NGjAQ7p0R+vSk9sIMetkT +jIN1PUOflhTj4fqJoU9IyjPwiS6hj0lK4xPHJpVD890fl1QODQuOTyrHLHyh +a1Iz0fjCSUnl0PhCt6RyMJ09sZdTk/IEfKBH6JOTqsU3uifVw13gfUcndSc8 +o4daanjGGdg7NXgIazD7lKRmk0OzBnvnDjg7Z+Du8Ikzk7pDmASHxkSMTspH +8I2zQ5+VlMYneiWVg5Gw8tzQ5yTlAXC/T+jeST3DJ85LqoZeeqhlxqt+p3mX +WyfVi0/0TWoGHoEv9I/oZ40vDHAOnfZ3Q4612ANrsyYegS+c7+8KjVcMdg6N +LwxxrprX7Oc9cDfcAWc/07X4xgXuwTPwjmERQ313cHus7xCPgHMjIoZbw76R +zqHxhVHO7eDfYLRzzILL4zwTDasvdA6NL4x3Dm7D4YsjJiflCXD+ooiJrsU3 +Jrin4DMM9Zlqumeia0o+w3CfsbbXYPYkz65tfZHXxgumeA9ovGKqc2h8YZpz ++AS+MCNiujWcnOkcGnbOcg4N9y9xDg3XL3UODetnO4fGB+Y4h8Yb5jqHxlcu +cw6ND1zuHBquz3MORsPqhRELkuI+nL8iYr417L/Suf3cs8A5emH/Is/YxzPm +ew2e4T2LXQNj4eaNESuS+ucWvpOlEUus+XaWOYeG+1c5h4b7y51Dw/2rnUPD +/WucQ8Pla51Dw+rrnEPjC9c7h8YXbnDuYO95hXMwGlb/L2KlzwLnb/KZ0LD/ +Zuc6uWelcy195iW+E94d3hnelWmejTfc4jVgPuxfHbEqKWbD6bURd/gZHL3V +NWi4ucY5NCy9zTk0PnC7c8yCm3d6JhqW3uUcGh9Y5xychrv3R9yXlAfA9Xsi +1rsWn7jbPV285zu8Zlf3rHcNHIftD0Y8kJQHnOzZ93p2d+t7vPYprr3fvXjP +Q55xhO9sle8QJsPmpyKeTMpT8YVHIx7xMzj+tGt4Bhcfcw2cxBeeiHjcupdn +PeHas/yMHv4OwwNa+3dmNtx+xmvAdNjO30IvJsVk2PxCxPNJcR6feC7iWff2 +tn7Gz/q69jmfnb8FHvYd9PcazN7g2f2tWQPPwCdeSepvMjQ+8apzaHzgNedg +ONx+J+LtpBg/0vot18LZ192Dhr1vOIeGsxudYxZe8K5novGV95yD0bD6k4iP +k2L6BOuPkuIKHPot4tekPAOf+DDiA68F29/0mszGZ973Ghd65kfugfGw/tOI +Ta4d51nvey0497vXHO47eMtr0It3fOYZMB2OfxOxJSnmz7T+OikPwTc+j9hs +jW984RwaDnzpHLPwgW89E40PfOccDIezv0T8nBTjL7f+yc/m+66owSPwhR8j +fvBdX+Szf+LZ+Mr3XmOuZ/7knsk+w2afebZn/uAe9g7LvvIZuDu84g/fIYyC +S1Wp+NshJU+Ag39FbHUtPvKne9CL/OxP18LOv92DJ+AD2yL+jUjGzFTEgIj+ +KT3DF/5zDZ6AD/BffNyeFNfhdjb+cyYlD4D7zGAWtfhEIqUe1saX/vEeeHad +16VmudfY7jVv8BrMTqc0m1za++Qu4PIOKd3JUp/pX6+Bh+Ab+XiWS4nZcHSn +0Dum1EsOzQw8A+6VQhdT0rCwnFJulX8D7p4cs2DzzinNROMD1VPKwWm4Wzv0 +rikxmxy6Vkp7w9sKKe0Rj4D7NUPXSOluOQN7546Zja/sktIa1DKTWfQwizOw +d2ZSy0xm0cO7xTf1td8x9oY31Elpj2i8Y7eUcjAZNjcM3SAl38An6obeIyUN +N+ullEPD0vop5fAIfGCv0HumNAvu753STDRe0CilHBofaZxSDk7D3f1CN0uJ +4bB8n9BNU6rFF5qk1MNa7Jm9sibP6KGWGjgO21uEbp6SB7AGs/dNaTY5NGvg +kZyZs+6e0l6YQS97goGw8LDQnVJiNNxsF/qAlDwCX2gVumVKGl9onVIODffb +pJRDw/22KeWYBScPTGkmGnYelFIOjZe0TykHd9kTezk0JU+A24eEPjilWnyj +Q0o93AVeun9Kd8Izeqilhmecgb1TA9NZg9kdU5pNDs0a3AVec3hKdwITYeOR +oY9IiUGw6KzQZ6bEON7D40IfmxKzyaG7pNSLLxyV0gw03OycUg4NS49OKfeV +3+3jU5qJxjtOSCkHZ+HwyaG7p8RccuhuKb37/Ob81nwDeAQcPyl015TWwmeO +SWlNZuMrJ6a0BrXMZBY9cB8vOSV0j5RqmcksepjFmTkrM9kbPdSyR3rxnVNT +mgGz4Wav0GendJfk0Nwpz+DeOSnV4Fv4bs/Qp6XkIfjCGaFPT0nzm/BbkKOW +Gp7RA2Nh7cCUPIHZsPXclNaA6XC7X0TflH57fnN+a94BGA7L+4Tu7VpY3989 +zMJXzktpJrXVPIsezs4Z2Dt3QC0zmUUP3wrfHN9aW+8VrxjkPQ+OGMJ3xbeW +EsPh9vCIYSkxNee6863z7hlsDUcvcA4NW4c6xyy8YIRnovGhkc6h8ZVRzsFg +WDw+4sKUPAOfGBsxxrV40Wj3FL3nYV5zR/eMcQ3Mh/0TIyak5Am7ePY4z65u +zRowDbbNj5jnvdR0L3tq6hqeXZ4S0+H49IhpKXkEvjA5YpI138nFzqH5dqY4 +h4adU51jFt4wwzPxBDg9K2KmNey+xLkm3hN7uSwlj8AX5kTMdi0+cql7uAu8 +9SLfSUP3zHbNrj7DJNc09hrMnuvZja3neO91ffapvju84grfIQyCO7dGrE7J +E/CGBRFXWsPVhc7BUFi6OGJRSsyH88silvoZvrDENS3cs8gz4AqsujfiHvfy +3l/lGXwH+MTVEcut8YlrnEPjE9c618p7WOo18QS4f33EddZ4wQ3OoeH8Cufa +es3l3kMz38GVviNq8YYb3YNv4E03R9zku4Oja3yHeAbc+F/ESmtYcotzaHxg +lXNH+jdY7Ryz4OhtnomGrbc7h8Zb7nAODsPp9RF3p+QRcPyuiDtdi4+sdU9H +n+Emn+k499zpmk4+w0qf8USvwex1nn2i9V1eu5t/y/X+beH+ff6N30yHx0cc +lInfLiMuw+lHIx5JySfwhQci7reGkw86h4adDzmHhusPO8cs2P+YZ6Lxkced +Q+MtTzgHd+HycxHPpuQBcPvpiKdci+886R7WOt17fdjPznEtNXAeH3gh4vmU +mN7bs5/x7POsWQOOwbYPIz7wXvq6lz2NdQ3P3k+J2XD69ZQ8AA/DF16KeNEa +r3jZOTQ+8IpzaHzgVeeYBYff8Ew0bN7oHBofeNO5Md4Te3kvJQ+A6+9EvO1a +fOIt93AXeOMG38kI97ztmv4+w4uuGe01mP2uZ4+2fsd3Bdc/8p2hYf3HzsEU +2LI14s+UmAgbP43Y5Fo4+ol7Jrpmk3PUwv3P3APj4eQXEZ+n9C7zjvJu3udn +sPRL1+ABeMHXEV+lxFzY+2PEDykxHW5/F/FtSh6BL3wTscVr4zObvYdZ7vnW +NdO8xldec7bXYPb3nj3b+jvPmuK9b/Ze8IafvCc0XvSzczAabv4d8ZfvcrH1 +Vj+Drf+4Bs+Ai79F/JoSJ/GFPyJ+t17o3+IP117pZ/Twtw1/s/BeDvJsuP+v +14DpsD3B/yJiWnvFy3/xnuE0PrA94j/XwvlkWj3MguPbPPNqz2TWds+a773/ +4trlnrXNs/CJVFoz0fhEOq0cGh/IpJWD4XC7HLqUFlNhay50Ni0NR/Np5dCw +tZBWDo0vFNPKMQsvqKQ1E42vVKWVQ+MLO6SVg9twuEboXdLyBDi/c+id0qrF +N3ZMq4e12DN7ZU2e0UMtNXAd1tcKXTMtT2ANZldPazY5NGvANVi3T+imae2F +GfSyJ5hHDc+apMVwuF0vdN20fAOfqBO6dlqa72y3tHJovr3d08qh8YU90sox +Cy7XT2smGlbvmVYOjS/slVYObrMn9tI4LU+A83uHbphWLb7RIK0e7gJf2zWt +O+EZPdRSwzPOwN6pwRNYg9mN0ppNDs0a7J074OycgbuD+/umdYdwCQ4dFfrI +tHwBju4XullaGm42TysHR+H+/qFbpMV4WN86dKu0nuEDLdOqoZceaplxIN4c +cW3ENWn14jNt0pqBR+ALB4Rum5bGF9qllUPDfeaQYy32wNqsCfNhf3uvg4br +HdLKoWH9wWnlWJs1WYs9cDfcAWfnjqjFKw5JqwdfwisPDd0xrbuDo53TukM8 +A584LHSntDQ+cHhaOTQ+cERaOTS/AXdPjllw9Oi0ZqJh6zFp5dD4QJe0cnAb +Dp8Uumta3MYbjg99XFq1cPzYtHo4C2dg75yJZ/RQSw1n4wzsnTPiCazB7BPT +8hBy6BPSWhsv6JbWHtB4Rfe0cmh84OS0cngAXD0ldI+0NFw8Na0cGlaellYO +Dcd7ppVDw/bT08qh4f4ZaeXQeMGZaeXQ+MpZaeXQeM3ZaeXQ+EyvtHJofOGc +tHIwHJb3jeiTFuPh9nmhz01Lw/LeaeXQ9FBLjl58op9nMJsZ9J7jZ3C/v2tg +LNwcFTEyLQ+A+wMjBljjBYOcQ8P9851Dw/3BzqHh/hDn0HD/AufQcHmoc2hY +Pcw5NL4w3Dk0vjDCuYr3PNI5GA2rx0WM9Vng/GifCQ37xzi3k3vGOpf0mQf4 +Tnh3eGd4V072bLzhQq8B82H/hIjxaTEbTk+JuNjP4OhE16Dh5kXOoWHpJOfQ ++MBk55gFN6d6JhqWTnMOjQ9Mdw5Ow93ZEZem5QFwfVbETNfiEzPcU8d7vthr +1nfPTNfAcdg+N2JOWh7Q0LMv8ewG1rO8diPXznYv3nOZZ+ziOxvvO4TJsHlh +xIK0fBNfmBdxuZ/B8UWu4RlcnO8aOIkvXBlxhXVzz7rStc38jB7YDaNh84Ge +DbcXe412zuMNV6fFZNh8VcSytDiPTyyNWOLeVtaL/ayNa5f67E19Fu7gAK/B +7OWefYA1a/C3Fn9T8R3xbbFPfOO6tDwLz8AHboi4Pi2mw/H/Raz0M7i6wjVo +WHujc2i4epNzaFh7s3PMwgdu8Uw03rDKOTQ+s9o5mA2710bckZZH4Au3Raxx +LT5yq3s6ec8rvWZn96xxDUyH7esi7krLM4717Ns9u4s1a8AxuPdMxNPeC95z +p/d0jmt49lRajIfrD0Y8kJZn4BP3RKy3xifudQ7Nd3+fc2hYcL9zzMIXHvJM +NL7wsHNofOER53p5T+zlybQ8AR94POIx1+Ibj7qHu8D77vadnOGex1zT1WdY +75qzvQazn/Dss60f913hC8/6ztB4xXPOwSQ4tCnik7Q8AQ5uiHjBtfjI8+7p +65oXnKMWdr7oHjwBH3gl4uW03mXeYd7d6/wMX3jVNXgCPvB6xGtpcR1uvxPx +dloeAPf59ywbXYtPvOEe1saXXvIehrpno2sGe43XvOYIr8Hstzx7hPWbnjXI +e3/Je8E33vWe0PjGe87BbDj6WcSnvstJ1pv8DNZudg0eAgc/jPggLS7iEx9H +fGQ90b/Fx64d72f08C7yTvMu3+/Z+MTnXgOGw/ItEV97r3jd+94znoEPfBXx +pWvh+DfuYRY+84VnTvfMr90z1mf4wDOneuaX7tnoe+TfhbXLaDZe8a3XwEfw +ie8jvkvLN/CJHyN+sIabPzmHhqU/O4fGB35xDg3nf3UODft/cw6NL/zuHBqv ++MM5ND7zp3NofGGrc2g4/5dzMBt2/xexLS0fgPv/RPxtjRf869wy92xzjl68 +YLtnLPaMv70Gz/Ai/g8TUQNz4Wgl/nM5I0/gO0qGTmSk+bZSGeXQ+EA6oxwa +H8hklEPjA9mMcmh8IJdRDg2n8xnl0LC7kFEOjU8UM8qh8YlSRrlbvGf2Sg5m +w+6dQu+Y0VngflVGZ0LjBTtklEPTQy057oIzc1buZLbfmR/8DjEbr9g5ozXw +ALxgl9DVM2I43N4tdJ2MPAau1gxdIyMNR2tllEPD1l0zyqHxhdoZ5ZjFd7d7 +RjPRfIt7ZJRD4wt1M8rBbTjcMHSDjDwBzu8Zun5GtfhGvYx6WIs9s1fW5Bk9 +1FID12F9o9B7Z+QJrMHsvTKaTQ7NGqxND7XsgV68qHFGM7g77oy74g7hOhxv +Hnq/jHwU32gauklGz+Bsi4xqeIaP7JNRDR6BLzQLvW9GmpnMIkctNTyjBzbA +BFjwrWfD3f0zWgOGwxRYckBGDIflbUK3zojT+Eir0C0z6iWHZgbP6KGWGs7O +mTgLd4CHsAaz22Y0mxyaNfifxfA/c+Hfm/Hv4vAJvKN9Rv/Ovyof72nEqIiR +eTEaVh8Wzzpl5Bn4xMGhO2Sk4fAhGeXQcLRjRjk0bD00oxyz8IHDM5qJxhuO +yCiHxmeOzCgHg2HxsaG7ZOQ5+MLRoTtnVIs3HZVRD2uxZ/bKmjyjh1pqYD7s +Pz70cRl5Amsw+5iMZpNDswYcg3vnhO6V0V6YQS97gnHU8OzsjBgP13uEPjkj +j+A96Br6xIw078ZJGeW+83ffLaMcGhZ0zyjHLHzhlIxmovGFUzPKofGF0zLK +wXT2xF7OysgT8IEzQp+eUS2+0TOjHu4CnzwhozvhGT3UUsMzzsDeqcFDWIPZ +Z2Y0mxyaNdg7d8DZOQN3h0+cm9EdwiQ4NDFiQkY+gm/0Dn1eRhqf6JNRDkbC +yn4RfTPyALg/MGKAn+ET/V1DbzXXMuOCiKF8d3wb7sUnBnkGHoEvDI443xpf +GOIcuuA5Q7xWymuzJh6BLwzzOmi8YrhzaHxhhHMZr3m+98DdcAec/VzX4hsj +3YNn4B2jI0b57uD2Rb5DPALOjY0YYw37xjmHxjsudK6GfwPufrxnweVJnomG +1ZOdQ+MLFzsHt+HwzIgZGXkCnJ8WMdW1+MYU91T5DKN8pt3dM9U1O/oMY3zG +el6D2dM9u571NK+NF8zyHtB4xSXOofGFS53DJ/CFORGzreHkXOfQsPMy59Bw +/3Ln0HB9nnNoWD/fOTQ+cIVzaLzhSufQ+MoC59D4wELn0HB9kXMwGlZfFbEs +I+7D+SURi61h/1LnWrtnmXP0wv7lnrG/Zyz2GjzDe652DYyFm6sibsno71x8 +4NqIa6zxhuucQ8P9651Dw/0bnEPD/RXOoeH+jc6h4fJNzqFh9c3OofGFlc6h +8YX/OXe493yLczAaVt8WscZngfOrfSY07L/Vuc7uWeNcO5/5Gt8J7w7vDO/K +pZ6NN9zuNWA+7F8bcUdGzIbT90Ss9zM4eqdr0HDzLufQsHSdc2h84G7nmAU3 +7/VMNCy9zzk0PnC/c3Aa7j4a8UhGHgDXH4p40LX4xAPu6eo9r/eap7jnQdfA +cdj+eMRjGXnA6Z79sGf3tH7Ia5/p2kfdi/c84RldfGd3+A5hMmx+PuK5jHwT +X3gq4kk/g+MvuIZncPFp18BJfOHZiGes+3jWs649z8/ogd0wGjZf4Nlwe4PX +GOI83vBaRkyGza9EvJwR5/GJlyJedO8A6w1+Nsi1L/nsvXwW7mCw12D2q549 +2Jo18tnYY8QFEUOsixFDncMz8IG3It7MiOlw/IOI9/0Mrr7tGjSsfcc5NFx9 +1zk0rH3POWbhDR96Jp6AN3wc8ZE1PvOJczAbdn8R8XlGHoEvfBbxqWvxkU3u +GeM9v+81L3LPp66B6bD9q4gvM/KMKZ692bMvtmYNOAb3/o74y3uZ5l72tMg1 +PNuaEePh+o8RP2TkGfjENxFbrPGJb51D891/5xwaFnzvHLPwhZ88E40v/Owc +Gl/4xbmF3hN7+TMjT8AHfo/4zbX4xq/u4S7wvq99J/Pd85trZvgMW1yzwGsw ++w/PXmD9u/c+x2f/3neHT/zjO4RJcKhGvGu7ZOUj+Ma2iH+t8Yn/nIORsJL/ +w7/bM/IAuJ+K/5zM6hk+kciqZrl7tnsGfxPxTvMub3QvPpHOagYegS9kQ2ey +0vhCLqscGl/guyF3rffA2qyJR+ALRX9LaLyi5O8KjS+Us8qxNmuyFnvgbpb5 +7P+4Ft+oZNWDZ+AdO4Suyuru4HbNrO4Qj4BzO4XeMSsN+3bOKofGF6pnlVvr +34C7J8csuFwrq5loWL1rVjk0vlA7qxzchsP1QtfNyhPg/O6hd8uqFt+ok1UP +Z+EM7J0z8YweaqnhbJyBvXNGPIE1mL1HVrPJoVmDtfGC+lntAY1X7JlVDo0v +7JVVDp/AFxqGbpCVhpN7Z5VDw85GWeXQcL9xVjk0XG+SVQ4N65tmlUPjA/tk +lUPjDftmlUPjK82yyqHxgf2yyqHhevOscjAaVrcO3Sor7sP5/UO3yErD/pZZ +5dD0UEuOXtjfJqsZzGYGvazBM7ynbVY1MBZuHhH68Kz+uYXvpF3oA7LSfDsH +ZpVDw/2Dssqh4X77rHJouN8hqxwa7h+cVQ4Nlw/JKoeG1R2zyqHxhUOzyqHx +ik5Z5fAI9sxeD8uK0bD66NCdszoLnD8yqzOhYf9RWeXQ9FBLjrvgzJyVO+Hd +4Z3hXeEdYjbecExWa8B82H9s6C5ZMRtOnxS6a1bP4OhxWdWg4ebxWeXQsPSE +rHJofODErHLMgpvdspqJhqXds8qh8YGTs8rBabh7euieWXkAXD819ClZ1eIT +PbLqYS32zF5Zk2f0UEsNHIftZ4Y+IysPYA1mn5bVbHJo1mBteqhlD/TiPWdl +NYO74864K+4QJsPmPqF7Z+Wb+EKv0Gdn9QyO982qhmdw8ZysauAkvnBe6HOz +0sxkFjlqqeEZPfwdNszv9BueDbf7eQ2YDtv5W2hwVkyGzYMiBmbFeXxiQER/ +9yat+/lZ2rXUcHbOxFm4g6zXYPb5np21Zg28BQ8peB94Bj4xLKu/yd7jm+Bb +yMX3kRPT4fjYiDFZeQRcHREx3BrWjnQODVdHOYeGtaOdYxY+MM4z0XjDhc6h +8ZnxzsFs2H1xxOSsPAJfuChiomvxkQnuYa2dvNfRflbLtdTAdNg+NWJKVp5R +x7MneXZta9aAY3BvUcRC72V397Kn5q7h2YKsGA/XZ0dcmpVn4BMzIqZb4xMz +nUPz3c9yDg0LLnGOWfjCHM9E4wtznUPjC5c5t5/3xF6uzMoT8IH5EfNci29c +7h7uAu+b5jtp6p55rqnrM0x3TTOvwewrPLuZ9XzvvaHPfonvDp9Y7DuESXDo +zoi1WfkIvrE0Yok1PrHMORgJK5dHXJWVB8D9ayOu8TN84mrXtHHPVZ5xY8RN +Ebl4l7M59eIT13kGHoEv3BBxvTW+sMI5dEfPWeG1DvTarIlH4BU3ex08Aq/4 +X8RKa3zhFufae83rvYeWvoMlviNq8Y1V7sEz8I5bI1b77uD2Xb5DPALO3Rax +xhr23e4cGl+4w7lj/RusdY5ZcHmdZ6Jh9d3OofGF9c7BbTj8YMQDWXkCnL8v +4l7X4hv3uOdIn2G1z9TNPfe6prPPsMZn7OE1mH2/Z/ewvs9r4wUPeQ9ovOJh +59D4wiPO4RP4wmMRj1rDycedQ8POJ5xDw/0nnUPD9aecQ8P6p51D4wPPOIfG +G551Do2vPOccGh943jk0XH/BORgNq1+JeDkr7sP5FyM2WMP+l5wb6J6XnaMX +9r/qGf08Y4PX4Bne85prYCzc/Cjiw6z+mRwfeCPidWu8YaNzaLj/pnNouP+W +c2i4/7ZzaLj/jnNouPyuc2hY/Z5zaHzhfefQ+MIHzo3znj90DkbD6k8jNvks +cP5jnwkN+z9xbqJ7Njk3xGd+3XfCu8M7w7vyiGfjDZ95DZgP+z+P2JwVs+H0 +NxFb/AyOfuEaNNz80jk0LP3KOTQ+8LVzzIKb33omGpZ+5xwaH/jeOTgNd3+N ++CUrD4DrP0X86Fp84gf3zPCet3jNOe750TVwHLb/HvFbVh4wz7N/9uzLrX/y +2le49lf34j1/eMZk39lm3yFMhs3bIv7Nyjfxha0Rf/oZHP/PNTyDi3+5Bk7i +C/9E/G29zLP+ce0SP6MHdsNo2HyjZ8Pt7V5jhfN4QyYnJsPmVOhkTpzHJxL8 +fwKUUy859HY/o4daahb4TH/6Dm7wGsxO5zSbHDrlv7H4W+vGiBU5/S3G31h8 +V3xreAY+UIpnxZyYDsd3Cr1jTs/gajmnGjSsreSUQ8PVqpxyaFi7Q045ZuED +O+c0E403VM8ph8ZndskpB7Nhd53QtXPyCHyhVuiaOdXiIzVy6mEt9sxeWZNn +9FBLDUyH7buH3i0nz2ANZu+a02xyaNaAY3CvRejmOe2FGfSyJxhHDc/2y4nx +cL1h6AY5eQY+US903Zw0PlE/pxya737PnHJoWLBXTjlm4Qt75zQTjS80yimH +xhca55SD6eyJvTTLyRPwgX1CN82pFt9oklMPd4H37ZHTnfCMHmqp4RlnYO/U +4CGswex9c5pNDs0a7J074OycgbvDJ/bP6Q5hEhw6NnSXnHwE32gVumVOGp9o +nVMORsLKtqHb5OQBcP/A0O1yeoZPHJBTDb30UMuMlX6neZcLOfXiEwflNAOP +wBc6hG6fk8YXDs4ph8YX+G7IsRZ7YG3WxCPwhUP9XaHxik455dD4wmE55Vib +NVmLPXA33AFn546oxTcOz6kHz8A7jgx9RE53B7ePy+kO8Qg41zn0UTlp2Hd0 +Tjk0vnBMTjk0vwF3T45ZcPn4nGaiYfUJOeXQ+MKJOeXgNhzuEfrknDwBzncL +fVJOtfhG15x6OAtnYO+ciWf0UEsNZ+MM7J0z4gmswezuOc0mh2YN1sYLTslp +D2i84tSccmh84bSccvgEvnB66J45aTh5Rk45NOw8M6ccGu6flVMODdfPzimH +hvW9csqh8YFzcsqh8YZzc8qh8ZXzcsqh8YHeOeXQcL1PTjkYDasHRgzIiftw +vl9EX2vY39+5pHsGOEcv7B/kGcyu5t4+fob3nO8aGAs3L4wYl9M/t+QjhkQM +9jfDtzM04gJruD/MOTTcH+4cGu6PcA4N90c6h4bLo5xDw+rRzqHxhTHOofGF +sc7t7D2Pcw5Gw+qLIib6LHB+vM+Ehv0TnKvpnonOZX3mwb4T3h3eGd6V0zwb +b5jkNWA+7L84YnJOzIbTMyNm+BkcneIaNNyc6hwalk5zDo0PTHeOWXBzlmei +YeklzqHxgUudg9Nwd17E5Tl5AFyfGzHHtfjEbPfU855neM293TPHNXActl8R +MT8nD2jq2Zd5dhPruV57X9fOcy/ec6Vn1PadTfYdwmTYvCxiaU6+iS8sjFjg +Z3D8KtfwDC4ucg2cxBeWRCy2bu1ZS1zb0s/o4e8w/lk579+Z2XB7udeA6bCd +v4VuyInJsPm6iGtz4jw+cU3E1e5tZ73czw5y7TU+e3OfhTvo4DWYfb1nd7Bm +DTwDn7gpp7/J0PjEzc6h8YGVzsFwuH1bxJqcGH+09a2uhbP/cw8a9t7iHBrO +rnKOWXjB7Z6JxlfucA5Gw+r1EXfnxPSu1uty4goceiHi+Zw8A5+4K+JOrwXb +V3tNZuMza73GCZ65zj0wHtbfG3GPa4/3rLVeC85t8JpH+Q5u9Rr04h33eQZM +h+OPRTyaE/PPsH4kJw/BNx6IuN8a33jQOTQceMg5ZuEDj3smGh94wjkYDmef +i3g2J8b3tn7Gz/r6rqjBI/CFpyOe8l1389nXeza+8qTXONczn3HPyT7D/T5z +L898yj3sHZY97DNwd3jFi75DGAWXPo/YnJMnwMFXIl52LT7yknsGuuZl56iF +na+6B0/ABzZGvBHxbsR7EYfk4zvI6xm+8KZr8AR84O2It3LiOtz+MOKDnDxg +jGe861p84h33sDZe9Zr3MMo977pmuNd4y2uO9RrMft+zx1q/57uAy1/4Ti7w +mTjL6zl5CL7xccRHOTEbjn4V8aV7p1kzA8+Ae59GbLKGhZ85N9m/wWbnmAWb +v/ZMND6wxTk4DXd/jPghJ2bPsf7ee8PbPvEe8Qi4/13Et77b8d77h56Nr3zj +NS71zO/dM9Fn2OSZszzzW/fwbvFN8S097L3hDT95j2i842fnYDJs/itia06+ +gU/8FvGrNdz83Tk0LP3DOTQ+8KdzzIL7f3smGi/4xzk0PvKvc3Aa7ibjXUzk +xXBYvj3iP9fiC9vcs9B73uo1ebbctdTAcdiejlmpvDyANZjN/2fBzCaHZo15 +PjNn/cV7YQa97AkGwsKaoWvkxWi4WQ5dyssj8IVc6GxeGl/I55VDw/1CXjk0 +3C/mlWMWnKzkNRMNO/nvKZND4yX8d5bJwV32xF52ycsT4PbOoXfKqxbf2NH/ +PWfuAi/N5HUnPKOHWmp4xhnYOzUwnTWYXT2v2eTQrMFd4DW18roTmAgba4fe +NS8GwaKWoffPi3G8h/VD18uL2eTQdfPqxRfq5DUDDTd3yyuHhqW755V72O/2 +nnnNROMde+WVg7NwuEnoxnkxlxy6UV7v/jz/1nwDeAQc3zueNcxrLXxmj7zW +ZDa+0iCvNahlJrPogft4yT6hm+ZVy0xm0cMszsxZmcne6KGWPdKL7+yb1wyY +DTdbh26V112SQ3OnPIOtbfKqwbfw3f1CN8vLQ/CFFqGb56X5TfgtyFFLDc/o +gbGwtmNengBTYesBodvmxXS43SF0+7x+e35zfmveARgOyw8KfWBetbAeb6GH +WfhKu7xmUstMZtHD2TkDe+cOqGUms+jhW+Gb41vjm2GveMWhee35sIjDqePb +yovhcLtz6KPyYipspa5TXhoOH+5eNBw9wnPQsPXIvHLMwguOzmsmGh86Jq8c +Gl/pklcOBsPirqFPzMsz8InjQx+XVy1edGxePazFntkra/KMHmqpgfmwv1vo +k/LyBNZg9gl5zSaHZg2YBtv6RvTJay/MoJc9wUlqeNY7L6bD8dND98zLI/CF +HqFPzkvznZySV+5nfzun5pVDw87T8soxCx84I6+ZaDh9Zl45NOw+K68czGZP +7OW8vDwCXzgndK+8avGRs/Pq4S7w1u553QnP6KGWGp5xBvZODZ7BGsw+N6/Z +5NCswd65A87OGbg7vKKf7xAGwZ2LIybn5Ql4w4CI/tZwdaBzMBSWnh8xKC/m +w/kLIob4Gb4w2DVp9wzyDLgCq+ZGzHEv7/1Qz+A7wCeGRwyzxidGOIfGJ0Y6 +l/MehnhNPAHuj87rfwcGjReMcQ4N58c6V/Saw7yHhO+gv++IWrxhnHvwDbxp +fMSFvjs4OsV3iGfAjYkRE6xhyUXOofGBSc7V9m8w2TlmwdGpnomGrdOcQ+Mt +052Dw3B6dsSleXkEHJ8VMdO1+MgM91T3GS70meq7Z6ZravgME3zGBl6D2Zd4 +dgPrWV67kX/L2f5t4f5l/o35303if+eI/545/91zuAynF0YsyMsn8IV5EZdb +w8n5zqFh5xXOoeH6lc4xC/Yv8kw0PrLYOTTessQ5uAuXr424Ji8PgNvLI65y +Lb6z1D2s1dx7vdLP8KZlroHz+MD1EdflxfQDPftqz25nzRpwDLati7jLe2nv +XvZ0vGt4dmdezIbTt+TlAXgYvnBjxAprvOIm59D4wM3OofGBlc4xCw6v8kw0 +bF7tHBofuNW547wn9rI2Lw+A67dH3OZafGKNe7gLvPEG38nR7rnNNQf7DCtc +c6zXYPYdnn2s9e2+K7h+t+8MDevXOwdTYMvLES/lxUTYeF/Eva6Fo/e4p5tr +7nWOWrh/v3tgPJx8KOLBvN5l3lHezcv8DJY+7Bo8AO4/GvFIXsyFvU9HPJUX +0+H2ExGPuxZfeMw9rI3PPOA9nOmex13T02s84jV7eQ1mP+nZvayf8KxTvfcH +vBe84RnvCY0XPescjIabr0a84rs83/plP4Otr7kGz4CLL0Q8nxcn8YUXIzZY +D/Rv8aJr+/sZPfxtw98svJeHejbcf91rwHTY/nbEW94rXv6c9wyn8YE3Iza6 +Fs6/4x5mwfE3PHO4Z77lnj4+w/OeOdQzN7qHWfjEu56Jxifecw6ND7zvHAyH +259GbMqLqbD1Q85qDUc/cg4NWz92Do0vfOIcs/CCzzwTja9sdg6NL3zuHNyG +w99EbMnLE+D8VxFfuhbf+MI9E73nTV5zqnu+dA1ch/XfRXyblyfM9OyvPXuG +NWvANVhXrRB/d3kvl7iXPS13Dc/+y4vhcPu3iF/z8g184seIH6z5zn5yDs23 +97NzaHzhF+eYBZd/90w0rP7DOTS+8KdzV3lP7GVbXp4A5/+O+Mu1+MZW93AX ++Nr3vpNF7vnLNbN9hh9cgyfgDf9G/OPZS63/9t7n++y/+O7gfqKgO4RLcGi3 +0HUK8gU4mgqdLEjDzXRBOTgK97OhMwUxHtYXQucLeoYP5AqqoZceaplRFbFD +xKiIkQX14jPFgmbgEfhCOXSpII0vVArKoeE+c8ixFntgbdaE+bB/R6+Dhus7 +FZRDw/qdC8qxNmuyFnvgbrgDzs4dUYtXVC+oB1/CK2uE3qWgu4Ojuxd0h3gG +PlErdM2CND6wa0E5ND5Qu6Acmt+AuyfHLDi6R0Ez0bC1bkE5ND5Qr6Ac3IbD +e4duWBC34fxeofcsqBaO1y+oh7NwBvbOmXhGD7XUcDbOwN45I57AGsxuUNBs +cmjWYG28oFFBe0DjFY0LyqHxgSYF5fAAuLpP6KYFabi4b0E5NKxsVlAODcf3 +KyiHhu3NC8qh4X6LgnJovGD/gnJofKVlQTk0XtOqoBwan2ldUA6NL7QpKAfD +YflBoQ8siPFw+4DQbQvSsLxdQTk0PdSSoxefaF/QDGYzg17W4Bnc71BQDYyF +m8eEProgD4D7h4Q+uCCNF3QsKIeG+4cWlEPD/U4F5dBw/7CCcmi4f3hBOTRc +PqKgHBpWH1lQDo0vHFVQDo0vdC4oh2bP7JUcjIbVx4c+rqCzwPkuBZ0JDfuP +LSiHpodactwFZ+as3AnvDu8M7wrvELPxhhMKWgPmw/6uoU8siNlw+pTQPQp6 +BkdPKqgGDTe7FZRDw9LuBeXQ+MDJBeWYBTdPLWgmGpaeVlAOjQ/0LCgHp+Fu +r9BnF+QBcP3M0GcUVItPnF5QD2uxZ/bKmjyjh1pq4DhsPzf0OQV5AGsw+6yC +ZpNDswZr00Mte8Bz8J7eoc8r6O64M+6KO4TJsHlQxMCCfBNf6BvRx8/g+Pmu +4Rlc7OcaOIkvDIjob532rAGuTfoZPbAbRsPmKs+G24O9RsV5vGFEQUyGzcMi +hhbEeXzigogh7s1bD/azomup4eycibNwB2Wvwezhnl22Zg3+1hrnb+p97xPf +GF2QZ+EZ+MDYiDEFMR2OXxQx0c/g6jjXoGHthc6h4ep459CwdoJzzMIHJnkm +Gm+Y7Bwan7nYOZgNu2dGzCjII/CFaRFTXYuPTHFPTe95otfc3T1TXQPTYfsl +EbMK8oz6nj3ds+tZswYcg3vLI67yXvZyL3tq4xqeLSuI8XB9fsS8gjwDn5gT +Mdsan5jrHJrv/jLn0LDgcueYhS9c4ZlofOFK59D4wgLnWntP7GVpQZ6ADyyO +WORafGOhe7gLvO9S30kL9yxyTUOfYbZrWnkNZi/x7FbWi31X+MLVvjM0XnGN +czAJDt0Tsb4gT4CD10dc51p85Fr3HOSa65yjFnbe4B48AR+4KeLGgt5l3mHe +3dF+hi/c7Bo8AR/4X8TKgrgOt2+LWFOQB8D91RGrXItP3OIe1saXVngPR7hn +lWs6eY2VXrOz12D2rZ7d2Xq1Z3X03ld4L/jG7d4TGt+4wzmYDUfvi7jXd9nd ++h4/g7X3uwYPgYN3RdxZEBfxibsj1lmf5N/ibtee6Gf08C7yTvMuX+7Z+MQD +XgOGw/JHIx7xXvG6td4znoEPPBzxkGvh+GPuYRY+86Bn9vTMR9xznM9wp2ee +6pkPuWeV77FSDM4VNRuveNxr4CP4xJMRTxTkG3jN0xFPFeQZcPPZiGesYelz +zqHxgeedQ8P5F5xDw/4NzqHxhRedQ+MVLzmHxmdedg6NL7ziHBrOv+oczIbd +b0ZsLMgH4P7rEa9Z4wVvODfUPRudoxcveMszBnvGa16DZ3jR266BuXB0c8Rn +BXkC39G7Ee9Y82295xwaH3jfOTQ+8IFzaHzgQ+fQ+MBHzqHh9MfOoWH3J86h +8YlNzqHxiU+dm+Q9f+YczIbdX0V86bPA/c99JjRe8IVzU93zpXMjfeZ3fCe8 +O7wzvCtPejZe8bXXwAPwgm8ithTEcLj9U8SPfgZXv3UNGo5+5xwatn7vHBpf ++ME5ZvHd/eyZaL7FX5xD4wu/Oge34fBfEVsL8gQ4/0fE767FN35zzxzv+Uev +eYV7fncNXIf1/0T8XZAnLPLsPz17ofUfXnuJa/9yL170r2fM8J1t8R3CdTie +im83WZSP4hv/RWzzMzibLqqGZ/jIdtfgEfhCIp5VK0ozk1nkrnYNz+iBDTAB +Fjzu2XA3U9QaMBymwJJSUQyH5YXQ+aI4jY/kQmeL6iWHZgbP6KGWGs5+lc/C +HeAhrMHsYlGzyaFZA5bhDVVFMQ2Nd+xQVA6NL+xYVA5Ow+1aoWsWxWxy6BpF +1eIbOxXVg4bLOxeVQ8PV6kXlmIUX7FrUTDS+UruoHMyFvfVC1y2K2eTQexTF +FTjUNnSbojwIn9g99G5FrQXLdylqTWbjXXWKWoNaZjKLHjwAL9gzdP2iapnJ +LHpYC84dUNSazOYOODtr0IvP7FXUDJgOx/cNvU9RzCeHblqUZ/BeNAzdoCjN +u7J3UTk0HGhUVI5Z+ECzomai8YH9isrBcDjbOnSrohhPDt2yqGfcGXdFDR6B +L+wfukVRd80dcHbunNn4SvOi1qCWmcyih7NyBvbOmallJrPoYe+wrHFRZ+Du +8Ip2Rd0hjIJLXUOfWJQnwMH2oQ8qqhYfObCoHjQ1PCNHLezsUFQPnoAPdAx9 +SMQREUdGrOJbK+oZvnBoUTV4Aj5wWOhORXEdbh8dunNRHgD3j/QsavGJw4vq +YW186eCi9sAzeqilhrVYg9msiWewBrOPKmo2uaO8T+4CLp9U1J0wmzNxFtbA +Q/CNLqGPKYrZcLR76G5F9ZJDMwPPgHvHhz6uKA0LTygqh+Y34O7JMQs2n1zU +TDQ+0KOoHJyGu2eEPr0oZpND9yxqb3jbsUXtEY+A+6eFPrWou+UM7J07Zja+ +ckpRa1DLTGbRwyzOwN6ZSS0zmUUP7xZ/WzUp6h1jb3jDmUXtEY13nFVUDibD +5r4RfYryDXzinNC9itJw89yicmhYel5ROTQ+0LuoHLPgfj/PROMF/Z1D4yMD +nIPTcPeCiCFFMRyWnx8xyLX4wkD3sBZ7Zq+9/SzjWmrgOGwfFjG0KA/Ie/Zg +z85ZswYeyZk569neS9G97AkGwsKpEVOKYjTcHBcxtiiPwBdGRoywxhdGOYeG ++6OdQ8P9Mc4xC05e6Jlo2DneOTReMsG53bwn9nJxUZ4AtydFXORafGOie7gL +vHS476SWey5yTdlnGOGaOl6D2ZM9u471JN8FXjPNdwITYeOMiOlFMQgWXRtx +TVGM4z2cGzGnKGY3sp7tXnxhpmeg4eYs59Cw9BLnmMW7fZln4hl4x7yIy4vi +LBxeFLGwKOa2sF5Q1LvPb85vzTeAR8DxKyOu8Fr4zKVek9n4ynyvsZ9nLnAP +3MdLlkQsdm0zz5rvWXv7rJd6by1du8i9+M5Sz4DZcPP6iOt8l+2tr/UzuHeD +a/AtfPeqiGVFeQi+cHXEcusD/Vtc7doD/IweGAtrVxflCcyGrSu8BkyH2/+L +WOnfvp5/a94BGA7Lb464ybWw/hb3MAtfudEzO3nmSve09hmW+Q46euZN7uFb +4ZvjWxvjveIVt3rPt0XcTl0pvq2SGA6374q4syimHuO6NdZd3HObNRy9wzk0 +bF3rHLPwgnWeicaH7nYOja+sdw4Gw+IHIx4oyjPwifsi7nUtXnSPe1jreO91 +rZ91dy01MB/2PxzxUFGecIpn3+/ZPaxZA6bBtlcjXvFeTnMvexrkGp69XBTT +4fjTEU8V5RH4wmMRj1rznTzuHJpv5wnn0LDzSeeYhQ8845loOP2sc2jY/Zxz +A70n9vJSUR6BL2yIeMG1+Mjz7uEu8NZHfCd93fOCa073GR51zQCvwewXPXuA +9Qbv/Ryf/UnfHV7xmu8QBsGdbyK2FOUJeMMbEa9bw9WNzsFQWPpWxJtFMR/O +vxvxjp/hC2+7Zph73vQMuAKr/oz4w7289+95Bt8BPvFBxPvW+MSHzqHxiY+c +G+k9vOM18QS4/0nEx9Z4wSbn0HD+U+fGeM33vYchvoPXfUfU4g2fuQffwJs+ +j9jsu4Oj3/oO8Qy48WXEF9aw5Cvn0PjA187N8G+wxTlmwdHvPBMNW793Do23 +/OAcHIbTv0f8VpRHwPFfIn52LT7yo3sm+wybfSae4TU/uWaKz/CFzzjfazD7 +V8+eb/2L117g3/J3/7Zwf6t/4+rl8PyICyPGlcVlOL094r+ifAJf+DviL2s4 ++Y9zaNj5r3NouL7NOWbB/molzUTjI4mScmi8JVlSDu7C5XzoXEkeALczodMl +1eI7qZJ6WGu597rNz+ihlho4jw8UQxdKYjprMDtb0mxyaNaAY7Btj9C7l7QX +ZtDLnmAcNTzbrSRmw+mdS/IAPAxfqIQul6TxiqqScmh8YIeScmh8AO8gxyw4 +XL2kmWjYvEtJOTQ+UKOkHJxmT+ylTkkeANd3DV2rpFp8omZJPdwF3lgq6U54 +Rg+11PCMM7B3avAA1mB27ZJmk0OzBncF1+uWdGdoWF+vpBxMgS0Hhm5XEhNh +416h9yypFo7WL6kHTQ3PyFEL9xuU1APj4WSj0HuX9C4v9ru51c9gaeOSavAA +uN80dJOSmAt7W4RuXhLT4Xaz0PuWVIsv7FNSD2vjMw1L2gPP6KGWGtZiDWaz +Jj7AGszer6TZ5NCswSzOwN6ZyV7whv1L2hMaL2pZUg5Gw832oQ8q6S7JoblT +nsHWDiXV4BlwsU3o1iVxEl84IHTbkjS/Cb8FOWqp4Rk9/G1ztN/RWz0b7h9c +0howHbYfFrpTSXvFy1uVtGc4jQ8cGrpjSbVw/vCSepgFxw8paSa1zGQWPczi +DOydmdQyk1n04C0TPPP/Z+MbR5S0Bp6BDxwV+siSmA27Twh9fElMJ4c+riTG +wtqjOXtJGq4eU1IODWu7lJRjFj5yYkkz0fhA15JyMB3unhr6lJKYDbt7hD65 +JM7AnsER55fkEXC/e+huJa2FDx1b0prMxldOKmkNapnJLHrgPOzvGfq0kmqZ +yayTvBbcGuI1mc0dcHbWoBdfOL2kGTAbjp4X+tySmE4OfU5JPoJvnBn6jJI0 +391ZJeW2+ls8u6Qcs2Bz75JmovGBPiXl4DTcHRQxsCRmp60H+FnWd0UNHgH3 ++0f0K+muuQPOzp0zG1/pW9IaSc8c4B7OyhnYO2eu5pn93MPe8bVeJZ2Bu4P9 +F/gOYRJsmhkxoyRGwsrhEcNcC1eHuqfommHOUYsPjHAPzIf9oyNG8X5HTIj4 +OOIjP8NXxrgGT8AHxkWMLYnBsHhSxEUlMb6mZ4x3LT5xoXtYG98Z6T3s4p7x +rtnJa4z1mrW8BrMnenYt6wm+C7g8y3dS5TON8hr4Bt50ccTkkhgK9y6NuMS9 +DayZgYfgG9MiplrjC9Odq+ffYIZzzIKlsz0TDffnOAen4e4VEfNLYva+1vO8 +N7x8ivcIx+H+5RGX+W7reO+TPBvOz/UaTT1znnt29xmmemZjz7zMPbxbfFN8 +S728N7zhSu8RjXcscA4uw+nlEVeV5AlwdnHEIms4ucQ5NOxc6hwari9zjlmw +/2rPROMj1ziHxluudQ7uwuWbIm4syQPg9g0R17sW37nOPW2856u8Znv3XO8a +OI8PrIy4uSSmd/TsFZ59iDVrtPCZOetC76WTe9kTDISF90XcWxKj4ebtEbeV +5Av4wKqIW6zxhtXOoeH+rc6h4f4a55gFJ+/wTDTsXOscGi+507lu3hN7uack +T4Dbd0escy2+cZd7uAt863++kxPcs841h/sMt7jmJK/B7PWefZL13b4LvOZ+ +3wlMhI0PRTxYEoNg0caIN0piHO/hkxFPlMTss60fdy++8LBnoOHmI86hYemj +zjGLd/spz0TjHU87B2fh8IaIF0pibj/r50t691v4t+YbwCPg+HMRz3otfOYx +r8lsfOUZr9HHM593D9zHS16KeNG1vT3rGc86y2d9zHsb4NoN7sV3XvYMmA03 +34p403c53Hqjn8G9t12Db+G7r0a8UpKH4AuvR7xmPdS/xeuuHeJn9PC3DH+j +8F4e5dmw9R2vAdPh9ocRH5T0N8ep/q0fKInhsPz9iPdcC+s/cg+z8JV3PXOs +Z37gnkE+wyu+g9Ge+Z57+NuLv7n4rnhP8QS84ZOSPOyziM0RR8c/W3Yui9mw +++uIr0pi+nTrL0ti7GT3fWp9sWd8Zg1XP3eOWfjOFs9E4yPfOAdzYe9PET+W +xOy51j+UxBhYk4m9pcvyEHzj+4jvvBYs/8JrMhuv+tZrzPbMH9yDB+AFv0T8 +7NpLPetbrwXrsmWtOdV38KXXoBdv+tUzYDjc/ifi75IYv8T6r5I8A5/4PeI3 +a76bP5xD8y396Ryz4PK/nomG1ducg9GwOhV7S5bFdHLoRFnPuDPuiho8A5+o +Fnq77/pyn/0nz8Zn/vMa1DKTWfTM9xl+85mpZeZ297B32L/VZ+Du4H6urDuE +SXCofuh6ZTEUThZDF8qqxSvyZfWgqeEZOWpha6msHjwA7leFrpT1LvO3z6aS +3mme4Q07lFWDx+ALO4XesSxuw+FaoWuW5Qlwnn/Hwr9roRbf2LmsHtbGh8pl +7YFn9FBLDWuxBrNZE09gDWbXKGs2uRr+9zjcBRzes6w7YTZn4iysgU/gHbVD +71oWQ+Feg9B7ldVLDs0MPAQv2T30bmUxBbbUDb1HWZrfgLsnxyxY2rCsmWi8 +ZO+ycnAXLu8bep+yGEwO3bSsveGddcraI54B15uEblzW3XIG9s4dMxufaVTW +GtQyk1n0MIszsHdmUstMZtHDu8U39ZffMfaGdzQra49ovGm/snJwGU63Dd2m +LJ/AF/YP3aIsDSdblpVDw85WZeXQcL11WTlmwf4DypqJxkfalZVD4y0HlpWD +u3C5Y+hDyvIAuN0hdPuyavGdg8rqYS32zF5Zk2f0UEsNnMcHOoU+tCymswaz +Dy5rNjk0a+CJnJmzNi9rL8yglz3BQFjYPXS3shgNN48pywM+9nd0ROjDy9J8 +W0eWlUPD/aPKyqHhPt5BjllwsktZM9Gw89iycmi85LiycnCXPbGXk8ryBLh9 +YugTyqrFN44vq4e7wBsPK+tOeEYPtdTwjDOwd2pgOmswu2tZs8mhWYO7wGtO +LutOYCJsPCV0j7IYBIsuiBhSFuN4D88KfWZZzCaHPqOsXnzh1LJmoOHmaWXl +0LC0Z1m5rX63zy5rJhrv6FVWDs7C4b4RfcpiLjl077LefX5zfmu+ATwCjp8X ++tyy1sJnTi9rTWbjK+eUtQa1zGQWPXAfL+kf0a+sWmYyix5mcWbOysxq7unn +PdKL7wzwDJgNN4dFDPVdFq0v8DO4N9w1+Ba+OyhiYFkegi8MjjjfOu/fYrBr +s35GD14wye8o7yqzYesIrwHT4fbYiDFl/fb85vzWvAMwHJaPjhjlWlg/zj3M +wldGeuaOnjnGPSmfYaDvoMozR7nnlOrxHkQ8GPFAdXkC3jC+rH/Hj2/AzYkR +E8piNuyeHjGtLKbXtZ7qWlh7kXvQcHmSc2i4Otk5ZuE7MzwTjY/MdA7mwt65 +EXPKYnYj69llMQbW3BBxfVkegm9cGnGJ14LlF3tNZuNVs7xGQ8+c7R48AC+4 +POIy1zbwrFleC9at8JrMxuumeA168aZ5ngHD4faSiMVlMb6l9aKyPAOfuCJi +vjXfzZXOofmWFjjHLLi81DPRsHqZczAaVl8XcW1ZTD/I+ho/6+C7ogbPwCeu +jljuu27is8/1bHzmKq/RzjOvcc8+PsN8n7mtZy53D3uH/Qt9Bu4O7t/oO4RJ +cOjBiAfKYiicXBlxs2vxipvcc6hrbnaOWtj6P/fgAXB/dcSqiDsi1kZUr8S3 +U9EzvOFW1+Ax+MJtEWvK4jYcXhdxV1mecJxn3OFafON297A2PnSL99DFPXe4 +prPXWOM1j/cazL7Ts4+3Xuu7gMMP+U6O8JlWeQ18Au9YH3F3WQyFe49EPOze +ntbMwEPgyH0R91rDlvud6+Hf4AHnmAVLH/VMNF7ymHNwFy4/E/F0WQw+1/op +7w3vvMd7xDPg+pMRT/huu3rv6zwbn3nca/TyzKfc081nuNczz/LMJ9zDu8U3 +xbe00HvDO571HtF403POwWU4/WrEK2X5BL6wIeIFazj5onNo2PmSc2i4/rJz +zIL9r3kmGh953Tk03vKGc3AXLr8b8U5ZHgC334p407X4zkb3DPKeX/Gaw9zz +pmvgPD7wfsR7ZTF9lGe/7dkjrVmjr8/MWZ/3Xsa4lz3BQFj4fcR3ZTEabn4W +8WlZnoA3fBTxoTW+8LFzaLj/iXNouL/JOWbByc2eiYadnzuHh+AlX0Z8URZ3 +L/Vevi3LE+D2loivXYtvfOUe7gJv/MB3Mt09X7tmnM/woWtmeQ1mf+PZs6y3 ++C7wmh98JzARNv4U8WNZDIJF+WBLriLG8R7+GfFHWcxeYP27e/GFnz0DDTd/ +cQ4NS391jlm821s9E413/OUcnIXD2yP+K4u5y623lfXu9/VvzTeAR8DxfyP+ +8Vr4zG9ek9n4yt9eY5lnbnMP3MdLEnHWahXVLvWsvz3rSp/1N++NHmq3uxff +SVY0A2bDzWLoQkV3SQ7NnfIM7pUqqsG38N106FRFHoIvZENnKtL8JvwW5Kil +hmf0wFhYu0tFnsBs2FquaA2YDrd3Cr1jRb/9XP/WvAMwHJbvEM+qKqqF9XgL +PczCVyoVzaSWmcyih7NzBvbOHaz2TGbRw7fCN8e3tsl7xStqVLTnWhG7Uhcx +sSKGw+3dQ+9WEVNhK3U1K9JweFf3ouFobc9Bw9Y6FeWYhRfsUdFMND5Ut6Ic +Gl+pV1EOBsPivUM3rMgz8Im9Qu9ZUS1eVL+iHtZiz+yVNXlGD7XUwHzY3zh0 +o4o8gTWY3aCi2eTQrAHTYFv70AdVtBdm0Mue4CQ1PDuwIqbD8Rahm1fkEfjC +PqGbVqT5TvatKPecv51mFeXQsHO/inLMwgf2r2gmGk63rCiHht2tKsrBbPbE +XtpV5BH4QtvQbSqqxUdaV9TDXeCtTSq6E57RQy01POMM7J0aPIM1mH1ARbPJ +oVmDvXMHnJ0zcHd4RYeK7hAGwZ1TQ59SkSfgDYeEPrgiDVc7VpSDobC0U+hD +K2I+nD8i9OEVPcMXDquohl56qGUGXIFVvUOfV1Ev7/2RFc3gO8AnOoc+qiKN +TxxdUQ6NdxxTUY612ANrsyaeAPePC31sRRovOL6iHBrOn1BRbpO/PdZiD9wN +d8DZuSNq8YYTK+rBN/Cmk0J3reju4OhpFd0hngE3uofuVpGGJSdXlEPjAz0q +yqH5Dbh7csyCoz0rmomGradXlEPjLWdUlIPDcPrc0OdU5BFw/OzQZ1VUi4+c +WVEPZ+EM7J0z8YweaqnhbJyBvXNGGM8azO5V0WxyaNZgbX5Tfkv2wG8L9/tU +9Bvzz5b8MyV/J/C3A1yG0+dHDKrIJ/CFfhF9reFkf+fQsHOAc2i4PtA5ZsH+ +wZ6JxkeGOIfGWy5wDu7C5VERIyvyALg9PGKYa/Gdoe5hrYz3OtDPSq6lBs7j +A2MiRlfE9CrPHuHZFWvWgGOw7dKIS7yXHd3LnvZyDc9mVcRsOD2pIg/Aw/CF +CyPGWeMV451D4wMTnEPjAxOdYxYcnuyZaNh8sXNofGCKc3t6T+xlZkUeANen +R0xzLT4x1T3cBd441neyh3umuWZnn2Gca+p7DWbP8Oz61tN9V3B9tu8MDevn +OAdTYMtNETdWxETYeHnEZa6Fo3Pd09g1lzlHLdyf5x4YDyevjLiioneZd5R3 +s4+fwdIFrsED4P6iiIUVMRf2Lo+4qiKmw+2lEUtciy8sdg9r4zPzvYeW7lni +muZeY6HXbOM1mL3Ms9tYL/WsZt77fO8Fb7jae0LjRdc4B6Ph5sqIm32Xnaxv +8jPY+j/X4Blw8fqI6yriJL6wIuIG647+LVa49mA/o4e/bfibhfeyhmfD/Vu8 +BkyH7bdFrPFe8fJrvWc4jQ/cGrE6oktFnL8j4nbPguOrPLOzZ65xz0E+w3We +eaRnrnYPs/CJtZ6JxifudA6ND9zlHAyH2/dH3FcRU2Hr3RHrrOHoeufQsPUe +59D4wr3OMQsveMAz0fjKg86h8YWHnIPbcPiJiMcr8gQ4/2jEI67FNx52T3fv ++T6v2dM9j7gGrsP6pyKerMgTzvLsxzz7TGvWgGuw7u2It7yXXu5lT8Ndw7M3 +K2I43H4xYkNFvoFPPBvxjDXf2XPOofn2nncOjS+84Byz4PJLnomG1S87h8YX +XnFumPfEXjZW5Alw/vWI11yLb7zqHu4CX3vadzLYPa+55lyf4RnXDPUazH7D +s4dav+699/fZX/Ddwf13fIdwCQ79HPFTRb4AR9+LeNcabr7vHByF+x9GfFAR +42H9JxEf+xk+8JFrxrrnA8/4POKLiC5V8d1VqRef2eQZeAS+8FnEp9b4wmbn +0FM8Z7PXmuC1WRPmw/4vvQ4arn/lHBrWf+3cRV7zU+9hlO/gXd8RtXjFFvfg +S3jltxHf+O7g6C++QzwDn/g+4jtrfOAH59D4wI/OzfVv8JNzzIKjv3omGrb+ +5hwaH/jdObgNh/+J+LsibsP5rRF/uhaO/+GemT7DNz7TAvf86ZpLfIbvfMbF +XoPZf3n2YuutXhsv+Nd7QOMV25xD4wP/OYcHwNVq8dtvt4aLiSrl0LAyWaUc +Go6nqpRDw/Z0lXJouJ+pUg6NF2SrlEPjK7kq5dB4Tb5KOTQ+U6hSDo0vFKuU +g+GwfIfQVVViPNwuhy5VScPySpVyaHqoJUcv3rJjlWYwmxn0sgYeAvd3Dr1T +lRgLN+uFrlslD4D7u4SuXiWNF9SoUg4N92tWKYeG+7WqlEPD/V2rlEPD/dpV +yqHhcp0q5dCwercq5dD4wu5VyqHxhT2qlEOzZ/ZKDkbD6gah96rSWeB8/Sqd +CQ3796xSDk0PteS4C87MWbmT5X5ntvsdYjbe0LBKa8B82N8o9N5VYjacbhZ6 +3yo9g6ONq1SDhptNqpRDw9KmVcqh8YF9qpRjFtzcr0oz0bC0eZVyaHygRZVy +cBrutg3dpkoeANdbhW5ZpVp8Yv8q9bAWe2avrMkzeqilBo7D9nahD6iSB7AG +s1tXaTY5NGuwNj3Usgd68Z4DqzSDu+POuCvuECbD5kNDd6ySb+IL7UMfVKVn +cLxTlWp4Bhc7VKkGTuILh4Q+uEqamcwiRy01PKMHdsNo2Py5Z8Ptw6q0xv/R +dNbhWtXbFkYRRdD9dW+QEBEFxUKPGIgYIIIioqKEKI2EgBIiIN0lIRaKhQEG +Kord3YmFhYXdyZ3vM8b9Yz533LnmnL/41nrH9txz7vnUebzhuCoxGTYfE7pd +lTiPTxwdum2VesmhmcEzeqilhrNzJs7CHeAhrMHsY6s0mxyaNfhbq5O/qTu8 +T3yjQ5U8C8/ABzqGPqFKTIfjXUKfXKVncPXEKtWgYW2nKuXQcLVzlXJoWHtS +lXLMwgdOqdJMNN7QtUo5ND5zapVyMBt2nxm6e5U8Al84PfRpVarFR7pVqYe1 +2DN7ZU2e0UMtNTAdtvcIfVaVPIM1mH1GlWaTQ7MGHIN7wyOGVWkvzKCXPdVx +Dc+GVonxcL1vxLlV8gx8onfoXlXS+MTZVcr96+++T5VyaFhwTpVyzMIX+nkm +Gl/o7xwaXxjg3M7eE3s5r0qegA8MjhjkWnxjoHu4C7yvZ5XupJZ7BrmGZ5yB +vVNT22swe4hn17Ye7LvCF0b4ztB4xfnOwSQ4NDdiTpU8AQ5eEDHatfjISPeg +8ZZRzlELOy90D56AD4yLGFuld5l3mHe3g5/hC+NdgyfgAxMiLqoS1+H2lIhL +quQBcH9SxETX4hMXu4e18aUx3kPZPRNdU/AaF3nNel6D2ZM9u571JM/Kee9j +vBd8Y6r3hMY3pjkHs+Ho/Ih5vstm1nP9DNYucA0eAgdnRsyoEhfxidkRs6yb ++reY7domfkYP7yLvNO/yOZ6NTyz0GjAcli+NuNR7xeume894Bj6wJGKxa+H4 +MvcwC59Z5Jn7eual7mnkM8zwzBaeudg9/HvH+feg8+/Z49+7x2y8YrnXwEfw +icsiVlTJN/CJyyNWWsPNK5xDw9IrnUPjA1c5h4bzVzuHhv2rnEPjC9c4h8Yr +rnUOjc+sdg6NL1znHBrOX+8czIbdN0esqZIPwP0bI26wxgtucq6de9Y4Ry9e +cItnHOUZN3gNnuFFt7oG5sLR+yI2VMkT+I7WRtxmzbe1zjk0PnC7c2h84A7n +0PjAnc6h8YG7nEPD6fXOoWH33c6h8Yl7nEPjE/c6d4r3vME5mA27H4x4wGeB ++/f7TGi8YKNzp7nnAeeO95lv853w7vDO8K5c5tl4xUNeAw/ACx6JeLhKDIfb +T0U86Wdw9VHXoOHoY86hYevjzqHxhSecYxbf3dOeieZbfMY5NL7wrHNwGw6/ +HPFSlTwBzr8Q8bxr8Y3n3NPbe37Sa/Zzz/Ougeuw/tWIV6rkCYM8+0XPHmj9 +gtce4tqX3YsXveYZ3X1nD/sOYT4c3xTxbpV8FN94I+J1P4Oz77mGZ/jIm67B +I/CFtyPessYr3nFuhGvecg9sgAmwYLlnw933vQYMh+WfRnxSJYbD8s0RH1WJ +0/jIhxEfuHes9ft+Nt61H/rsw3wW7mCC12D2x549wXqz18YXPvMe0HjF586h +8ZItzsFpuP1dxLdVYvYs661V8hB848uIL6zh8lfOoeHq184xCy/43jPR+MoP +zsFc2PtbxK9VYvYi61+qxBU4VDcRf4ck5EH4xM8RP3ktWP6N12Q23vWj11jg +mb+4Bw/AC/6I+N218z3rR68F53ZJaM0ZvoOtXoNefOZPz4DpcHy7qK2REPPJ +obdVyTN4L/6O+Muad+Uf59Bw4F/nmIUPbJ/QTDQ+UDOhHAyHszuHrp0Q48mh +d0roGXfGXVGDR+ALO4auldBdL/HZf/NsfGWHhNaglpnMomepz/CXz0wtM5lF +D3uHZf/5DNwdXrFrQncIo+BSk9C7J+QJcDAZOpFQLT5SlVAPmhqekaMWdqYS +6sET8IFs6ExEKaIccXHEhISe4Qu5hGrwBHygEDqfENfhdr3Q1Ql5ANwvexa1 ++EQxoR7WxpfSCe2BZ/RQSw1rsQazWRPPYA1mVxKaTa7ifXIXcHmPhO6E2ZyJ +s7AGHoJv7Ba6fkLMhqN7hm6aUC85NDPwDLjXKHTDhDQsbJxQDs1vwN2TYxZs +bpbQTDQ+sFdCOTgNd1uG3jchZpND75PQ3vC2BgntEY+A+y1CN0/objkDe+eO +mY2v7J3QGtQyk1n0MIszsHdmUstMZtHDu8U3tc3vGHvDG/ZLaI9ovGP/hHIw +GTYfGvp/CfkGPnFQ6AMT0nCzVUI5NCw9OKEcGh84JKEcs+B+64RmovGCwxLK +4TH4yBGhD0+I03C3XeijE2I4LD8qdJuEavGFIxPqYS32zF5Zk2f0UEsNHIft +x4Y+JiEPYA1mt01oNjk0a+CRnJmzHpDQXphBL3uCgbDw9NCnJcRouNkp9IkJ +eQI+0D708QlpvKFDQjk03D8hoRwa7ndMKMcsONk5oZlo2HlSQjk0XnJyQjm4 +y57YS7eEPAFudw19SkK1+EaXhHq4C3zsuITuhGf0UEsNzzgDe6cGprMGs09N +aDY5NGtwF3jNGQndCUyEjWeG7p4Qg2DRyIjzE2Ic72Gf0GcnxGxy6N4J9eIL +ZyU0Aw03eySUQ8PSngnl/vO7fU5CM9F4x7kJ5eAsHB4UMTAh5tayHpDQu89v +zm/NN4BHwPH+Ef0SWguf6ZXQmszGV/p6jZqeOcA9cB8vGRIx2LXbexY9zOLM +nLWX97aTawe5F985zzNgNtwcHTHKd5m0HulncO8C1+Bb+O6wiKEJeQi+MCJi +uHWVf4sRrt3Fz+i5O+KeiHIyGJ3UbNh6odeA6XD7oojxCf32/Ob81rwDMByW +j4sY61pYP8E9zMJXxnhm3jPHu2dnn2Go7yDrmWPdw7fCN8e3xjeDJ+ANExPy +sEsipkR8EbElIWbD7pkRMxJiemPr6Qkxtr77Jlvv5hmXWMPVqc4xC9+Z5Zlo +fGS2czAX9i6MWJAQs/e2np8QY2DNDRHXJ+Qh+Ma8iLleC5ZP85rMxqvmeI1m +njnfPXgAXrA4YpFr9/SsOV4L1t3oNRv6DqZ7DXrxpiWeAcPh9uURKxNifCvr +yxLyDHxiacSl1nw3y5xD8y0td45ZcPkKz0TD6iudg9Gw+rqI1Qkx/Ujra/3s +KN8VNXgG3rEq4mrfdQuffaFn4zNXeY3DPZNZ1/isLb33Ja5t7VlXee+wf4XP +wN3B/Zt8hzAJDj0S8XBCDIWTt0Tc7Fq8Yo17jnHNzc5RC1tvdQ8eAPfXRaxN +6F3mb59JCb3TPOM9v901vPf4wp0RdyTEbTi8IeLehDwBzvMdr3ctvnGXe1gb +H7rNe+jsnvWu6eg17vCaXbwGs+/x7C7Wd/su4PCjvpP2PtNar4FP4B33R9yX +EEPh3uMRj7m3pzUz8BA48mDEA9aw5SHnuvs3eNg5ZsHSJzwTjZc86RzchcvP +RzyXEIP7WT/rveGdG71HPAOuPxPxtO/2VO99g2fjM095jXM981n3nOYzPOCZ +fTzzaffwbvFN8S2t8N7wjhe8RzTe9KJzcBlOvxnxRkI+gS+8EvGyNZx81Tk0 +7HzNOTRcf905ZsH+tzwTjY+87Rwab3nHObgLlz+M+CAhD4Db70Vsci2+8657 +hnnPb3jN0e7Z5Bo4jw9sjvgoIaaP9ez3PXuMNWsM8pk560vey3j3sicYCAt/ +ivgxIUbDzS8T8gA8ge/o04hPrPm2PnMODfc/dw4N97c4xyw4+ZVnomHn186h +8ZJvnJvrPbGXHxLyBLj9XcS3rsU3trqHu8AbP/adzHTPt66Z4DN84po5XoPZ +33v2HOvvfBd4zc++E5gIG3+N+CUhBsGiqvD2XZNiHO/hPxF/J8Ts5dZ/uRdf ++M0z0HDzd+fQsPQP55jFu/2vZ6Lxjv+cg7Owe4dYu2ZSzCWH3j6pd3+Qf2u+ +ATwCjm8Xz2oktRY+86fXZDa+ss1rUMtMZtED9/GSnULvmFQtM5m1zbOW+azM +xCPoobZWUr34Tu2kZsBsuJkMnUjqLsmhuVOewb1UUjX4Fr5bJ/TOSXkIvrBL +6LpJaX4Tfgty1FLDM3rwAv5G4b2c5NmwNZ3UGjAdbhdC55P67Rf6t+YdgOGw +PBfPsknVwvpiUj3MwlcySc2klpnMooezcwb2zh2s80xm0cMsfIC/FZmJL+AV +laT+hvwq4uuITqnwlZQYDrcbRa5hUkyFrfU4a1IaDtdPKoeGo7sllUPD1gZJ +5ZiFFzROaiYaH9o9qRwaX2mSVA4Gw+K9Q++VlGfgE3uGbppULV60R1I9rMWe +2Str8oweaqmB+bC/RejmSXkCazC7WVKzyaFZA6bBtjahj0xqL8yglz3BSWp4 +dkRSTIfjB4U+MCmPwBdaht43Kc13sl9SuRf97eyfVA4NOw9IKscsfKBVUjPR +cPrgpHJo2H1IUjmYzZ7Yy+FJeQS+0Dr0oUnV4iP/S6qHu8Bb90nqTnhGD7XU +8IwzsHdq8AzWYPZhSc0mh2YN9s4dcHbOwN3hFUcldYcwCO6cGbp7Up6ANxwd +um1SGq62SyoHQ2HpsaGPSYr5cL596OOTeoYvHJdUDb30UMuMThGd+Y749pLq +xSs6JDUDz8EnOoY+ISmNT5yYVA6NTzCHHGuxB9ZmTTwB7p/kddB4wclJ5dBw +vktSOdZmTdZiD9wNd8DZuSNq8YZTkurBN/CmU0N3Teru4OhZSd0hngE3Tgvd +LSkNS05PKofGB85IKofmN+DuyTELjvZIaiYatvZMKofGW3ollYPDcLpvxLlJ +eQQc7xP67KRq8ZHeSfVwFs7A3jkTz+ihlhrOxhnYO2eE8TU8+5ykZpND9/Ha +eEU/7wGNF/V3Do1XDXAOX8AHBkcMsoaLQ5xDw8rznEPD8aHOoWH7MOfQcH+4 +c2i8YIRzaHzlfOfQeM1I59D4zCjn0PjCaOdgOCwfFzE2KcbD7QsjLrCG5WOc +y7pnrHP04hPjPSPlGRd4DZ7B/YtcA2Ph5qyImUn5Aj5wccQEa7xhonNouD/J +OTTcn+wcGu5f4hwa7k9xDg2XpzqHhtXTnEPjC9OdQ+MLM5xr7D3PdA5Gw+p5 +EXN9Fjg/22dCw/45zjV1z1znij7zBN8Jf0PwzvCuDPRsvGG+14D5sH9hxIKk +mA2nl0Us9TM4usg1aLi52Dk0LF3iHBofuNQ5ZsHN5Z6JhqUrnEPjA5c5B6fh +7tURVyXlAXD9iojLXYtPrHRPS+95qdds5Z7LXQPHYfs1EauS8oBDPftKz/6f +9RVe+zDXXu1evOdaz9jLd7bAdwiTYfOaiJuS8k184bqI1X4Gx292Dc/g4vWu +gZP4wo0RN1i386wbXdvWz+iB3TAaNnfybLh9i9c40Xm84Y6kmAyb10WsTYrz ++MRtEbe693jrW/ysg2tv89mP9Fm4g45eg9m3e3ZHa9bgby/+puI74ttin/jG ++qQ8C8/AB+6JuDsppsPxByI2+hlcvdc1aFi7wTk0XL3POTSsvd85ZuEDD3om +Gm94yDk0PvOwczAbdj8Z8URSHoEvPBbxqGvxkUfc08173ug1z3LPo66B6bD9 +6YinkvKM3p79uGf3smYNOAb33ovY5L30cS97Gu0anr2bFOPh+isRLyflGfjE +cxHPWuMTzzuHxjtecA4GwIKXIl70LHzhVc9E4wuvOYfGF153bpT3xF7eScoT +8IG3It50Lb7xhnu4C7zvGd/JcPe86ZpzfYZnXTPSazD7bc8eaf2W7wpfeN93 +hsYrPnAOJsGh3yJ+TcoT4ODmiI9ci4986J5xrvnIOWph58fuwRPwgc8iPk3q +XeYd5t1d72f4wueuwRPwgS8itiTFdbj9bcTWpDwA7vPPJF+5Fp/40j2sjS99 +4j1Mdc9XrpnsNbZ4zRleg9nfePYM6689a6L3/on3gm985z2h8Y3vnYPZcPSP +iN99l0usf/MzWPuna/AQOPhTxI9JcRGf+CXiZ+tF/i1+ce0CP6OHd5F3mnf5 +Jc/GJ/7yGjAclm+L+M97xet+8J7xDHzg34h/XAvHa6TUwyx85m/PvMwz/3PP +XJ/hR89c7pn/uOdr32XnlP4Zktl4xXYprYGP4BM1Q2+fkm/gE7VC75CShps7 +ppRDw9KdUsqh8YHaKeXQcH7nlHJo2F8npRwaX6ibUg6NV+ySUg6Nz+yaUg6N +L1SllEPD+URKOZgNu7OhMyn5ANxPhU6mpPGCdEo5ND3UkqMXL8ilNIPZzKCX +NXiGF+VTqoG5cLRx6EYpeQLfUTF0ISXNt1VKKYfGB8op5dD4QCWlHBofqE4p +h8YH6qWUQ8Pp+inl0LB7t5RyaHyiQUo5ND7RMKUcmj2zV3IwG3Y3Db1HSmeB ++7undCY0XtAkpRyaHmrJcRecmbNyJ7w7vDO8K7xDzMYr9kxpDTwAL9grdLOU +GA7LW4beN6VncHXvlGrQcLR5Sjk0bG2RUg6NL+yTUg4P4LvbP/R+KWm+xQNS +yqHxhQNTysFtOHxo6P+l5Alw/uDQrVKqxTcOSqmHtdgze2VNntFDLTVwHdYf +Frp1Sp7AGsw+JKXZ5NCswdr0UMse6MWLDk9pBnfHnXFX3CFch+PtQh+dko/i +G0eGPiKlZ3D2mJRqeIaPtEmpBo/AF9qGPiolzUxmkaOWGp7RAxtgAiyAEcyG +u8emtAYMh+X8a1EdU2I4LO8Qun1KnMZHjg99XEq95NDM4Bk91FLD2TkTZ+EO +8BDWYPYJKc0mh2aNrhn9/yjj/zfZhozYhneclBLjZqbDIyK+jdiaFrNh9xnx +7PSUuE0OfVpKDCeH7paSp+AjXUKfnJKG06eklGMWPtI9pZkwFtb2Dt0rJUaT +Q/dMidGwuk/os1NiENy5IGJ0SpxJWY9KaS043jWlNfEofKRH6LNS8jFy6DNT +esaarEUNe6OGZ929Fqy70GsyG684NaU12Dt7ZG+cAYbD7SERg1Ni/E7Wg1Ly +gB2tB6bkIbwnfSPOtebd6eccs+D+eZ4Js+HqyIjzU2J6lfWIlDyvhmedk9Ld +kUP3cW/Sd8UMzsJvyG/HmfAQfGN4xLCUPKau9VA/29VrDffe6vjZed47HOvv +M8AguLMwYkFK3yWcmh8xL2JKxNSIL3l3U/IIuDguYqzvHl8Z498g65qxzlEL +S8e7B0/AByZGXJySB8D9yRGTUuI4nJ4RMT0lpjeynua98D+/8p7whAbOs9eS +12D2BM/GRy7xGg088/9nVbyHSd5Tfc+c4p69fEcLfCecBd+7yGdir/jITO8Z +hsLSJRGLU2L4PtaLfNctrLlzPAQOzo2YY93Mdz/Xs/CSSz0TLsPZyyNWpsTo +VtaXpeRjTT1rtveGr83yHpu4ZrZzRd/ZBJ8JD8EXVkQsT8ljDrBe5mcHeS1q +9nMNz5am9G7hlQNSesfQfFMDnWPveMUVPgNchtM3RFyfEqOPsr4uJR/BN1ZF +XG0NR69xDg1br3WOWbD/Rs9E4xs3OQeX4ezaiNtSYnR761tT8qzWXuuqlJgO +22+JuNlr4TurvSaz8Y01XuM4z7zVPXAd1t8esc61x3oWPXANlj4e8Zhnt/HZ +V7sXL7rDM2AaHLgvYkNKDO1qfW9KfwPjE3dF3GmNd6x3Dg3373aOWbDlfs9E +4xUbnYPDcPfRiEdS4u5Z1g/7WU/vnRo8Ay4/FPGg7/oEn32tZ+NND3iN7p75 +sHtO9Bnu9JlP98wH3QPDYfmTEU+kxBhY80HE+ykxaIz1eym9c7x7L0a8kNI7 +OcD6+ZQY2N/6Oc+Gw095DTRsfto5ZuENL3km3IWzb0S8nhJnh1m/lhKHYf9b +EW+m9O7zt9OVKX0DaN65q5xjLbzhGa+Jp8DtVyNeScmnhli/7GdDvdar3ttg +P3vJs/CpZz2zr8/8nHPDvcc3fQaYBgc2R3yUErPHW3/oZ7DiY9eMdc2H/g3w +eXx4U8S71hf6tyA3yjU8eyclDsP2r1NiOsyFvXjNlpQ8cJRr3/ZdjrTmTvl2 +eCd4F/iGJnsGvZ+nxHTY/lnEpyn5wkTrT/xssms/89ku9jPOyLfC30X3pPTN +sFc4/433zP/cGnFS/C3WOS1u4xM/RfyYEsPnWf/g3pnu+cYaDn/rHBo2f+cc +s/Cenz0TjW/84hzchc1/R/yVEoOXWf+ZEoNgUTL2lkjLh/CR3yN+81p4xfde +k9l4069eg1q85w/3wHzY/2/EP65d7Fm/ei1YmkprzTm+gx+8Br141X+eAbPh +6I5RWystppND75CWR/Cd1Ai9zZrvZLu0cmi+ne3TyjELbu6U1kw0LK2dVg7m +wt6q0LumxWxy6F3SesadcVfU4CH4Rt3QddK66xU++9+ejVftnNYa1DKTWfRw +Vs6wzWemlpnMooe94zU10zoDdwcn02ndIQyCO3uFbpaWB8DFXOhsWrWwNZNW +D5oanpGjFpbm0+qB+bzHpdDFtLgBqw4O3SqtZ7zr5bRq8BS8pTp0JS0Ow+lG +oRum5RFwfLfQ9dOqxUfqpdXD2vhOIa098IweaqlhLdZgNmvCeNZgdoO0ZpND +swZ3ARf3TutOmM2ZOAtr4CN40+6hG6fFPDjXInTztHrJoZmBb8GNpqH3SEvD +kj3TyqH5Dbh7csyCnfukNRONd+ybVg4uw+GDQh+YFofJoQ9Ia2/4ZJO09oiH +wOn9Q++X1t1yBvbOHTMbr2qZ1hrUMpNZ9DCLM7B3ZlLLTGbRw7vFN8W3xDvG +3vjN+a3ZI7893D8krXcATuOdbUIfmRazyaGPSMsn4O6hof+XlobFrdPKoWH1 +YWnlmIUvHJXWTDRe0TatHByGu8eHPi4t7pJDH5sWw2BZz9A90vIcuHxM6HZp +rYWXHJ7WmszGq45Oaw1qmckseuA83tAhdPu0apnJLHr+8nfdK601mc0dcHbW +oBdvOiGtGTATrp0SuktazCaHPjmtf0bB104M3TEtjW90Siv3/x6Cd5BjFqzs +mtZMNN5xalo5OAuHzwzdPS3mkkOfkdYzWH1WWjV4BBw/PfRpad01d8DZuXNm +4yvd0lqDWmYyix7OyhnYO2emlpnMooe7goW907ozuANnxkaMSYtBWesL03rX +eId4d3jnYCJsPCd0n7Rm4QtnpzUTTQ3PyFEL989Nq4d3mnd7QET/tHwD7g+K +GJgWg2Hx8IhhaXG3rvXQtP6zeVP8n7ngP3sBw+HyeRFD0mJyTc/u59n4yGCv +sbNnDnXPDt7DQO+J2tqeRQ97x6f6+gzbeY1+zrFXvGiE9wzTYNtFEePTYmjB +epyfwekJrsm5Zpx/AzwEX7ggYrR1xr8FuaRreDYqrb9BeId5d/mbBk7D3Snc +VVq+mHTtSO8Vnzzfe65yzUjn6nkGvZPT4jy+MCliYlrMr1hf7Gf1XDvJZyv7 +GWfkbzH+mQfPwDvYG94w1XtE4x3TnIPb+MT8iHlpMbyZ9dy0OAuH+dfVZljD +0VnOoWHrbOeYhW8s8Ew0XrLQObgLl5dFLE2LwftZX5oWh2DbLRE3p+UZcH1J +xGKvhe/M8ZrMxmcWeY19PfNS9+ADeMuKiOWu3cezFnktvvNbvWZT38Fcr0Ev +PnCZZ8BQOHdNxKq0GH6Y9dVp+QY+cXnESmu+4yucQ/NtX+kcs2DntZ6JxktW +Owd34fKaiJvSYnA76xv97FjfFTV4Bly/IeJ63/UBPvsyz8ZnrvMabT3zRvcc +5DOs9JnbeOb17mHv+NhVPgN3Bytv8x3CIFj0VMSTaTERNt4esc61+MJa93Rw +zTrnqIX7d7gH7vOdrY+4K+K+iPsj6mfiO8joGd/i3a7BV/CFeyPuSYvRsPrB +iAfSYng3z7jPtfjGBvewNj5zp/fQ1T33ueZkr3GP1zzNazB7o2efZn2/7wIO +P+076eQz3eU18CV86OGIh9JiHpx7NuIZ955rzQw8Fx95POIxa3zgCed6+zd4 +0jlmwc7nPBMNq593Du7C5VcjXkmLwUOsX/be8MpHvEe4DddfinjRd9vde3/Q +s+H6C15jkGe+7J4ePgN7f9S1Az2LHt4tvim+pau8N7zjNe8RjTe97hyMhtXv +RWxKi7Fw9a2IN61h7dvOoWHzO86hYfu7zjELL37fM9H4xAfOofGOD52Dy3D4 +s4hP0/IYOPxxxGbX4kUfuWe097zJa453z2bXwHW8YEvE52lxfaJnf+LZF1uz +xnCfmbO+4b1Mdi97goGw8I+I39NiNNz8Lq3/GwkegBd8FfGl9fSIr51Dw/1v +nEPD/a3OMQtOfu+ZaNj5g3NovORH5xZ7T+zlt7Q8AW7/EvGza/GNn9zDXeBb +X/hO5rvnZ9dM8Rm+dM0ir8HsXz17kfUvvgu85k/fCUyEjX9H/JUWg2BRJtiS +zohxvIfbh94uI2aTQ9fIqBdf+Mcz0HDzX+fQsPQ/55jFu10zo5lovGOHjHJw +Fg7XCb1zRswlh66d0bs/3L813wAeAcd3imc7ZrQWPrPNazIbX6mV0RrUMpNZ +9MB9vGSX0HUzqmUms+hhFmfmrNu8N3qoZY/04ju7ZjQDZsPNHP/dQRndJTk0 +d8ozuJfPqAbfwncToasy8hB8IRU6mZHmN+G3IEctNTyjh38GbOz3crpnw9ZC +RmvAdLhdHbqS0W+/zL817wAMh+XleFbKqBbW4y30MAtfKWY0k1pmMosezs4Z +2Dt3sN4zmUUPHoAX7JaRZ+ETcLJh6AbsP6JxxIyI6RkxG3Y3C71nRkwnh26a +US+spY8ZaLjc2LPQsHp3z2UWvrNXRjPR+MjeGeVgLuzdL3TLjJhNDr1vRoyB +NceGPiYjD8E39gndIiOGw/I9QjfJaDZe1TyjNahlJrPowQPwggNC759RLTOZ +RQ9rwbrjMlqT2dwBZ2cNevGmAzOaAcPh9mGhW2fEeHLoQzPyDHyiVeiDMtJ8 +NwdnlHvd39IhGeWYBZcPz2gmGlYfkVEORsPqdqGPzojp5NBtM3rGnXFX1OAZ ++MRRodtkdNfcAWfnzpmNzxyZ0RrUMpNZ9HBWzsDeOTO1zGQWPdwVnD8+ozuD +OXDm7NC9M2ISOXSvjN5F3kHePd5JmAo3TwjdIaNZeEf7jGaiqeEZOWphbceM +evAAvOCk0J0z8hR8oEvokzPiNNw9PfRpGTGbHJr/njH+WaSRv128B4+A+/zf ++Pm/9eM5rMHsThnNxldOyWgNapnJLHrYC3tgbfb0vWcyix72jq+dmNEZ0KzB +bHLsFa84I6M9wzzYd27EORkxlRy6T0bP8IK+ruHuqeEZvwGMgTU9Q/fISPOb +8FuQw4Oo4dlZGb2LePn/Mnon4TCcHhwxKCPfpIfaMzPaK17XPaM9o6nhGbla +nkHvwIw8Bh8YENE/Iw+qad3Pz2q5doDPtr2fcUb+vRb8eyT41/35v5WxV745 +vjX2jK/gVedFDMmI03B7dMSojHwDnxgeMcwabo5wDg1Lz3cODedHOscsvOAC +z0TjKxc6h8ZrxjgHh+H0xRETMvIEOD4+Ypxr8aGx7kl4z6O8Zs4941wD9/GF +SRETM2J8ybMv8uyiNWvU8Zk561DvpeJe9gQTYeOSiMUZMRt2z8zIE/AMvtMp +EZdY8+1OdQ6ND0xzDo0PTHcOJsPN2RGzrGHpHOfQeMtc51p4T+xlUUYeAccX +RMx3LT4yzz3cBV452XfSzD3zXVPPZ7jENc29BrMXenZz6wW+C7znUt8JjISV +yyKWZsQgWLQ24raMvhHeuysjrsiI4YdYX+5efGK5Z6Dh6Arn0LD1MueYxbt8 +lWei8ZKrnYO7cPn6iOsyYnAb69UZvft1/FvzDeAZcP3aiGu8Fr6z0msyG59Z +5TWO8MzV7sEH8JYbI25w7eGetcqzDvZZV3pvbV17vXvxoZs8A2bDvdsj1vku +T7Be62dw8A7X4GP48M0RazLyGHzh1ohbrNv7t7jVtcf5GT2wCybAgsGeDWvv +9BowHC7fG3GPf/v9/FvzDsBwWH53xHrXwvIN7mEW3nCXZ57smfe4p53PsMZ3 +0Nkz17uH/04x/jth+P/rz39XAD6CN23M6L8Ds3WDuPOIVRFXNxCn4fZjEY9m +5BFw98GIB6zh7kPOoWHxw86hYfcjzjELL3jcM9F49RPOofGRJ52Dw7D6+Yjn +MvIhfOeZiKddi/c85R7W6uG9PuJn57iWGhgP61+MeCEjxvfz7Gc9u681a8A0 +2LY54iPvZYB72dM41/Dsw4yYDsffjHjD7wDvwisRL1vznbzqHJpv5zXn0LDz +deeYhQ+85ZloOP22c2jY/Y5zY70n9vJBRh6BL7wXscm1+Mi77uEu8MqXfCej +3bPJNYN8hpddM8ZrMPt9zx5j/Z73Ptxnf913h1d87DuEQXDnn4i/M/IEvOHT +iE+s4epnzsFQWLol4vOMmA/nv4r40s/whS9cM8k9n3vG9xE/RHTNxreUVS9e +8bVn4Dl4z9aIbzLyDHziu4hvred4zndea6rXZk08Ae7/6HXQeMFPzqHh/M/O +Tfea33gPE3wHn/iOqMUbfnEPvoE3/Rbxq+8Ojv7rO8Qz4MYfEb9bw5I/nUPj +A385t8y/wd/OMQuO/ueZaNi6zTk03lIjqxwchtM7xv9eKyuPgOM1Q2+fVS0+ +sl1WPQt9hl99Jp7RQy01i32G333GVV6D2TtkNZscmjVYG6/YKas9oPGi2lnl +0PjAzlnl8AV8oG7oOllpuLhLVjk0rNw1qxwajldllUPD9kRWOTTcT2aVQ+MF +qaxyaHwlnVUOjddkssqh8ZlsVjk0vpDLKgfDYXk5dCkrxsPtQuh8VhqWF7PK +oemhlhy9+EQlqxnMZga9rMEzvKQ6qxoYCzebhd4zq3+fMT5QP3S9rDTesFtW +OTTcb5BVDg33G2aVQ8P9Rlnl0HC/cVY5NFzePascGlY3ySqHxhf2yCqHxhea +ZpVDs2f2Sg5Gw+oWoZtndRY4v1dWZ0LD/r2zyqHpoZYcd8GZOSt3wrvDO8O7 +wjvEbLxhn6zWgPmwv2XofbNiNpxuFfqgrJ7B0f2yqkHDzf2zyqFh6QFZ5dD4 +wIFZ5ZgFNw/OaiYalh6SVQ6ND/wvqxychrtHhj4iKw+A64eFbp1VLT5xaFY9 +rMWe2Str8oweaqmB47D9qNBtsvIA1mD24VnNJodmDdamh1r2QC/e0zarGdwd +d8ZdcYcwGTa3D318Vr6JL7QLfXRWz+B4h6xqeAYXj8mqBk7iC8eFPjYrzUxm +kaOWGp7RA7thNGz+3rPh9glZrfGd83hDl6yYDJs7h+6UFefxiRNDd8yqlxya +GTyjh1pqODtn4izcAR7CGsw+OavZeM1JWa0xK2I2+6DWek7E987hGfjAaaG7 +ZcV0ON4j9FlZPYOrp2dVg4a1Z2SVQ8PV7lnl0LD2zKxyzMIHemY1E4039Moq +h8ZnemeVg9mwu19E36w8Al84J3SfrGrxkbOz6mEt9sxeWZNn9FBLDUyH7QMi ++mflGdt59rlZza5hzRpwDO6NjxjnvdR0L3vKuYZnY7NiPFwfHjEsK8/AJwZH +DLLGJ4Y4h+a7P885NCwY6hyz8IURnonGF853Do0vjHQu6z2xlzFZeQI+cEHE +aNfiG6Pcw13gfQN9J0n3jHZNLZ9hkGsyXoPZF3p2xvoC772uzz7Ud4dPXOQ7 +hElwaFnE0qx8BN+4OGKCNT4x0TkYCSsnR0zKygPg/tSIKX6GT1zimop7JnkG +fxPxTvMun+pefGKaZ+AR+MKMiOnW+MJM59D4wizn6nsPU7wmHoEv8A3NtsYr +5jqHxhfmOdfQa073Hoq+gwm+I2rxjfnuwTPwjoURC3x3cHu57xCPgHOLIxZZ +w74lzqHxhUuda+nfYKlzzILLKzwTDasvcw6NL6x0Dm7D4VURV2flCXD+yogr +XItvXO6evXyGBT7Twe65wjXNfYZFPuOhXoPZV3n2odZXem284BrvAY1XXOsc +Gl9Y7Rw+gS9cH3GdNZy8wTk07LzROTTcv8k5NFxf4xwa1t/sHBofuMU5NN5w +q3NofOU259D4wFrn0HB9nXMwGlavj7grK+7D+TsibreG/Xc618k9dzkH82H/ +PRF3e3ZH967zM7znXtfAWLj5RMTjWf1zC9/JfREbrPl27ncODfc3OoeG+w84 +h4b7DzqHhvsPOYeGyw87h4bVjziHxhcedQ6NLzzmXE/v+XHnYDSsfibiaZ8F +zj/pM6Fh/1PO9XHP086d4jNv8J3w7vDO8K6s9my84VmvAfNh//MRz2XFbDj9 +asQrfgZHX3ANGm6+6Bwalr7kHBofeNk5ZsHN1zwTDUtfdw6ND7zhHJyGu5si +3s3KA+D62xFvuRafeNM9g73nV7zmCPe85Ro4Dtvfj3gvKw8Y7dnvePYo67e9 +9oWu3eRevOcDz+jrO3vOdwiTYfNnEZ9m5Zv4wkcRH/oZHP/cNTyDi5tdAyfx +hU8iPrae6FmfuHaCn9HD32F4wKn+nZkNt7d4DZgO2/lbaGtWTIbNX0d8lRXn +8YkvI75w7xTrLX42zbVf+uzjfBbuYIbXYPY3nj3DmjXwFjxktveBZ+ATP2T1 +N1n3XHwjEQ9HPJQT0+H47xG/ZeURcPWniB+tYe3PzqHh6i/OoWHtr84xCx/4 +wzPReMOfzqHxmb+cg9mwu0bsZVtWHoEv/Bvxj2vxkb/dw1qLvddf/WyFa6mB +6bB9+5i3XU6ewRrM/s+zV1qzBhyDe7mozea0F2bQy57WuYZnmZwYD9frhN45 +J8/AJ2qF3iEnjU/smFMOzXe/U045NCyonVOOWfhC3ZxmovGFXXLKofGFXXPK +wXT2xF7SOXkCPpAMncipFt+oyqmHu8D7auZ0Jzyjh1pqeMYZ2Ds1eAhrMDuV +02xyaNZg79wBZ+cM3B0+kc/pDmESHNovdMucfATvKYYu5OQh+EQ5dCknRsLK +6tCVnDwA7u8Wun5Oz/CJejnV0EsPtczYPaJJxKyImTn14hMNcpqBR+ALjUI3 +zEnjC41zyqHxBeaQYy32wNqsiUfgC3t4HTRe0TSnHBpf2DOnHGuzJmuxB+6G +O+Ds3BG1+EaznHrwDLxj79B75XR3cHv/nO4Qj4BzLUI3z0nDvn1yyqHxhX1z +yqH5Dbh7csyCywfkNBMNqw/MKYfGFw7KKQe34XDr0Ifm5Alw/pDQB+dUi2+0 +yqmHs3AG9s6ZeEYPtdRwNs7A3jkjnsAazP5fTrPJoVmDtfGCw3LaAxqvODyn +HBpfOCKnHD6BL7QJfWROGk4elVMODTvb5pRDw/2jc8qh4Xq7nHJoWH9MTjk0 +PnBsTjk03nBcTjk0vnJ8Tjk0PtA+pxwarnfIKQejYXXn0J1y4j6c7xj6hJw0 +7D8xpxyaHmrJ0Qv7T8ppBrOZQS9r8AzvOTmnGhgLN3uG7pHTP5PjA6eE7pKT +xhu65pRDw/1Tc8qh4X63nHJouH9aTjk03D89pxwaLp+RUw4Nq/Edcmh8AQ8i +h8YXzsoph2bP7JUcjIbVfUKfndNZ4HyvnM6Ehv29c8qh6aGWHHfBmTkrd8K7 +wzvDu8I7xGy84Zyc1oD5sL9vxLk5MRtOD44Y5GdwtJ9r0HCzv3NoWDrAOTQ+ +MNA5ZsHNIZ6JhqXnOYfGB4Y6B6fh7qiIkTl5AFwfETHctfjEMPfU8p4Hec26 +7hnuGjgO2y+IGJ2TByQ8+3zPrrIe4bVTrh3lXrznQs/g7mr4rrhDmAybJ0Zc +nJNv4gtjI8b4GRyf5BqewcVxroGTeMVFEeNz8oiyZ01wbcHP6IHdMBo27+7Z +cHuy12jsPN4wIycmw+ZpEVNz4jw+MSXiEvfWt57sZw1cO8Vnz/os3EEjr8Hs +6Z7dyJo1+BuLv7Ua5yOf199i8/yN8a3hGfjA3Ig5OTEdji+OWORncHWea9Cw +dr5zaLi6wDk0rF3oHLPwgSWeicYbLnUOjc8sdQ5mw+7LI1bm5BH4woqI5a7F +R5a5p7n3vMhr7u+e5a6B6bD9yogrcvKMVp59mWcfZM0acAzu3R6xzns5xL3s +qYNreLY2J8bD9esjrsvJM/CJVRFXW+MT1ziH5ru/1jk0LFjtHLPwhRs8E40v +3OgcGl+4ybn23hN7uS0nT8AHbom42bX4xhr3cBd431W+k2Pcc7NrDvUZrnbN +8V6D2bd69vHWt3jvbXz21b47fOIO3yFMgkPPRzyXk4/gG3dF3GmNT6x3DkbC +ynsi7s7JA+D+fREb/AyfuNc1J7nnbs/gbyLead7l2e7FJ+73DDwCX3ggYqM1 +vvCgc2h84SHnTvEeNnhNPAJfeCSn7wqNVzzqHBpfeMy5bl5zo/dwou/gTt8R +tfjG4+7BM/COJyOe8N3B7Rd8h3gEnHs64ilr2PeMc2h84Vnn+vo3eM45ZsHl +Fz0TDatfcg6NL7zsHNyGw29GvJGTJ8D51yJedS2+8Yp7evkMT/hMQ9zzqmvO +9hme8hmHeQ1mv+7Zw6xf89p4wVveAxqveNs5NL7wjnP4BL6wKeJdazj5nnNo +2Pm+c2i4/4FzaLj+oXNoWP+Rc2i8YbNzeALe8EnEx9b4yqfOofGBz5xDw/XP +nYPRsPrriK9y4j6c/yJiizXs/9K5qe75yjl6Yf83njHZM7Z4DZ7hPVtdA2Ph +5p8Rf+T0zy18J99FfGvNt/O9c2i4/4NzaLj/o3NouP+Tc2i4/7NzaLj8i3No +WP2rc2h84Tfn0PjC784t8Z7/cA5Gw+p/I/7xWeD8Xz4TGvb/7dxy9/zj3Eyf ++VvfCe8O7wzvyjuejTf85zVgPuyvEd65LSdmw+kd43+vldczOLpdXjVouLl9 +Xjk0LK2ZVw6ND+yQV45ZcHOnvGaiYWntvHJofGDnvHJwGu4mQlfl5QFwfZfQ +dfOqxSfq5NWzyntmr6zJM3qopQaOw/ZU6GReHsAazN41r9nk0KzB2vRQyx7o +xXvSec1Y6Tvb5juEybC5FM+KefkmvpANncnrGRwv51XDM7iYy6sGTuILhdD5 +vDQzmUWOWmp4Rg9/h+3h93iWZ8PtSl5rwHTYzt9CDfNiMmzeLXT9vDiPT9QL +XZ1XLzk0M3hGD7XUcHbOxFm4AzyENZjdIK/Z5NCsgWfgE7vn9TcZGp9oklcO +jQ/skVcOhsPtFqGb58V4cui986qFs03z6kHD3j3zyqHhbLO8cszCC/bJayYa +X9k3rxyMhtUHhT4wL6aTQx+QF1fg0AmhO+TlGfjE/qH3y2st2L5XXmsyG59p +mdca1DKTWfTAeFh/cOhWedUyk1n0sBac65jXmszmDjg7a9CLdxyS1wyYDsfb +hD4yL+aTQx+Rl4fgG4eG/l9eGt9onVcODQcOyyvHLHzgqLxmovGBtnnlYDic +bR/6+LwYTw59XF7PuDPuiho8Al84NvQxed01d8DZuXNm4ytH57UGtcxkFj2c +lTOwd85MLV7ULq8e9g7LDs/rDNwdXnFiXncIo+BS34hz8/IEOHhS6M551eIj +nfLqQVPDM3LUws6T8+rBE/CBrqFPiTgjonvEQ3xreT3DF07NqwZPwAdOC90t +L67D7R6hz8rLA+B+d8+iFp84Pa8e1saXuuS1B57RQy01rMUazGZNPIM1mH1m +XrPJnel9chdwuZ/vhNmcibOwBh6Cb/QK3TMvZsPRARH93VvTmhl4BtzrE/rs +vDQsPCevHLqG7/4cz4LNAz0TjQ8Mcg5Ow93hEcPyYnZd66F57Q1v653XHvEI +uH9exJC87pYzsPceno2vDPYaO3vmUPcwizOw996ure1Z9PBu8U3xLR3uveEN +I7xHNN5xvnMwGTaPixibl2/gE6MjRlnDzQucQ8PSC51D4wNjnGMW3B/vmWi8 +4CLn0PjIBOfgNNydEnFJXgyH5ZMiJroWX7jYPVnveazXLLtnomvgOGyfFjE1 +Lw+o79mTPbueNWskfGbOOtJ7aeBe9gQDYeHyiGV5MRpuzouYm5dH4AszI2ZY +4wuznEPD/dnOoeH+HOeYBSfneyYadi5wDo2XLHRuP++JvSzNyxPg9pKIxa7F +Nxa5h7vAS6f7Tlq4Z7FrGvkMM1zT0msw+1LPbmm9xHeB16zwncBE2Lgy4rK8 +GASL7oq4My/G8R5eE7EqL2YfZn21e/GFyz0DDTevcA4NS690jlm829d6Jhrv +WO0czIbDN0esyYu5sPemiBvzevcT/q35BvAIOH5DxPVeC5+5ymsyG1+5zmu0 +9cwb3QP38ZJbI25x7VGedZ1ntfZZr/LejnPtze7Fd27zDJgNN++OWO+7PMn6 +Lj+De/e4Bt/Cd9dFrM3LQ/CFOyJut+7k3+IO13b0M3pgLKx9OC9PYDZsvddr +wHS4/UDERv/2B/m35h2A4bD8/oj7XAvrH3QPs/CVDZ7ZzTM3uqe9z7DWd9DV +M+9zD98K3xzf2hzvFa94xHt+LOJx6grxbRXEcLj9dMRTeTG1p+sete7lnses +4egTzqFh65POMQsveMYz0fjQs86h8ZXnnIPBsPiViJfz8gx84sWIF1yLFz3v +Htbq470+6WcDXEsNzIf9r0W8mpcnDPbslzx7kDVrwDTY9nnEZ97Lee5lTxNd +w7NP82I6HN8U8W5eHoEvvBnxhjXfyVvOofl23nYODTvfcY5Z+MB7nomG0+87 +h4bdHzh3sffEXj7JyyPwhc0RH7kWH/nQPdwF3vq672Scez5yzTCf4Q3XTPAa +zP7YsydYb/beR/vs7/ju8IotvkMYBHdqxLu1LS9PwBu+jPjCGq5+5RwMhaXf +RHydF/Ph/HcR3/oZvrDVNdPc87VnwBVYtUusV7egXt777z2D7wCf+DHiB2t8 +4ifn0PjEz87N9B6+9Zp4Atz/NeIXa7zgN+fQcP535+Z4zR+8h0t8B1/4jqjF +G/5wD76BN/0V8afvDo5uV9Ad4hlw45+Iv61hyb/OofGB/5xb6d9gm3PMgqPb +FzQTDVtrFpRD4y07FJSDw3C6TuidC/IIOL5T6B0LqsVHahXUc6nP8KfPxDN6 +qKWGsy333jkjjGcNZtcuaDY5NGvc4N+U35I94Elwvyr0rhF7FcPjIxZEzC+K +y3A6F8+yBfkEvpAMnShIw8lUQTk07EwXlEPD9UxBOWbB/nxBM9H4SKGgHBpv +KRaUg7twuX7oegV5ANyuhC4XVIvvlArqYS32zF5Zk2f0UEsNnMcHGoTerSCm +swazqwuaTQ7NGnAMth0Qev+C9sIMetkTjKOGZ/sVxGw4vWdBHoCH4QuNQzcq +SOMVuxeUQ+MDTQrKofEBvIMcs+Bws4JmomHzXgXl0PjA3gXl4DR7Yi8tC/IA +uL5P6BYF1eITzQvq4S7wxoYF3QnP6KGWGp5xBvZODR7AGszet6DZ5NCswV3B +9QMLujM0rD+ooBxMgS2dQp9YEBNh4yGhDy6oFo62KqgHTQ3PyFEL9/9XUA+M +h5OHhW5d0LvMO8q7yTvNM1h6eEE1eADcPzL0EQUxF/YeE7pdQUyH221DH1VQ +Lb7QpqAe1sZnDi1oDzyjh1pqWIs1mM2a+ABrMPvogmaTQ7MGszgDe2cme8Eb +ji1oT2i86LiCcjAabp4UunNBd0kOzZ3yDLaeXFANngEXO4RuXxAn8YWOoU8o +SPOb8FuQo5YantHD3zY9/I4+4tlwv0tBa8B02H5a6G4F7RUvP76gPcNpfODU +0F0LqoXzpxfUwyw4fkpBM6llJrPoYRZnYO/MpJaZzKKHWfjEGQXNROMT3QvK +ofGBMwvKwXC43Sf02QUxFbb24KwFaTjas6AcGrb2KiiHxhd6F5RjFl5wTkEz +0fjKuQXl0PhCX+fgNhweHDGoIE+A8wMi+rsW3+jnHtZiz+y1t5/VdC01cB3W +nxcxpCBP2NGzB3p2LWvWgGuwbnLEJO+ltnvZU8U1PJtYEMPh9gURowvyDf4W +GB4xrCDP4Ds7P2KENd/eSOfQ+MIo55gFly/0TDSsHuMcGl8Y61zZe2IvFxfk +CXD+oojxrsU3xrmHu8DXhvpO8u4Z75o6PsMw15S8BrMneHbJ+iLvPeWzj/Ld +wf1LfIdwCQ5dEXF5Qb4AR6dGTLGGm9Ocg6Nwf0bE9IIYD+tnR8zyM3xgpmsa +ume6ZyyIWBjxS8TP7sVn5ngGHoEvzIuYa40vzHcOvbfnzPdaTbw2a8J82L/I +66Dh+mLn0LB+iXNNveZc76G+72CK74havOJS9+BLeOWyiKW+Ozh6pe8Qz8An +VkQst8YHLnMOjQ+sdK6Vf4PLnWMWHL3KM9Gw9Wrn0PjAKufgNhy+IeL6grgN +51dHXOtaOH6Ne1r6DEt9psPdc61r9vcZlvuMbbwGs6/z7DbWq702XnCj94DG +K25yDo0PrHEOD4Crt0TcbA0Xb3UODStvcw4Nx9c6h4bt65xDw/3bnUPjBXc4 +h8ZX7nQOjdfc5Rwan1nvHBpfuNs5GA7L74+4ryDGw+17I+6xhuUbnOvqnvuc +oxef2OgZJ3vGPV6DZ3D/AdfAWLj5bMQzBXkA3H8o4kFrvOBh59Bw/xHn0HD/ +UefQcP8x59Bw/3Hn0HD5CefQsPpJ59D4wlPOofGFp507x3t+xjkYDatfjHjB +Z4Hzz/lMaNj/vHP93fOCc6f7zA/6Tnh3eGd4V9Z4Nt7wkteA+bD/lYiXC2I2 +nH474i0/g6OvugYNN19zDg1LX3cOjTe84Ryz4OY7nomGpe86h8YHNjkHp+Hu +5oiPCvIAuP5BxPuuxSfec88I75m9vulnY1xLDRyH7Z9EfFyQB4z37A89e5z1 +B157gms3uxfv+dQzBvnOXvYdwmTY/HXEVwX5Jr7wecRnfgbHv3ENz+DiFtfA +SXzhy4gvrKd51peuneJn9MBuGA2bF3g23N7qNeY7jzf8VBCTYfMPEd8XxHl8 +4ruIb907y3qrn81x7Xc++ySfhTuY5zWY/aNnz7NmDf7W4m8qvqMzvU9849eC +PAvPwAd+j/itIKbD8X8j/vEzuPqHa9Cw9k/n0HD1L+fQsPZv55iFD/znmWi8 +YZtzaHymRlE5mA27d4z/vVZRHoEv1Ay9fVG1+Mh2RfUs957/8Zo8o4daamA6 +bK8deqeiPIM1mL1DUbPJoVkDjsG9SuhyUXthBr3sCcZRw7NSUYyH68nQiaI8 +A5+oG7pOURqf2KWoHJrvfteicmhYUFVUjln4QqqomWh8IV1UDo0vZIrKwXT2 +xF6KRXkCPpAPnSuqFt/IFtXDXeB9Oxd1Jzyjh1pqeMYZ2Ds1eAhrMLtQ1Gxy +aNbgrvCF6qLuDI1X1CsqB5PgUKvQBxXlCXCwQejdiqrFR+oX1YOmhmfkqIWd +DYvqwRPwgd1DNy7qXV7sd/dXP8MXmhRVgyfgA01D71EU1+F2i9DNi/IAuM+/ +ztKsqFp8Ys+ielgbX2pU1B54Rg+11LAWazCbNfEM1mjuf92G2eTQrMEszsDe +mcle8I19itoTGt/Yt6gczIbdh4Q+uKi7JIfmTmEsrD009P+K8hA4uH/o/Yri +Ij5xYOgDitL8JvwW5Kilhmf08C7yTvMu804yG59oXdQaMByWtwl9ZFF7xeta +FrVnPAMfOCL04UXVwvGjiuphFj5zWFEzqWUms+hhFmdg78yklpnMOsy/EffI +vxY2r6jZeEXbotbAR/CJdqGPLso38IljQx9TlIabxxWVQ8PS44vKofGB9kXl +0HC+Q1E5NOw/oagcGl/oWFQOjVecWFQOjc90KiqHxhc6F5VDw/mTisrBbNh9 +auiuRfkA3O8S+uSiNF5wSlE5ND3UkqMXL+hW1AxmM4Ne1uAZXnRaUTUwF46e +G3FOUZ7Ad3RG6NOL0nxb3YvKofGBM4vKofGBs4rKofGBHkXl0PhAz6JyaDjd +q6gcGnb3LiqHxifOLiqHxif6FJVDs2f2Sg5mw+4BEf2LOgvc7+szofGCfs5t +757+znEXnJmzcie8O7wzvCvtPBuvGOg18AC8YHDEoKIYDrdHRAz3M7g6xDVo +OHqec2jYOtQ5NL4wzDlm8d2d75lovsWRzqHxhVHOwW04PC5ibFGeAOcvjLjA +tfjGaPfU9Z6He82Uey5wDVyH9RdFjC/KE3KePcazs9YXeu2Ca8e5Fy+a4Bm1 +fGeDfIdwHY5PjZhSlI/iGxMjLvYzODvNNTzDRya5Bo/AFy6JmGy9m2dd4tp6 +fkYPbIAJsKCtZ8Pd6V4DhsMUWDK3KIbD8tkRs4riND4yM2KGextbT/ezJq6d +6bOXfRbuoKnXYPYcz25qzRr832IW+l+D41+LwyfwjoVF/Wv+fSvxnkY8F/Fs +RYyG1csjlhXlGfjE4ohF1nB4iXNoOHqpc2jYutQ5ZuEDKzwTjTdc5hwan1np +HAyGxddErCrKc/CFqyKudC3edLl7WGt/73Wpn+FlV7gG5sP+1RHXFuUJh3n2 +1Z7d2po14Bjcuyfibu/lCPeyp5Ncw7P1RTEert8ScXNRHsF7cEPE9da8Gzc6 +h+a7v8k5NCxY4xyz8IVbPRONL9zmHBpfWOtcZ++JvdxVlCfgA3dE3O5afGOd +e7gLfPI638kJ7rndNW18hutd08lrMPtOz+5kfYf3fqzPvsZ3h0/c6zuESXDo +1YhXivIRfOO+iA3W+MT9zsFIWPlAxMaiPADuPxzxkJ/hEw+6ppt7NnrGExFP +RjQrxXdRUi8+8Yhn4BH4wmMRj1rjC487h+7tOY97re5emzXxCHzhKa+Dxiue +dg6NLzzj3Fle81Hv4RTfwQbfEbX4xrPuwTPwjucjnvPdwe3XfId4BJx7MeIF +a9j3knNofOFl5wb7N3jFOWbB5dc9Ew2r33AOjS+86RzchsPvRWwqyhPg/DsR +b7sW33jLPX19hud8pvPd87Zr+vsML/iMo70Gs9/17NHW73htvOB97wGNV3zg +HBpf+NA5fAJf2BzxkTWc/Ng5NOz8xDk03P/UOTRc/8w5NKz/3Dk0PrDFOTTe +8IVzaHzlS+fQ+MBXzqHh+tfOwWhY/X3Ed0VxH85vjfjGGvZ/69ws93znHL2w +/wfPmO4Z33gNnuE9P7oGxsLN/yL+LervXHzg54ifrPGGX5xDw/1fnUPD/d+c +Q8P9351Dw/0/nEPD5T+dQ8Pqv5xD4wt/O4fGF/5xboX3/K9zMBpW14xve/uS +zgLnt/lMaNhfo6Tcle6hdruS7mK+z8qd8O7wzvCufOjZeMMOJa0B82H/jqFr +lcRsOL1L6LolPYOjO5VUg4abtUvKoWHpziXl0PhAnZJyzIKbu5Y0Ew1Lq0rK +ofGBREk5OA13c6GzJXkAXE+HTpVUi08kS+phLfbMXlmTZ/RQSw0ch+2F0PmS +PIA1mJ0paTY5NGuwNj3Usgd68Z5iSTO4O+6Mu+IOYTJs3i10/ZJ8E18ohy6V +9AyONyiphmdwsVJSDZzEF+qFri5JM5NZ5Kilhmf0wG4YDZuf8Gy43bCkNR53 +Hm9oWhKTYXOT0LuXxHl8onHoRiX1kkMzg2f0UEsNZ+dMnIU7wENYg9l7lDSb +HJo1ekb0Yh/UWveOeMI5PAMfaB5675KYDsf3D71fSc/gaouSatCwdp+Scmi4 +um9JOTSsbVlSjln4wAElzUTjDQeWlEPjMweVlIPZsLt16ENL8gh84ZDQB5dU +i4+0KqmHtdgze2VNntFDLTUwHbYfHvqwkjyDNZj9v5Jmk0OzBhyDeyeF7lzS +XphBL3uCcdTwrFNJjIfrx4RuV5Jn4BNtQh9ZksYnjiop94G/+7Yl5dCw4OiS +cszCF44taSYaXziupBwaXzi+pBxMZ0/s5cSSPAEfOCF0h5Jq8Y32JfVwF3jf +ESXdCc/ooZYannEG9k4NHsIazO5Y0mxyaNZg79wBZ+cM3B0+cXJJdwiT4NCQ +iMEl+Qi+cUroLiVpfKJrSTkYCSu7hT61JA+A+2eEPr2kZ/jEaSXV0EsPtczg +byLead7lvUrqxSe6lzQDj8AXzgp9ZkkaX+hRUg6NL/DdkGMt9sDarIlH4Au9 +/S2h8Yqz/V2h8YU+JeVYmzVZiz1wN9wBZ+eOqMU3zimpB8/AO/pF9PXdwe3z +fId4BJwbENHfGvYNdA6NLwxybkf/BoOdYxZcHuqZaFg9zDk0vjDcObgNhy+I +GF2SJ8D5kRHnuxbfGOEezoIXnlvSmXZ1z/mu2d5n6O8zJr0Gs0d5dtJ6pNfG +Cy70HtB4xRjn0PjCWOfwCXxhfMQ4azh5kXNo2DnBOTTcv9g5NFyf6Bwa1k9y +Do0PTHYOjTdc4hwaX5niHBofmOocGq5Pcw5Gw+rZEbNK4j6cnxEx3Rr2z3Ru +d/fMco5e2D/HMxp6xnSvwTO8Z65rYCzcvCxiRUn/3MJ3Mj9injXfzgLn0HB/ +oXNouL/IOTTcX+wcGu4vcQ4Nly91Dg2rlzqHxheWOYfGF5Y7d4D3vMI5GA2r +r4y4wmeB8yt9JjTsv9y5g91zhXN7+szzfCe8O7wzvCtjPRtvuMprwHzYvyri +6pKYDadviLjez+DoNa5Bw81rnUPD0tXOofGB65xjFty80TPRsPQm59D4wBrn +4DTcXRextiQPgOu3RtziWnziZve08Z6v95rHuucW18Bx2H5HxO0leUAHz77N +s9tb3+q1O7p2nXvxnjs941Df2dW+Q5gMm++L2FCSb+IL6yPu8jM4fr9reAYX +73YNnMQX7o24x7qrZ93r2i5+Rg9/h+EBe/l3Zjbc3ug1YDps52+hR0tiMmx+ +OOKhkjiPTzwY8YB7T7fe6GfdXfugz97ZZ+EOzvIazH7Es8+yZg28BQ/p5X3g +GfjEkyX9TdayHN9ExNKIS8tiOhx/MeKFkjwCrj4d8ZQ1rH3GOTwDrj4X8aw1 +rH3eOWbhAy95JhpveNk5ND7zinMwG3a/FfFmSR6BL7we8Zpr8ZFX3cNaA7zX +5/1sqGupgemw/Z2It0vyjBGe/YZnD7dmDTgG976J+Np7Gele9jTNNTz7qiTG +w/XNER+V5Bn4xHsRm6zxifedQ/Pdf+AcGhZ86Byz8IWPPRONL3ziHBpf+NS5 +qd4Te/myJE/AB7ZEfO5afOMz93AXeN+7vpNJ7vncNaN9hk2umeI1mP2FZ0+x +3uK9j/fZP/Td4RNbfYcwCQ7tGO9WrbJ8BN/4LuJba3zie+dgJKz8MeKHkjwA +7v8S8bOf4RM/uWaOe37wjD8j/oroGWv1KKsXn/jVM/AIfOH3iN+s8YU/nEMv +9Zw/vNYCr82aeAS+8LfXQeMV/ziHxhf+dW6R1/zNe+BuZvnsW12Lb/znHjwD +76gRe9/mu4PbO5V1h3gEnNs+9HZladhXs6wcGl/YoazcKv8G3D05ZsHl2mXN +RMPqncvKofGFOmXl4DYcToZOlOUJcH7X0LuUVYtv1C2rZ6XPsM1n4hk91FLD +2TgDe+eMeAJrMLuqrNnk0KzB2nhBqqw9oPGKdFk5NL6QKSuHT+ALudDZsjSc +zJeVQ8POQlk5NNwvlpVDw/VSWTk0rC+XlUPjA5Wycmi8obqsHBpfqVdWDo0P +1C8rh4bru5WVg9GwevfQjcviPpxvGLpBWRr2Nyorh6aHWnL0wv4mZc1gNjPo +ZQ2e4T17lFUDY+HmgaEPKOufyfGBPUM3LUvjDc3KyqHh/l5l5dBwf++ycmi8 +oHlZOTwA7u8TukVZGi7vW1YODavxHXJofAEPIofGF/a3L6HZM3slB6Nh9SGh +Dy7rLHD+oLLOhIb9rcrKoemhlhx3wZk5K3fCu8M7w7vCO8RsvOF/Za0B82F/ +69CHlsVsOH1U6DZlPYOjh5VVg4abh5eVQ8PSI8rKofGBI8vKMQtuti1rJhqW +Hl1WDo0PtCsrB6fhbofQ7cvyALh+XOhjy6rFJ44pq4e12DN7ZU2e0UMtNXAc +tncMfUJZHsAazD6+rNnk0KzB2vRQyx7oxXtOLGsGd8edcVfcIUyGzV1Dn1KW +b+ILnUN3KusZHD+1rBqewcWTyqqBk/hCl9Anl6WZySxy1FLDM3pgN4yGzX96 +NtzuVtYafziPN5xVFpNhc/fQZ5TFeXzi9NCnldVLDs0MntFDLTWcnTNxFu4A +D2ENZp9Z1mxyaNbgbyz+1vqTvZT1t1gff2N8a3gGPnB2POtdFtPheP+IfmU9 +g6t9yqpBw9pzysqh4eq5ZeXQsLavc8zCBwZ4JhpvGOgcGp8Z5BzMht3DI4aV +5RH4wnkRQ1yLjwx2z3becz+vuZN7hrgGpsP28yNGlOUZdT17qGfXsWYNOAb3 +pkVM9V52dS972s01PJtSFuPh+riIsWV5Bj4xOmKUNT5xgXNovvsLnUPDgjHO +MQtfGO+ZaHzhIufQ+MIE5+p7T+zlkrI8AR+YFDHRtfjGxe7hLvC+kb6Tsnsm +uibhM4xyTT2vwezJnl3PepL3nvPZx/ju8InpvkOYBIeuiVhVlo/gGzMjZljj +E7Ocg5Gwck7E7LI8AO7Pj5jnZ/jEXNc0cc9sz/jb7zTvci/34hMLPAOPwBcW +RyyyxheWOIfGFy51bk/vYZ7XxCPwhWVlfVdovGK5c2h8YYVzrI1XLfQeGvkO +ZviOqMU3LnMPnoF3XB6x0ncHt6/1HeIRcO7KiCusYd9VzqHxhauda+3fYJVz +zILLqz0TDauvcw6NL1zvHNyGw7dE3FyWJ8D5myJudC2+cYN7DvIZVvpMbd1z +o2sO9hmu8BmP8RrMXuPZx1jf5LXxglu9BzRecZtzaHxhrXP4BL5we8Q6azh5 +h3No2Hmnc2i4f5dzaLi+3jk0rL/bOTQ+cI9zaLzhXufQ+MoG59D4wH3OoeH6 +/c7BaFj9cMRDZXEfzj8QsdEa9j/o3Bnuecg5emH/I57RzTM2eg2e4T2PugbG +ws2XIl4s659b+E4ej3jMmm/nCefQcP9J59Bw/ynn0HD/aefQcP8Z59Bw+Vnn +0LD6OefQ+MLzzqHxhRecG+A9v+gcjIbVr0W86rPA+Zd9JjTsf8W5Ie551bke +PvNjvhPeHd4Z3pW1no03vO41YD7sfzPijbKYDaffi9jkZ3D0Ldeg4ebbzqFh +6TvOofGBd51jFtx83zPRsPQD59D4wIfOwWm4+1nEp2V5AFz/OGKza/GJj9wz +2nve5DXHu2eza+A4bN8S8XlZHjDRsz/x7IutP/bak137mXvxni88Y5jv7A3f +IUyGzd9FfFuWb+ILX0V86Wdw/HvX8Awufu0aOIkvbI34xnqWZ2117Qw/o4e/ +w/CAXv6dmQ23f/AaMB2287fQ72UxGTb/EvFzWZzHJ36K+NG986x/8LMFrv3J +Z5/qs3AHi70Gs38ry2MWW/9almfgE3+V9TcZGp/42zk0PvCPczAcbtesxN85 +FTGeHHq7imrh7L/uQcPe/5xDw9ltzjELL9ihoplofKVWRTkYDavrhq5TEdPJ +oXeuiCtwqEHo3SryDHyiduidKloLtteoaE1m4zM7VrQGtcxkFj0wHtbvGnqX +imqZySx6WAvONaxoTWZzB5ydNejFO6oqmgHT4Xg+dK4i5pNDZyvyEHwjGTpR +kcY3UhXl0HAgXVGOWfhAoaKZaHygWFEOhsPZ+qHrVcR4cujqip5xZ9wVNXgE +vlAJXa7orrkDzs6dMxtfKVW0BrXMZBY9nJUzsHfOTC0zmUUPe4dlmYrOwN3h +FY0qukMYBZcODf2/ijwBDjYJvXtFtfhI44p60NTwjBy1sHOPinrwBHygWeg9 +I/aJ2DdiScTiip7hC3tVVIMn4APNQ+9dEdfh9v6h96vIA+D+vp5FLT7RoqIe +1saXmla0B57RQy01rMUazGZNPIM1mN2yotnkWnqf3AVcbl3RnTCbM3EW1sBD +8I0DQx9QEbPh6OGhD6uolxyaGXgG3Ds4dKuKNCw8pKIcmt+AuyfHLNh8REUz +0fjAkRXl4DTcPSZ0u4qYTQ59dEV7w9sOqmiPeATcbxv6qIruljOwd+6Y2fhK +m4rWoJaZzKKHWZyBvTOTWmYyix7eLb4pviXeMfaGNxxb0R7ReMdxFeVgMmzu +HLpTRb6BT3QI3b4iDTdPqCiHhqUdK8qh8YETK8oxC+6fVNFMNF5wckU5ND7S +paIcnIbtp4c+rSKGw/JTQ3etqBZfOKWiHtZiz+yVNXlGD7XUwHHYfmbo7hV5 +AGswu1tFs8mhWQOP5Myc9fiKPIAZ9J5REQNh4XkRQypiNNw8J3SfijwCX+gZ +ukdFGl/oVVEODfd7V5RDw/2zK8oxC06eW9FMNOzs6xwaL+nn3E7eE3sZXJEn +wO2BEQNci2/0dw93gZeeVdGd1HTPANfwjDOwd2p29BrMHuTZO1oP9F3gNUN9 +JzARNg6PGFYRg2DRzIgZFTGO9/DCiAsqYnbaerR78YURnoGGm+c7h4alI51j +Fu/2GM9E4x1jnYOzcHhixMUVMbdsPaGid5/fnN+abwCPgOMXRYz3WvjMKK/J +bHxlnNcoeuYE98B9vGRyxCTXFjxrnGelfNZR3lu1aye6F9+5xDNgNtycHTHL +d9nEeqafwb05rsG38N2pEVMq8hB8YXrENOvG/i2mu7ahn9EDY2HtpRV5ArNh +61yvAdPh9qKIhf7t6/q35h2A4bB8QcR818L6xe5hFr4yzzP39syF7qnvM0zx +HTTzzPnu4Vvhm+NbO9t7xSuWes/LI1ZE/BPxd0UMh9tXRFxeEVMPcN0y6wPd +s9wajl7mHBq2rnSOWXjBlZ6Jxoeucg6Nr1ztHAyGxddHXFeRZ+AT10Zc41q8 +aJV7WnnPl3vNw9xzjWtgPuy/MeKGijyhjWev9uwjrVkDpsG2+yPu817aupc9 +dXUNzzZUxHQ4vi5ibUUegS/cHLHGmu/kFufQfDu3OoeGnbc5xyx84HbPRMPp +O5xDw+47nTvFe2Iv91bkEfjC3RHrXYuP3OUe7gJvvcl30tk9613TzmdY45ou +XoPZ93h2F+u7vfcOPvttvju8YqPvEAbBnbci3qzIE/CGhyIetIarDzsHQ2Hp +oxGPVMR8OP9ExON+hi885poz3fOIZ8AVWPVJxMfu5b1/0jP4DvCJpyOessYn +nnEOjU8861xP7+Fxr4knwP3nK/rPwKDxghecQ8P5F50722s+5T1wN3j1A74j +avGGl9yDb+BNr0S87LuDo2/7DvEMuPFaxKvWsOR159D4wBvODfdv8KZzzIKj +73gmGra+6xwab9nkHByG05sjPqrII+D4BxHvuxYfec89g3yGl32mC93zvmuG ++Ayv+ozjvAazP/TscdYfeO0J/i03+7eF+5/6N+Y/m8R/5oh/nzn/3nO4DKe/ +jviqIp/AFz6P+MwaTm5xDg07v3AODde/dI5ZsP8bz0TjI1udQ+Mt3zoHd+Hy +zxE/VeQBcPuHiO9di+985x7Wmua9fulnc1xLDZzHB36N+KUips/37B89e541 +a8Ax2Fa7Ov4OqdZeFrqXPV3rGp7tWC1mw+l/K/IAPAxf+CPid2u84k/n0PjA +X86h8YG/nWMWHP7PM9GweZtzaHygRrVy13hP7KVWtTwArtcMvX21avGJ7arV +w13gjb/5TnhGD7XULPYZfnfNKq/B7B2qNZscmjW4K7i+c7XuDA3r61QrB1Ng +y+6hG1eLibBx19C7VKsWjtatVg+aGp6RoxbuV1WrB8bDyVToZLXeZd5R3s1P +/QyWpqtVgwfA/WzoTLWYC3vLoUvVYjrcLoTOV6sWX8hVq4e18ZlEtfbAM3qo +pYa1WIPZrIkPsAazi9WaTQ7NGsziDOydmewFb6hUa09ovKi6WjkYDTf3CN2k +WndJDs2d8gy2Nq1WDZ4BF3cLXb9aHoEvNArdsFqa34Tfghy1sLRBtXr422Z/ +v5dLPRvu71mtNWA6bG8Runm19oqX16vWnv+vafOO/3Ju338hRTJK5nu/r+uK +ImUlZBQlSilSoUSDVCpp0VDapdIm2Xtl772JbLdNyR5ZkdXvfD6O4/t4/P44 +H9/je17neb7G+7qex8d9u+E0PtAkdOOcauF805x6mAXH98xpJrXMZBY9zOIM +7J2Z1DKTWfQwC5/YJ6eZaHyiWU45ND7QPKccDIfbB4VukRNTYet+offNScPR +/XPKoWHrATnl0PjCgTnlmIUXtMxpJhpfOTinHBpfOCSnHNyGw0eGPiInT4Dz +h4VulVMtvnFoTj2sxZ7ZK2vyjB5qqYHrsL5N6NY5eQJrMPvwnGaTQ7MGXIN1 +3UKflNNemEEve4J51PDsxJwYDrePC31sTr6BT7QNfXROmu+sXU65tf72jskp +h8YX2ueUYxZc7pDTTDSs7phTDo0vHJ9TDm6zJ/bSNSdPgPMnhO6cUy2+0Smn +Hu4CXzsqpzvhGT3UUsMzzsDeqcETWIPZXXKaTQ7NGuydO+DsnIG7g/sn53SH +cAkODYsYmpMvwNEeobvnpOFmz5xycBTunxr6lJwYD+t7h+6V0zN84LScauil +h1pm9I3ox3fEt5dTLz5zek4z8Ah84YzQfXLS+MKZOeXQNTyHHGuxB9ZmTZgP ++/t7HTRcH+AcGtaf5RxrsyZrsQfuhjvg7Ce7Fq842z34El55TsRA3x0cHe47 +xDPwicERg6zxgSHOofGBc52r699gqHPMgqPneSYato5wDo0PnO8c3IbDF0SM +zYnbcH50xCjXwvGR7qnlMwz0meq7Z5RravsMg3zGhl6D2WM8u6H1aK+NF1zo +PaDxinHOofGB8c7hAXB1YsQEa7h4kXNoWDnJOTQcn+wcGrZf7BweAPenRkyx +xgumOYfGV6Y7h8ZrZjiHxmdmOofGF2Y5B8Nh+byIuTkxHm7PiZhtDcsvca6x +e+Y6Ry8+Md8zGnnGbK/BM7h/qWtgLNy8MmJFTh4A9xdGLLDGCxY5h4b7i51D +w/0lzqHh/lLn0HB/mXNouHyZc2hYfblzaHxhuXNofOEK51p6zyucg9Gw+tqI +a3wWOH+Vz4SG/Vc718o91zjX1Gde4Dvh3eGd4V0Z79l4w3VeA+bD/hsirs+J +2XD6tohb/QyO3ugaNNy8yTk0LL3ZOTQ+cItzzIKbt3smGpbe4RwaH7jTOTgN +d++LuDcnD4Drd0fc5Vp8YqV72nrPt3rNDu65yzVwHLY/EHF/Th7Q2bPv8exO +1nd77S6uvc+9eM+DnnGE7+x63yFMhs1PRDyek2/iCw9HPORncPxJ1/AMLj7i +GjiJLzwW8ah1T896zLXd/Ywe2A2jYXNfz4bbT3mNM53HG17Iicmw+bmIZ3Pi +PD7xTMTT7u1l/ZSfne7aZ3z2k3wW7uAMr8Hs5z37DGvW4G8t/qbiO2rufeIb +L+fkWXgGPrAq4pWcmA7H34x4w8/g6quuQcPa15xDw9XVzqFh7evOMQsfeMsz +0XjD286h8Zl3nIPZsPvDiA9y8gh84X8R77kWH3nXPYO85ze85nD3vOcamA7b +P474KCfPGOnZ73v2+dasAcfg3s8R672X0e5lT7Ncw7OfcmI8XF8X8UVOnoFP +fBbxqTU+8blzaL77Nc6hYcFa55iFL3zpmWh84Svn0HjF187N9J7Yy485eQI+ +8H3Edzl5CL7xbcQ3vgu87xPfyVT3fOeasT7Dp66Z4TWY/YNnz7D+3neFL/zi +O0PjFb86B5Pg0Db5+NsgL0+AgxsifnctPvKbe9Dz/ew318LOP9yDJ+ADf0Vs +zOld5h3m3X3Zz/CFv12DJ+AD/0b8kxPX4fbmsZfN8vIAuF8j9CbX4hP/uYe1 +8aU/vQee0bPJNUu8xj9e8wqvweyaec0mh2aNhT7DRs9kL/jGFnntCY1v1Mor +B7Ph6Lah6+V1l+TQ3CnPYO12edXgIXCwTujaeXERn9g69FZ5aX4Tfgty1FLD +M3p4F3mneZfXejY+sX1ea8BwWN4w9I557RWv2zKvPeMZ+ECD0PXzqoXjO+XV +wyx8Zoe8ZlLLTGbRwyzOwN6ZSS0zmbWDfyPusW/EmXnNxit2zmsNfASf2DX0 +Lnn5Bj6xe+jd8tJwM5dXDg1L83nl0PhAIa8cGs4X88qhYX8prxwaXyjnlUPj +FZW8cmh8pppXDo0vJHnl0HA+zSsHs2F349B75uUDcL9R6CwvjRfskVcOTQ+1 +5OjFC5rkNYPZzKCXNXiGF+2VVw3MhaMtQx+UlyfwHTUNvXdemm9rn7xyaHyg +WV45ND7QPK8cGh/YN68cGh/YL68cGk7vn1cODbsPyCuHxicOzCuHxida5JVD +s2f2Sg5mw+5WoQ/N6yxw/+C8zoTGCw7JK4emh1py3AVn5qzcCe8O7wzvCu8Q +s/GKw/JaAw/AC44IfXheDIfbbUMfndczuHpkXjVoONo6rxwatrbJK4fGF47K +K7fG3127vGau9bd4TF45NL7QPq8c3IbDnUN3yssT8IYOoY/LqxbfODavHtZi +z+yVNXlGD7XUwHVY3yX0CXl5Amsw+/i8PIQcumNea9NDLXugFy/qmtcM7o47 +4664Q7gOx3uG7pGXj+IbJ4U+Ma9ncPaUvGp4ho90y6sGj8AXuoc+OS/NTGaR +o5YantEDG2ACLIARzIa7p+a1BgyHKbDkjLwYDstPD907L07jI71Cn5ZXLzk0 +M3hGD7XUcHbOxFm4AzyENZjdJ6/Z5NCsAcvwhn55MQ2Nd/R3Do0vDHAOTsPt +IRGD82L2VtaDXItvnOUeNFw+2zk0XB3oHLPwgnM9E42vDHUO5sLekRHn58Xs +HaxH5MUVODQrYmZeHoRPnBcx3GvB8nO8JrPxrmFeYzvPHOEePAAvGB0xyrXb +etYwrwXnZnvN2r6DQV6DXnxmjGfAdDh+UcTEvJifs56Ql2fwXlwQMdaad+VC +59BwYJxzzMIHJnkmGh+Y7BwMh7MzIqbnxfjEepqfZb4ravAIfGFqxBTfdQOf +faRn4ysXe42KZ05zT0OfYazPXPLMKe5h77BsvM/A3eEVc3yHMAouXR9xXV6e +AAfnRcx1LT5yiXsau2auc9TCzvnuwRPwgYURCyKWRVwW8V/Ev36GLyxyDZ6A +DyyJWJwX1+H2FRHL8/KAAz1jmWvxiaXuYW186VLvYX/3LHNNc6+x2Gu28BrM +vtyzW1hf5ruAyzf4Tpr6TAu8Bh6Cb1wZsSIvZsPRmyJudG8ba2bgGXDvmoir +rWHhtc4d7t/gOueYBZtv9kw0PnCLc3Aatq+MuDMvZh9nfYf3hrdd5T3iEXD/ +9ojbfLcHe+9XeDa+cqvXaO+Zd7jnUJ/has9s55m3uYd3i2+Kb4l3DE/AG+6O +uMsa77jHOZgMmx+JeDgv38An7o+4zxpuPuAcGpY+6BwaH3jIOWbB/Uc9E40X +POYcGh953Dk4DXefjXgmL4bD8qcinnQtvvCEe07ynh/2mqe450nXwHHY/nzE +c3l5QG/Pftqze1mzRmefmbPe6730cS97goGw8H8R7+XFaLj5WsSreXkEvvBS +xIvW+MLLzqHh/ivOoeH+KueYBSdXeyYadr7uHBovecO54d4Te3k3L0+A229H +vOVafONN93AXeOkLvpMh7nnLNWf6DC+6ZpjXYPY7nj3M+m3fBV7zvu8EJsLG +DyM+yItBsOjXiF/yYhzv4ZqIz/Ni9jjrz9yLL3zkGWi4+bFzaFj6iXPM4t1e +65lovOML5+AsHP424pu8mDvF+uu83v3O/q35BvAIOP5VxJdeC5/51GsyG19Z +5zUme+bX7oH7eMn3Ed+5dpJnrfOsC33WT723aa791r34zg+eAbPh5u8Rv/ku +51n/6mdwb4Nr8C1896eIH/PyEHzh54j11pf4t/jZtbP9jB4YC2s35eUJzIat +f3gNmA63/4n427/9SP/WvAMwHJb/FbHRtbD+X/cwC1/50zMXe+bf7pnhM/zo +O1jomRvdw7fCN8e3tsp7xStqFLTnzeL/bh4xIKJ/QQyH27VDb1kQU1e4rmZB +Gg7TQw4NR7fwHDRsrVVQjll4QZ2CZqLxoa0KyqHxla0LysFgWLx96O0K8gx8 +ol7obQqqxYvqFtTDWuyZvbImz+ihlhqYD/vrh96hIE9gDWZvW9BscmjWgGmw +LQudFrQXZtDLnuAkNTxLCmI6HN899G4FeQS+sFPohgVpvpOdC8qh+XZ2KSiH +hp27FpRjFj6QK2gmGk7nC8qhYXehoBzMZk/spVqQR+AL5dClgmrxkWJBPdwF +3tqgoDvhGT3UUsMzvHjHgmrwDNZgdqWg2eTQrMHeuQPOzhm4O7yiUUF3CIPg +zpGhjyjIE/CGPUPvUZCGq40LysFQWLpX6CYFMR/O7xO6aUHP8IW9C6qhlx5q +mQFXYFXH0B0K6uW9b1bQDL4DfGLf0M0L0vjEfgXl0PjE/gXlWIs9sDZr4glw +/8DQBxSk8YIWBeXQcP6ggnKr/O2xFnvgbrgDzs4dUYs3tCyoB9/Amw4JfXBB +dwdHWxd0h3gG3GgV+tCCNCw5rKAcGh84vKAcmt+AuyfHLDjapqCZaNh6VEE5 +NN5ydEE5OAynjwt9bEEeAcePCd2uoFp8pG1BPZyFM7B3zsQzeqilhrNxBvbO +GWE8azC7fUGzyaFZg7X5Tfkt2QO/Ldw/vqDfeGAxPD9idcRrRXEZTp8Uz04s +yCfwhc6hOxWk4eQJBeXQsLNLQTk0XO9aUI5ZsL9bQTPR+MjJBeXQeEv3gnJw +Fy73Cn1aQR4At08J3bOgWnynR0E9rMWe2Str8oweaqmB8/jA6aF7F8R01mD2 +qQXNJodmDTgG286LGF7QXphBL3uq5xqeDSuI2XD6rII8AA/DF84MfUZBGq/o +W1AOjQ/0cw6ND/R3jllw+GzPRMPmgc6h8YFznNvGe2IvQwvyALg+JGKwa/GJ +Qe7hLvDGPgXdSR33DHYNzzgDe6emrtdg9rmeXdd6iO8Kro/wnaFh/fnOwRTY +MjfikoKYCBtHR4xyLRwd6Z76rhnlHB4A98dGjCmI8XByXMSFBb3LvKO8m8f7 +GSwd7xo8AO5PjJhQEHNh79SIKQUxHW5PjpjkWnzhIvewNj5zgfeQd88k1+zm +NSZ4zZLXYPbFnl2ynuxZu3jvF3gveMM07wmNF013DkbDzfkR83yXe1nP9TPY +eqlr8Ay4OCtiZkGcxBfmRMy2buzfYo5r9/Azevjbhr9ZeC9reDbcX+A1YDps +XxqxxHvFy2d4z3AaH1gcsci1cH6Ze5gFxxd65r6eucQ9qc8w0zObeeYi9+At +eMgB/99sfOMyr4Fn4APLIy4viNmw+7qIawti+uHW1xTEWFi7IuIKa7h6pXNo +WHuVc8zCR673TDQ+cINzcBru3hZxa0HMbmd9S0GcgT1PRTxZkEfA/ZsjbvJa ++NDVXpPZ+MqNXuNoz7zFPXAe9t8Rcbtrj/KsG70W3Hraa7byHVzjNejFF+70 +DJgNRx+IuL8gpnexvq8gH8E37opYac13d7dzaL7Fe5xjFmx+0DPR+MBDzsFp +uPtExOMFMbun9WN+dqrviho8Au4/GvGI77q9z36bZ+MrD3uN7p75mHuO8xlW ++szdPPMR97B3fO1en4G7g/3P+A5hEmz6MOKDghgJK5+PeM61cPVZ95zumuec +oxYfeME9MB/2vxzxUsTqiNcj9g8v3q+oZ/jKK67BE/CBVyNWFcRgWPxWxJsF +MX6QZ6x2LT7xmntYG9950XsY6J7VrhngNVZ5zcFeg9lvePZg69d9F7D8I99J +X5/pJa+Bb+BN70S8XRBD4d6nEZ8UxPSx1h8X5CH4xv8i3rPGF9537nz/Bh84 +xyxY+plnouH+587Babj7VcSXBTF7kvU67w0vf9d7hONw/4uItb7bod77W54N +59d4jYmeuc49w32G9zxzvGeudQ/vFt8U39K93hve8LX3iMY7vnEOLsPpnyPW +F+QJcPb7iO+s4eQPzqFh54/OoeH6T84xC/b/4plofORX59B4y2/OwV24/FfE +xoI8AG7/EbHBtfjO7+6Z5T2v95rz3bPBNXAeH/gn4u+CmL7Is//07IXWrDHV +Z+as33ovS9zLnmAgLNw2vpV6RTEabm4RevOifAEf2BTxnzXeUKOoHBru1ywq +h4b7mxWVYxacrFXUTDTs3LKoHBovqV1U7ibvib1sU5QnwO2tQ29VVC2+Uaeo +Hu4C3/rXd8IzeqilhmeXee/UwHTWYHbdomaTQ7MGd4HXbFfUncBE2LhD6O2L +YhAs2jP0HkUxjvdwl9A7F8VscuidiurFF+oXNQMNNxsUlUPD0h2LyjGLd3vX +omai8Y7disrBWThcCl0sirnk0IWi3v2p/q35BvAIOJ6PZ7mi1sJnGha1JrPx +ld2LWoNaZjKLHriPl1RCl4uqZSaz6GEWZ+aszGRv9FDLHunFd6pFzYDZcLNJ +6MZF3SU5NHfKM7i3V1E1+Ba+m4ZOivIQfKFR6KwozW/Cb0GOWmp4Rg9/y7T0 +O7rcs2Hr3kWtAdPh9r6hmxf12/Ob81vzDsBwWN4s9D5F1cJ6vIUeZuErTYua +SS0zmUUPZ+cM7J07oJaZzKKHv71a+LviPcUT8IYDivKwgyJa8l1FLC+K2bD7 +sNCtimI6OfShRTEW1tLXoigNl1t6FhquHuy5zMJ3Di9qJhovOqKoHMyFve1C +ty2K2eTQRxfFGFhzauhTivIQfOOo0G2KWguWH1LUmngUXtU69JFF1TKTWfTg +AXhB+9DHFFXLTGbRw1qw7rSi1mQ2d8DZWYNevOnYombAcLjdJfQJRTGeHLpz +UZ6BT3QIfVxRmu+mY1G5b/wtHV9UjllwuWtRM9Gw+sSicjAaVvcM3aMoppND +dy/qGXfGXVGDZ+ATJ4fuVtRdcwecnTtnNj5zUlFrUMtMZtHDWTkDe+fM1DKT +WfSwd9jfqagzcHdwv1dRdwiT4NDIiPOLYiic7BP69KJq8YreRfWgqeEZOWph +6xlF9eABcL9fRN+i3mX+9jmwqHeaZ3hDf9fgMfjCWREDiuI2HB4SMbgoT4Dz +/GcsA12Lb5ztHtbGh84sag+13DPQNZt5jQFes47XYPYgz65jfY7vAg6P8p0w +u4bPwhr4BN4xNOLcohgK98ZEjHbvjtbMwEPgyHkRw61hywjntvdvcL5zzIKl +Yz0TjZdc4BzchcsXRUwsisE56wneG945zHvEM+D6+Ihxvtu63vsQz8ZnLvQa +u3nmBPfU8xmGe+YunjnOPbxbfFN8S528N7xjkveIxpsmOweX4fSsiJlF+QS+ +MDViijWcnOYcGnZOdw4N12c4xyzYP9sz0fjIHOfQeMslzsFduLwwYkFRHgC3 +50fMcy2+M9c9qfc802s2cc8818B5fGBxxKKimL6PZ1/q2U2tWaPkM3PWi72X +5u5lTzAQFt4ccVNRjIabK4ryADyB72hZxFJrvq3LnEPD/cudQ8P95c4xC05e +6Zlo2HmVc2i85Grn2nhP7OXGojwBb7gu4lrX4hvXuIe7wBuX+E4Oc8+1rtnP +Z1jqmtZeg9k3FOUJra2v913gNbf4TmAibLwt4taiGASLno14pijG8R7eE3F3 +Ucw+3vou9+ILt3sGGm7e4Rwalt7pHLN4t+/1TDTecZ9zcBYOPxLxcFHM7Wb9 +UFHvfsm/Nd8AHgHHH4x4wGvhMyu9JrPxlfu9xome+ZB74D5e8ljEo67t6ln3 +e1ZHn3Wl99bdtY+4F9953DNgNtx8PuI532Uf62f9DO694Bp8C999MuKJojwE +X3g64inr3v4tnnbtaX5GD17A3yi8lwd6Nmx90WvAdLj9asQq//bt/FvzDsBw +WP5KxMuuhfWvuYdZ+MpLnjnAM1e5p6fP8ITvoJ9nvuyekxvEfUU8GvFIA3kC +3vB6Uf8ZP74BN9+MeKMoZsPu9yP+VxTTR1i/51pY+5Z70HD5befQcPUd55iF +73zgmWh85EPnYC7s/Tzis6KYfaH1p0UxBtZsiPi9KA/BNz6J+NhrwfJ3vSaz +8aqPvMZYz/zUPXgAXrA2Yo1rx3jWR14L1v3hNYf7Dt7zGvTiTV94BgyH299H +fFcU46dZf1uUZ+ATX0ass+a7+co5NN/S184xCy7/4JloWP2jczAaVv8W8WtR +TJ9r/YufzfddUYNn4BM/R6z3XY/32T/3bHzmJ68xxzN/cc9En2GdzzzLM9e7 +h73D/m98Bu4O7v/pO4RJcGiHUvxtUBJD4eTfEX+5Fq/Y6B70Yj/b6FrY+o97 +8AC4vyniv4gtYmatiLMjzirpGd5Qo6QaPAZf2Cz+/5olcRvW1wlduyRPgPPM +YBa1+MbmJfWwNj70r/fAs6u8LjXLvQazWRNPYA1mb1nSbHJbep/cBRyuX9Kd +LPOZ/vMa+ATeUTeebV0SQ+HejqEblNRLDs0MPASObBu6XkkatmxXUu5W/wbc +PTlmwdKGJc1E4yU7lZSDu3A5F3r3khhMDr1bSXvDO7cpaY94BlzfNfQuJXkc +Z2DvW5U0G5/ZuaQ1qGUms+hhFmdg78yklpnMood3i2/qW79j7A3vyJe0RzTe +VCgpB5fhdBY6Lckn8IVy6FJJGk5WSsqhYWe1pBwaricl5ZgF+xuVNBONj+xR +Ug6Nt+xZUg7uwuV9QjctyQPg9l6hm5RUi+80LqmHtdgze2VNntFDLTVwHh9o +HrpZSUxnDWbvXdJscmjWwBM5M2ctlrQXZtDLnmAgLGwTunVJjIabLUMfVJIn +4A37h96vJI0vHFBSDg33Dywph4b7LUrKMQtOHlzSTDTsPKSkHBovObSkHNxl +T+zlyJI8AW4fHvqwkmrxjVYl9XAXeOO+Jd0Jz+ihlhqecQb2Tg1MZw1mH1HS +bHJo1uAu8JqjSroTmAgb24Y+uiQGwaJeoU8riXG8hx1DdyiJ2eTQx5XUiy+0 +K2kGGm4eU1IODUvbl5T7xu/28SXNROMdnUrKwVk4fFLoE0tiLjl015LefX5z +fmu+ATwCjncJfUJJa+Ezx5a0JrPxlc4lrUEtM5lFD9zHS04O3a2kWmYyix5m +cWbOykz2Rg+17JFefKd7STNgNtw8PXTvku6SHJo75Rnc61NSDb6F7/YM3aMk +D8EXTg19Skma34Tfghy11PCMHhgLaweW5AnMhq1nlLQGTIfbAyL6l/Tb85vz +W/MOwPCaEf0i+roW1p/lHmbhK2eWNLOmZ/Z3D2fnDOydO6C2hmfRw7fCN8e3 +1sJ7xSvO8Z6HRJxLXcSbJTEcbp8XMbwkpm7tusHWdd0zxBqODnUODVuHOccs +vGCEZ6LxofOdQ+MrI52DwbD4wogLSvIMfGJMxGjX4kWj3FPPex7uNRu4Z7Rr +YD7sHx8xriRP2Nmzx3r2TtasAdNg2/yIed7Lru5lT01cw7O5JTEdjk+NmFKS +R+ALF0VMtOY7meQcmm9nsnNo2Hmxc8zCB6Z5JhpOT3cODbtnONfYe2Ivl5Tk +EfjC7IhZrsVHZrqHu8BbJ/hOMvfMcs3uPsNE1+zpNZg9x7P3tJ7tvZd99ot9 +d3jFpb5DGAR3bou4tSRPwBsWRiywhquLnIOhsHRJxOKSmA/nL4tY5mf4wlLX +NHfPYs+AK7DqwYgH3Mt7f7ln8B3gE1dELLfGJ1Y4h8YnrnRuf+9hmdfEE+D+ +1RFXWeMF1ziHhvPXOtfCay73Hpr6Dhb4jqjFG65zz5EledMNEdf77uDo7b5D +PANu3BRxozUsudk5ND5wi3Nt/Rvc6hyz4OgdnomGrXc6h8ZbVjoHh+H0/RH3 +leQRcPyeiLtdi4/c5Z4jfIbrfaaO7rnbNa19hht9xs5eg9n3enZn63u8dlf/ +lvf7t4X7D/k35p8tB/tvhtfNZTj9ZMQTJfkEvvBIxMPWcPJR59Cw8zHn0HD9 +ceeYBfuf8kw0PvK0c2i85Rnn4C5cfinixZI8AG4/H/Gca/GdZ93DWqd4r4/7 +WR/XUgPn8YFXIl4uiel9PfsFzz7TmjXgGGz7NOIT76W/e9nTGNfw7OOSmA2n +3y7JA/AwfOG1iFet8YrVzqEHRbzuHJ6AD+Adb3gWHH7HM9Gw+V3n0PjAe86N +9p7Yy0cleQBc/yDifdfiE/9zD3eBN67ynYxwz/uuOctneNU1o7wGsz/07FHW +H/iu4PpnvjM0rP/cOZgCW/6K2FgSE2HjFxFrXQtH17hnvGvWOkftRRHr3APj +4eTXEV+V9C7zjvJuPuRnsPQb1+ABcP+7iG9LYi7s/TlifUlMh9s/RvzgWnzh +e/ewNj7zpfcw3T0/uGaK1/jWa87yGsz+ybNnWf/oWZO99y+9F7zhF+8JjRf9 +6hyMhpv/RPztu1xi/ZefwdZ/XYNnwMUNEb+XxEl84c+IP6wX+bf407UL/Iwe +/rbhn7V5R8/xbLj/n9eA6bB983L8HVXWXvHy37xnOI0P1IxnNcqqhfNblNXD +LDi+yTOv8Exm0TPPZ/jdMy/3TGZt8qyrI2qVNRONT2xZVg6ND9QuKwfD4fa2 +oeuVxVTYulXoOmVpOLp1WTk0bK1bVg6NL2xTVo5ZeMF2Zc1E4yvbl5VD4ws7 +lJWD23B459A7leUJcH7H0A3KqsU36pfVw1rsmb2yJs/ooZYauA7rdw29S1me +wBrMbljWbHJo1oBrsG6v0E3K2gsz6GVPMI8anjUui+Fwuxy6VJZv4BO50LuX +pfnO8mXl0Hx7hbJyaHyhWFaOWXC5UtZMNKyulpVD4wtJWTm4zZ7Yy55leQKc +bxQ6K6v2qYi0rB7uAl/braw74Rk91FLDM87A3qnBE1iD2XuUNZscmjXYO3fA +2TkDdwf39y7rDuESHDomdLuyfAGO7hO6aVkabjYrKwdH4f6+oZuXxXhYf2Do +A8p6hg/sV1YNvfRQy4xDIg6NuIpvqaxefKZFWTPwCHyhZeiDytL4wsFl5dBw +nznkWAvv2b+sNWE+7G/lddBw/bCycmhYf3hZOdZmTdZiD9wNd8DZuSNq8Yoj +yurBl/DK1qGPLOvu4Gj7su4Qz/gs4qjQbcrSn0ccXVYOjQ+0LSuH5jfg7skx +C44eW9ZMNGw9rqwcGh/oUFYObsPhLqFPKIvbcL5T6OPLqoXjHcvq4Sycgb1z +Jp7RQy01nI0zsHfOiCewBrM7lzWbHJo1WBsv6FrWHtB4xYll5dD4wEll5fAA +uHpy6G5labjYvawcGlb2KCuHhuM9y8qhYfspZeXQcP/UsnJovOC0snJofKVX +WTk0XtO7rBwanzm9rBwaX+hTVg6Gw/L+Ef3KYjzcPjP0GWVpWN63rFwN9/Rz +jl58YoBnMJsZ9PbxM7h/lmtgLNw8P2JEWR4A9wdGnG2NF5zjHBruD3IODfcH +O4eG+0OcQ8P9c51Dw+WhzqFh9TDn0PjCcOfQ+MJ5zm3nPY9wDkbD6jERo30W +OD/SZ0LD/lHONXDPaOe28JnP9p3w7vDO8K6c5Nl4w1ivAfNh/4URF5TFbDg9 +KeIiP4Oj41yDhpvjnUPD0gnOofGBic4xC25O9kw0LL3YOTQ+MMU5OA13Z0XM +LMsD4Pr0iGmuxSemuifnPV/kNSvumeYaOA7b50TMLssDMs+e4dmp9XSvvYdr +Z7kX77nEM3bynV3gO4TJsHlRxMKyfBNfmBcx18/g+GLX8AwuzncNnMQXFkRc +at3Msxa4tqmf0QO7YTRsPsSz4fYSr3Gw83jDirKYDJuXR1xelsfgE5dFLHMv +7F/qGQe653LXNPaZ5voOWnoNZl/h2S2tWYO/tfibiu+Ib4t94htXl+VZeAY+ +cG3ENWUxHY7fHHGTn8HV61yDhrXXO4eGqzc4h4a1NzrHLHzgFs9E4w23OofG +Z25zDmbD7rsj7irLI/CFOyPucC0+crt72njPN3nN9u65wzUwHbbfG3FPWZ7R +0bNXenYHa9aAY3DvhYjnvZdO7mVPfVzDs+fKYjxcfzTikbI8A594IOJ+a3zi +QefQfPcPOYeGBQ87xyx84THPROMLjzuHxheecO5074m9PFuWJ+ADT0c85Vp8 +40n3cBd4332+k1Pd85RrTvAZ7ndNb6/B7Gc8u7f1074rfOFF3xkar3jJOZgE +h9ZEfF6WJ8DBVRGvuBYfedk9/V3zinPUws5X3YMn4AOvR6wu613mHebdvdrP +8IU3XIMn4ANvRbxZFtfh9vsR/yvLA+D+uxHvuBafeNs9rI0vveY9DHXPO64Z +7DXe9JrneQ1mv+fZ51m/61nneO+veS/4xgfeExrf+NA5mA1Hv4hY67ucYL3G +z2DtOtfgIXDwk4iPy+IiPvFZxKfW4/xbfObaC/yMHt5F3mne5Yc9G5/40mvA +cFj+XcS33ite95H3jGfgA99EfO1aOP69e5iFz3zlmVM881v3jPYZPvbMyZ75 +tXv4d8f5d9D5d/b4d/eYjVf84DXwEXzip4gfy/INfOLniPXWcPMX59Cw9Ffn +0PjAb86h4fzvzqFh/wbn0PjCH86h8Yo/nUPjMxudQ+MLfzmHhvN/OwezYXfN +SvztVZEnwP3/Iv61xgs2OXe5e6jd5F68YLOKZjAbL/nHa/AML9q8ohqYC0e3 +D71dRZ7Ad1Qr9BYVab6tLSvKofGB2hXl0PhAnYpyaHxgq4pyaHxg64pyaDhd +t6IcGnZvU1EOjU/UqyiHxie2rSiHZs/slRzMht07hm5Q0Vng/g4VnQmNF9Sv +KIemh1py3AVn5qzcySy/M+v9DjEbr2hY0Rp4AF6wc+idKmI43M6HzlX0DK7u +UlENGo7uWlEODVt3qyiHxhd2ryjHLL67QkUz0XyLxYpyaHyhVFEObsPhLHRa +kSfA+WroSkW1+Ea5oh7WYs/slTV5Rg+11MB1WL9H6EYVeQJrMDupaDY5NGuw +Nj3Usgd68aI9K5rB3XFn3BV3CNfheLPQ+1Tko/hGk9CNK3oGZ5tXVMMzfGSv +imrwCHyhaei9K9LMZBY5aqnhGT2wASbAgh88G+7uW9EaMByWtwx9UEUMh+UH +hj6gIk7jI/uH3q+iXnJoZvCMHmqp4eycibNwB3gIazC7RUWzyaFZg7XxhYMr +2gMarzikohwaLzm0ohychttHhW5TEbPJoVtX5CH4xmGhW1Wk4fLhFeXQcPWI +inLMwguOrmgmGl9pW1EO5sLeDqGPq4jZ5NDHVsQkOHRG6D4VeRA+0T70MRWt +BcuPrGhNZuNd7Spag1pmMosePAAvOD50x4pqmckselgLzp1Z0ZrM5g44O2vQ +i890qmgGTIfjJ4fuVhHzyaFPqsgzeC9OCN25Is270qWiHBoOdK0oxyx8oHtF +M9H4QI+KcjAczvYO3asixpNDn1bRM1h8ekU1eAS+cGroUyq6a+6As3PnzMZX +ela0BrXMZBY9nJUzsHfOTC0zmUUPe4dlJ1Z0Bu4Or+hb0R3CKLh0YcQFFXkC +HBwQ0b+iWnykn3tquqa/c9TCzrPcgyfgA+dEDIwYGjGM95xvzc/whUGuwRPw +gSERgyviOtweEXFeRR5QzzOGuhafONc9rI0vne091HXPUNfU8RqDvea2XoPZ +wz17W+thvgu4PM53UstnGug18BB8Y2TE+RUxG45OiBjv3t2smYFnwL0xEaOt +YeFY53byb3CBc8yCzRM9E40PXOQcnIa70yKmVsTsivUU7w1vG+U94hFw/+KI +yb7bHbz3EZ6Nr0zyGiXPnOKeBj7DaM8seOZk9/Bu8U3xLZ3oveEN071HNN4x +wzmYDJvnR8yryDfwidkRs6zh5hzn0LD0EufQ+MBc55gF9y/1TDResMA5ND6y +0Dk4DXcvi1hWEcNh+ZKIxa7FFxa5p4n3PM9rNnfPYtfAcdi+POLyijzgAM9e +6tn7W7NG5jNz1pneSwv3sicYCAvviLi9IkbDzesirq3IE/CBKyNWWOMNVzmH +hvtXO4eG+9c4xyw4eb1nomHnDc6h8ZIbnTvGe2Ivt1XkCXD7loibXYtv3OQe +7gIfu8J3cpR7bnZNS59hhWvaeQ1m3+rZ7axv8V3gNXf6TmAibLwrYmVFDIJF +L0e8VBHjeA8fjHigImZ3tb7fvfjC3Z6Bhpv3OIeGpfc6xyze7Yc8E413POwc +nIXDT0Y8URFzT7F+vKJ3P/NvzTeAR8DxxyIe9Vr4zH1ek9n4yiNeo4dnPu4e +uI+XPB3xlGu7e9YjntXFZ73PezvNtU+6F995xjNgNtxcFfGK73KA9ct+Bvde +dQ0ej+8+H/FcRR6CL7wY8YJ1P/8WL7r2TD+jp241+BwxNOLcqmbD1te8BkyH +229FvOnfvoN/a94BGA7L34h43bWw/m33MAtfWe2Zgz3zTfdwdrz3Wd/BOZ75 +unv4Vvjm+Nb4ZvAEvOHdijzs/YgPqIu9t6qK2bD704hPKmL6WOuPK2Ls+e77 +n/VIz3jfGq5+6Byz8J3PPBONj3zuHMyFvV9FfFkRsydZr6uIMbDmn4i/K/IQ +fOOLiLVeC5Z/5DWZjVet8RoTPXOde/AAvOCbiK9dO8Gz1ngtWPev1xztO/jY +a9CLN33rGTAcbv8S8XNFjJ9jvb4iz8Anvo/4zprv5gfn0HxLPzrHLLj8q2ei +YfVvzsFoWP1XxMaKmL7I+k8/W+K7ogbPwCf+iNjgu77YZ//Ks/GZ373GAs/8 +0z1TfYbvfOb5nrnBPewd9v/kM3B3cP8/3yFMgkM7xbvVsCqGwsmaoWtUVYtX +bHIPmhqebXItbN2sqh48AO7XCr1FVe8yf/u8V9E7zTPe8y2rquG9xxfqhK5d +Fbfh8Lah61XlCXCe73jrqmrxja2q6mFtfGjzqvbAM3qopYa1WIPZrIknsEY9 +c4HZ5NCswV3A4Z2ruhNmcybOwhr4BN6xfejtqmIo3Ns19C5V9ZJDMwMPgSMN +QtevSsOWHavKrfRvwN2TYxYs3a2qmWi8ZPeqcnAXLpdDl6piMDl0saq94Z07 +VLVHPAOuF0Lnq7pbzsDeuWNm4zO5qtaglpnMoodZnIG9M5NaZjKLHt4tvqn1 +fsfYG95RqWqPaLypWlUOLsPpvUI3qcon8IUsdFqVhpONqsqhYeceVeXwALje +OPSeVc2C/XtXNRONjzStKofGW/apKgd34fIBofevygPg9r6hm1dVi+80q6qH +tdgze2VNntFDLTVwHh9oEfrAqpjOGszer6rZ5NCsgSdyZs6aVLUXZtDLnmAg +LGwf+piqGA03D6/KA97xd3Rw6JZVab6tQ6rKoeH+oVXl0HAf7yDHLDh5RFUz +0bDzyKpyaLykdVU5uMue2Eu7qjwBbh8d+qiqavGNNlX1cBd440FV3QnP6KGW +Gp5xBvZODUxnDWa3rWo2OTRrcBd4zbFV3QlMhI0dQh9XFYNgUd+IM6tiHO9h +19BdqmI2OfQJVfXiCx2rmoGGm8dXlUPD0k5V5X7yu31iVTPReMdJVeXgLBw+ +JXTPqphLDt2jqnef35zfmm8Aj4Dj3UOfXNVa+EznqtZkNr7Srao1qGUms+iB ++3jJaaFPraqWmcyih1mcmbMyk73RQy17pBff6VXVDJgNN/tH9KvqLmta9/Uz +uDfANfgWvnt66N5VeQi+cEboPlVpfhN+C3LUUsMzevCCEX5HeVeZDVvP8how +HW4PjhhU1W/Pb85vzTsAw2H5OREDXQvrh7iHWfjK2Z5Z2zMHuYezcwb23su1 +W3rW2Z6FD5zrmfgCXjGsqr8hD0/iO4m4LuLaRAyH26MjRlXFVNh6XsRwazg8 +wjk0HD3fOTRsHekcs/CCMZ6JxofGOofGVy5wDgbD4osiJlblGfjE+IhxrsWL +LnQPazXwXkf62a6upQbmw/7JEZOq8oScZ0/w7N2tWQOmwbYlEYu9l4J72VNz +1/BsUVVMh+OzImZW5RH4wtSIKdZ8J9OcQ/PtTHcODTtnOMcsvGG2Z+IJcPqS +iDnWsHuuc828J/aysCqPwBcujZjvWnxknnu4C7z1Yt/JXu6Z75qSzzDFNft4 +DWYv8Ox9rC/13jOffYbvDq9Y6juEQXDn7oi7qvIEvOGyiGXWcPVy52AoLL0i +YnlVzIfzV0Vc6Wf4wgrXtHDPcs+4PuKGiK3jXd4qUS9ecbVn4Dn4xLUR11jj +E9c5hz7Sc67zWod4bdbEE+D+jV4HjRfc5Bwazt/sXCuveY33sL/vYJnviFq8 +4Rb34Bt4020Rt/ru4Og9vkM8A27cEXG7NSy50zk0PrDSuQ7+De5yjllw9F7P +RMPW+5xD4y33OweH4fSjEY9U5RFw/KGIB12LjzzgnrY+w60+U1f3POiaY3yG +233Gbl6D2Q97djfrh7w2XvGY94DGix53Do0PPOEcvoAPPBXxpDVcfNo5NKx8 +xjk0HH/WOTRsf845NNx/3jk0XvCCc2h85UXn0HjNS86h8ZmXnUPjC684B8Nh ++esRq6tiPNx+NWKVNSx/zbmB7lntHL34xBueMcAzVnkNnsH9N10DY+HmpxGf +VOUL+MDbEW9Z4w3vOIeG++86h4b77zmHhvv/cw4N9993Dg2XP3AODas/dA6N +L3zkHBpf+Ni5Md7zJ87BaFi9NmKNzwLnP/OZ0LD/c+fGuWeNc0N85rd8J7w7 +vDO8K094Nt7whdeA+bD/y4h1VTEbTn8f8Z2fwdGvXIOGm187h4al3ziHxge+ +dY5ZcPMHz0TD0h+dQ+MDPzkHp+Huhojfq/IAuP5rxC+uxTvWu2eq9/yd15zj +Hmp/rorjsP3PiD+q8oD5nv2bZ8+z/tVrL3DtBvfiPRs9Y6LvbJ3vECbD5hrB +4k1V+Sa+8HfEX34Gx2smquEZXPzHNXASX/gv4l9rZm5ybqlr/nUP7IbRsPl6 +z4bbmyVa4zrn8YY6iZgMm7cMXSsR5/GJLUJvnqiXHJoZPKOHWmoW+Ux/+Q7w +ENZgdu1Es8mhWYO/vfibiu9omPeJb9RN5Fl4Bj5QL/Q2iZgOxxuErp/oGVzd +NlENGtZulyiHhqvbJ8qhYe0OiXLMwgd2TDQTjTc0TJRD4zM7JcrBbNidC717 +Io/AF3YNvUuiWnxk50Q9rMWe2Str8oweaqmB6bC9EDqfyDNYg9m7JZpNDs0a +cAzuNQ/dLNFemEEve4Jx1PBsn0SMh+tZ6DSRZ+AT5dClRBqfqCTKofnuq4ly +aFiQJMoxC19olGgmGl/YI1EOjS/smSgH09kTe2mayBPwgb1CN0lUi280TtTD +XeB9xUR3wjN6qKWGZ5yBvVODh7AGs/dONJscmjW4K3xh30R3hsYr9kuUg0lw +qEPo4xJ5Ahw8MPQBiWrxkf0T9aCp4Rk5amFni0Q9eAI+cHDoloneZd5h3l3e +aZ7hC4ckqsET8IFW/G2WiOtwu03o1ok8AO7zzyT8swm1+MRhiXpYG186KNEe +eEYPtdSwFmswmzXxDNZg9pGJZpNDswazOAN7ZyZ7wTeOSrQnNL5xdKIczIaj +x4fumOguyaG5U57B2k6JavAQOHhM6HaJuIhPHBu6fSLNb8JvQY5aanhGD+8i +7zTvMu8ks/GJzonWgOGwvFvokxLtFa9rm2jPeAY+0DV0l0S1cPzkRD3MwmdO +SDSTWrzjxEQ9zOIM7J2Z1DKTWSf4DrnL6xP9MySz8YruidbAR/CJnqF7JPIN +fOLU0Kck0nDztEQ5NCztlSiHxgd6J8qh4fzpiXJo2N8nUQ6NL5yRKIfGK85M +lEPjM30T5dD4Qj/n0HC+v3MwG3afEzEwkQ/A/bMiBljjBWc7V8s9A52jFy8Y +5BmbecYAr8EzvGiwa2AuHB0bMSaRJ/AdnRsxxJpva6hzaHxgmHNofGC4c2h8 +4Dzn0PjACOfQcPp859Cwe6RzaHxilHNofGK0czt6z2Ocg9mwe3zEOJ8F7l/g +M6Hxggud28U945zbymce4jvh3eGd4V3p6dl4xQSvgQfgBRdFTEzEcLg9LWKq +n8HVSa5Bw9HJzqFh68XOofGFKc4xi+9uumei+RZnOIfGF2Y6B7fh8LyIuYk8 +Ac7PiZjtWnxjlnvK3vNUr9nIPbNdA9dh/aUR8xN5QhPPvsSzG1vP8dp7u3ae +e/GiBZ6xu+9sou8QrsPxyyKWJfJRfGNRxEI/g7OXu4Zn+Mhi1+AR+MLSiCXW +B3jWUtfu52f0wAaYAAu6ezbcXe41YDgs5z+LuiYRw2H5VRFXJuI0PrIi4gr3 +trRe7meHuHaFz97MZ+EOWnkNZl/t2a2sWeOWLP6GitiuUXw7jcQ2vOOGRIz7 +JA2PiGgTNa0zMRt23xlxRyJuH2t9eyKGt7e+LZGn4CM3RdxoDadvdo5Z+MhK +z4SxsPb+iPsSMfoE63sTMRpWPxjxQCIGwZ1XI1Yl4sxZ1q94LTh+i9fEo/CR +eyLuTuRjx1vf5WedvdY93ltHP1vptWDda16T2XjFrV6ji/f4gM8Aw+H2MxFP +J2J8L+unEnnAadZPJvIQ3pNHIx6x5t15zDlmwf1nPRNmw9WXI15KxPR+1i8m +8ryTPevhRJ7Zzfoh9w7wXb3ssxzj344z4SH4xgsRzyfymDOsn/Ozvl7rBe+t +j589673Dscd9BhgEd76K+DLRdwmn1kV8EfFBxIcRh8c7d1gqj4CLb0S87rvH +V1b7N0AP8rPVroWlb7oHT8AH3o14J5EHwP3/RbyXiONw+pOIjxMxfYz1R94L +//eIVHvCE0Y5z16Heg1mv+3Z+Mj7XmOUZ/7frOHew3veE7UjPet938Uk3806 +nwXfe8tnYq/4yKfeMwyFpd9GfJOI4VOsv/ZdX2zNneMhcHBtxBrrib77tZ4F +97/zTLgMZ3+OWJ+I0bOtf0rkY+M963PvDV/7zHu80DWfO3eu7+xtnwkPwRd+ +jPghkcfMsP7ez2Z5rR+9t+l+xh55t/DKJxK9Y2i+qSedY+94xS8+A1yG0/9E +/J2I0Uus/0rkI/jGhojfreHoH86hYeufzjEL9v/rmWh84z/n4DKc3SLenc1T +MZocerNUnjXfa/2WiOmwvWY8q5FqLXxno9dkNr6xyWtQy0xm0QPXYf2WoWul +qmUms+iBa7B01/j/d0k1e7HPvtG9eFHtVDNgGhzYLvS2qRhKDl0v1d/A+MRW +oeuk0njH1qlyaLhfN1WOWbBl+1Qz0XjFDqlycBju7hx6p1TcJYdumOoZZ2Dv +1OAZcHnH0A1S3TV3wNm5c2bjTfVTrUEtM5lFD2flDOydM1PLTGbRA8Nh+e6h +d0vFGFizf+j9UjGIHHrfVO8c714SuprqnSSHrqRiIDl0OdVsvCyXag2YDJsL +ofOpZuENaaqZcBfONgndOBVnyaH3TMVh2L936L1Svfv87fSrvwH0PL9rv3ot +vKGYak08BW7vEbpRKp8ih85SPWNN1qKGvVHDM/bILHyqlGommjNzVnLsnT2y +N84A0+BAi9AHpmI2OfQBqZ7BioNS1XD31PCM3wCfx4ebh26WSvOb8FuQw9eo +4dk+qTgM249MxXSYC3vxmlapPJAeapumuktyaO6Ub4d3gneBbwjGM4PeQ1Mx +HbYfEvrgVL5ADt0y1TN6qKWGs1HDM87It8LfRduk+mbYK5xvnWrP/N821PFt +peI2PtE+9DGpGE4O3S5VLxxu4140HD7Kc9Cw+ehUOWbhPcemmonGN45LlYO7 +sPmE0J1TMZgculMqBsGi/hH9UvkQPnJ86I6p1sIr2qZak9l4U4dUa1DLTGbR +A/Nhf9fQXVLVMpNZHbwWLB3gNZnNHXB21qAXrzox1QyYDUdPDX1KKqaTQ/dM +5RF8J91Cn5RK852cnCr3q7+d7qlyzIKbp6WaiYalvVLlYC7s7RtxZipmk0Of +kepZTd8VNXgIvtEn9Omp7po74OzcObPxqt6p1qCWmcyih7NyBvbOmallJrPo +Ye94TY9UZ+Du4ORZvkMYBIsmRkxI5QFw8ZyIga6FrWe7p5ZrBjpHLSwd5J6t +/R6fGzEkFTdg1SURc/yMd32oa/AUvGV4xLBUHIbToyNGpfIIOH5+xAjX4iPn +uYe18Z3B3sN27hnhmm28xjCvWd9rMHukZ9e3Zg0YDBcnRVzk2Vv7LKyBj+BN +YyPGpGIenLs4YrJ7i9bMwLfgxriIC61hyXjndvNvMME5ZsHOKZ6JxjumOgeX +4fDsiFmpONzIeqb3hk9e4D3iIXB6RsR0321D7320Z+NV07xG6pkz3bOzz3Ch +Z1Y9c7p7eLf4pviWenhve/q3nu3fHu7P9TsAp/HOJRGLUzF7X+tFqXwC7s6P +mGcNiy91Dg2rFzjHLHxhqWei8YplzsFhuHtlxIpU3D3Y+opU3IFl90bck8pz +4PLyiMu9Fl6y0GsyG6+6zGsc5JlXuAfO4w1XR1zl2haedZnX4ru+z2s28x0s +8hr04k3XeAbMhGu3RNycitlHW9+U6p9R8LXrIq61xjeud+7/POQG55gFK2/1 +TDTecZtzcBYO3x1xVyrmdrRe6WedfFfU4BFw/M6IO3zXh/rsV3o2vnK71zjO +M1e65zCf4Vqfub1n3uEe7goW3u87gztwZnXEa6kYNND61VTvGu8Q7w7vHEyE +jQ9FPOhZ+MIDntnVNQ86Ry3cf9g9vNO8249HPJbKN+D+kxFPpGIwLH4+4rlU +3O1j/Wyq/20e/5s7/ncW/G8vYDhcfibi6VRM7uHZj3o2PvKU1+jtmc+6p6f3 +8IT3RG0vz3rKe8enHvEZTvYajzrHXvGiF7xnmAbb3ox4IxVDB1u/7mdw+i3X +nOOa1/0b4CH4wqqIV6zP9m9Brr9rePZyqr9BeId5d/mbBk7D3fe5q1S+2N+1 +L3mv+OSL3nNf17zk3HmeQe97qTiPL7wb8U4q5g+zftvPznPtuz7bUD/jjPwt +xj/z4BnbeG94wwfeIxrv+NA5uI13fhGxNhXDJ1ivScVZOMx/rvaxNRz91Dk0 +bP3MOTwH3/gyYp01XvKVc3AXLv8Q8X0qBk+3/i4Vh2DbZln8nZHJM+D6txHf +eC1853OvyWx85muvMdUzv3MPPoC3/BTxo2uneNbXXovvfPNMa47zHazxGvTi +A+s9A4bCuT8j/kjF8AXWG1L5Bj7xS8TP1nzHvzqH5tv+zTlmwc6NnonGS/5y +Du7C5Rqxt02pGEwO/Z+fcWfcFTV4Blz/N+If3/VMn/0Hz8Zn/vYayzzzP/fM +9hl+9pmpXepZf3vv+NjvPgN3Byu3yHSHMAgW5ULvnomJsLF26C0z1eILtTL1 +oKnhGTlq4X6dTD1wn++sbuitI7aP2CHi/IgRmZ7xLW6TqQZfwRe2DV0vE6Nh +9Y6hG2RiOFzewbOoxTe2y9TD2vjMVpn2wDN6qKWGtViD2awJ51mD2fUzzSZX +3/vkLuBwPtOdMJszcRbWwJfwoZ1CN8zEPDhXDF3I1EsOzQw8Fx/ZNfQumTQ+ +sFumHJrfgLsnxyzYWco0Ew2ry5lycBcuNwqdZWIwOXSaaW945c6Z9gi34XoS +uprpbjkDe+eOmQ3XK5nWoJaZzKKHWZyBvTOTWmYyix7eLb6pDX7H2BvesUem +PaLxpj0z5WA0rG4eulkmxsLVvUI3yaRh7d6ZcmjY3DRTDg3b98mUYxZevG+m +mWh8Yr9MOTTesX+mHFyGwweHbpnJY+Bwi9AHZqrFiw7I1MNa7Jm9sibP6KGW +GriOFxwa+pBMXGcNZh+UaTY5NGvggZyZszbOtBdm0MueYCAs7BT6+EyMhptH +ZfrvSPAAvODw0Idl0h9FHJEph4b7R2bKoeE+/90KOWbByaMzzUTDzraZcmi8 +pF2mHNxlT+ylYyZPgNvHhT42Uy1edEymHu4C32qV6U7wFHqobZ/pGWdg79TA +dNZgdodMs8mhWYO7wGs6Z7oTmAgbu4Q+IRODYNHAiLMzMY73sEfo7pmYTQ59 +cqZefKFrphlouHliphwalp6UKfe73+2emWai8Y5TMuXgLBzuE/r0TMwlh+6d +6d3nN+e35hvAI+B4r9CnZVoLn+mWaU1m4yunZlqDWmYyix64j5ecGfqMTLXM +ZBY9zOLMnJWZ7I0eatkjvfhO30wzYDbcHBRxju+ytvVAP4N7g12Db+G7/SP6 +ZfIQfOGsiAHWtfxbnOXazf2MHv4ZcIzfUd5VZsPWIV4DpsPt8yKGZ/rt+c35 +rXkHYDgsHxYx1LWwfoR7mIWvnOuZ9TxzuHtq+Az9fAd1PXOoe/AAvGBkJs/C +J+Dk6IhREWMixkZ8EvFxJmbD7gkR4zMxfTfrce5t6L7R1jt5xhhruHqBc8zC +dyZ6Jhofucg5mAt7p0VMzcTsivWUTIyBNcsjLs/kIfjGxRGTvRYsv9BrMhuv +muQ1Sp45xT14AF4wI2K6a4ueNclrwborvOYuvoNxXoNevGmmZ8BwuH1pxPxM +jN/bel4mz8AnZkfMsua7meMcmm/pEueYBZcXeCYaVi90DkbD6ssilmVi+gHW +S/2she+KGjwDn1gSsdh3nfjs0zwbn1nkNfbzzKXuyXyGWT5zc89c7B7uCs6v +8J3BHDjzYMQDmZjU1fr+TO8i7yDvHu8kTIWbV0dc5Vl4x5WeeYhrrnKOWlh7 +jXvwALzghojrM3kKPnBTxI2ZOA1374y4IxOzj7W+PdM/i4z2t/uhfQPu89/x +89/1H+E1mH2dZ+MrN3sNavGK29zT2nu40Xuitq1n3ey942vX+gyHe43rnGOv +eMVK7xnmwb5HIh7OxNRu1g/5GV7wqGtOdM1D/g1gDKy5L+Je6y7+Lch1cg3P +7sn0LuLlczO9k3AYTj8d8VQm3+zk2ru9V7zuLu+5o2vudu5Uz6D3yUwegw88 +EfF4Jg/qaf2Yn53q2id8th5+xhn5dy34dyT4z/3578rYK9/cPO8ZX8Grno14 +JhOn4faqiFcy+QY+8ULE89Zw80Xn0LD0JefQcP5l55iFF7zqmWh85TXn0HjN +aufgMJx+J+LtTJ4Ax9+MeMO1+NDr7unvPb/iNQe55w3XwH184b2IdzMxfqhn +v+XZ51qzRh+fmbM+570Mdy97gomw8ZuIrzMxG45+mskT8Ay+0w8i3rfm2/3Q +OTQ+8JFzaHzgY+eYBTc/80w0LP3cOTTessa5yd4Te/kqk0fA8XURX7gWH1nr +Hu4Cr/yf72SCe75wzQif4X3XTPIazP7SsydZr/Nd4D3f+k5gJKz8PuK7TAyC +RVs0ir8DGukb4b37NeKXTAy/xPpn9+ITP3gGGo7+6Bwatv7kHLN4l3/zTDRe +8rtzcBcu/x3xVyYGL7bemOnd7+Pfmm8Az4Drf0b84bXwnfVek9n4zAavsdAz +N7oHH8Bb/o34x7ULPGuDZ83xWdd7b0td+7d78aH/PANmw70t4+5qNdJdkkNz +pzyDg7UbqQYfw4drhN6UyWPwhc3i/6/ZSJrfhN+C3HLX8Iwe2AUTYMHTng1r +6zTSGjAcLtcLvU0j/fbT/FvzDsBwWF43nm3dSLWwnH/3ix5m4Q1bNdJMapnJ +LHo4O2fY5Du43jOZRQ+egXds30j/Ttn/AzxmpQw= + "]], + Annotation[#, "Charting`Private`Tag$95549#1"]& ]]}, {}, {}, {}, {}}, + LineBox[CompressedData[" +1:eJwl1X9MFAQYBuBPBQUFxYJSgwLTgsLSgtTSglKDglKDgtICs602+7XVZltt +ttlWm2225WZbbrbZVpslmhYWlhYWlBaUlhaUlhSUFhSUFqQP+sdz3O027r69 +7/ddzpKHFz40JCKe9zDU38Hn99HMFC9eoI9qb+4gZ1jEM3RRnhCxmYzEiCdo +p3h4xKskj4h4kFYKkyJeYoDa5Ijd5I30mfRQOSpiO1kpEU/TQWlqxBuMHR3x +OAeZPSbiFRLTIh5gL9PGRqzhBIvPitjF5LMjnuMYC9IjtjE+I+IpDjP3nIjX +ST034lH2M3NcxDqGjDc/zUyZYH76qD7P/ORkmp8uyrPMT8b55qed4gvMT3K2 ++WmlMMf8DFA70fzkXWh+eqicZH6yJpufDkovMj9vsok6NrOFt9jKNt7mHerZ +zru8RwM7eJ8P2MkuPuQjGtnNx3xCE818ymfsYS+f8wUttPIlX7GP/XzNNxzg +IN/yHW208z0/cIjD/MhPHKGDn/mFTrr4ld84yjF+5w+66eFP/qKXPv7mH45z +gn/5j34G+J+TxMWyZSjDSCCR4YwgiWRGMooUUhnNGNLY6P+UDH53+awgk3q5 +VdAtx1Xk0ijfGvrlvZYCWvRgGUls0I8i2vRlOenU6VEZnXq1kmwa9K2KXv1b +TT5NermU4GV9ncE+/X2EFF7T6zkc0vMnGcdW/Z/PUfvwLJPYaU8WcdzevMhU +9tin+0lgvT2bxQF79xhpbLSPJRyxnyvIpN7eVtBtj1eRS6P9rqHfvq+lgBZ3 +YBlJbHAfimhzL5aTTp07Ukanu7KSbBrcmyp63Z/V5NNk9KXcyxJqqeEe7mYx +i7iLO6mmiju4nUoquI2FLGA+t3IL5ZRxMzdRSgk3Mo+5zOEGrqeYIq7jWmYz +i2u4mpnMYDpXUUgBV3IF05jK5Vw2eHPJ51IuIY/cM/WNwRqrXKhbqFmoWKhW +qFSoU6hRqFCoTqhNTDhTk1CRUI1Qi1CHUIVQgRB/iD3EHaIOEYd4Q6wh0hBl +iDHEF2ILkYWoQkwhntO/F6cAw6Tb5Q== + "]]}, + VertexTextureCoordinates->CompressedData[" +1:eJxk3X/ovY9/1/WDTEIJGxVtgbU5oyaNtajGwLLhMEZpfVlZQxnZF0UZq02p +lbJiUhQSyaBXEElsxCkKkiP+QAzxWleXvDp4Kr2uo6+zfNVrNTNzWYZEIkR9 +3p/3/XF/nudz/fPmzmu+rnM9Pue1W+fsXNf5JV/9we/5TT/vdDp97d91On36 +9+f8fz/5PT/xZy7/xj/c+6X6G3/kL/+Xf92PVy+ffn6u/sHf/N23P/ufVX/D +p//5i/2jn378t/7+6i//3//B6q/7vn/xT/2qP1z95e/7I9Wvv/UXv/7WPzp+ +/1I//+Xf9dX/8b/9yXG8tfrf/TXf/lO/8b8ax9+qf/af+YX3v/bHx+N5rf70 +63/sv7a/88vHd63+PT/w+69/55+o/vLx3qr/yg//m9sf/W+qv3z8/539E//x +d/7ef/aX/cnqL8+n+kf/1K/69IjG+e3V/+8/+jt/3bKP8z2qf9lXPp3hOP+n +/rWf/r8/Pfao/g1f/PYv/l9Uf7nPW/UXj/4rP/vUX+71qP6p3/jpEVV/ud9T +//zv/+IM/vvqL/f8s7XX3/vl/4Ox73v1p4fzdf/D2Pupf9dv/7To2P+j+g/9 +a1/8F6j+sS//e/x09Rf/09/wI9Wfn2/VP/TF0f7DX/I/1eP/8r/XU//iTw+4 ++itf/vf7n+v4X5zt7/mB6m/78r/nU3/6H/8bf8b+xi//+1Z/7Rdr//t/uPr0 +5X/vP1f9aZ7vq/7Lf+zTf//qn/4F97/27/28/8X+k//Up+fDU//4n7n8zf9p +9U/+xU/Pj+rf9w98+g/85+v5+6Ofni9P/Se+ePh/pfrH/pZP/8H+19rzn/t0 +AtU/9J9/ej499f/z0//63/Ar/kLt+Ss/Pb+qv/Lv/Mx3/O6fqf7Ox6fn2/9W +/Uv//F/6639X9bf9C5/+A1R/4x/5C//Rv/2tf7H2/JpPz8en/ic+/UFUn/6D +T8/Pn609/9wXv/63V//03/Pp+frUv+PTE+h/rz3/+Kfnb/VPfu2nJ2z17/v1 +n/6D/aXq/+T//rt//vdX/8T/9en5Xf1j/+Bf/WKg/6P2/Lc+Pd+fev/iP+8f +qv6hv+3T8///rD1/y6cnXPVX/sCnv4dqznL0F7suuIEfvV+q8cPGDxs/bPxI +xw8bP2z8sPHDxo/++5f6OX70463V+NGPv1XjR388r9X4kY4fNn7Y+GHjh40f +6fhh44fHx49+fns1fvTzParxo5//U+NH36M6ftj4YeOHjR82ftj4YeOHjR/u +hR993/dq/Oh7PzV+9P0/qvEjHT9s/OjPt+r44ePHDxs/0vHD4+NHOn7Y+JGO +H+n44d8Hftj4kY4f6fiRjh82fqTjRzp++PzFDxs/3BM/3BM/3BM/bPxwT/xw +T/xwT/yw8cM98cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/bPxwT/xw +T/xwT/yw8cM98cM98cM98cPzxY/eXziz8DoDP3q/VOOHjR82ftj4kY4fNn7Y ++GHjh40f/fcv9XP86Mdbq/GjH3+rxo/+eF6r8SMdP2z8sPHDxg8bP9Lxw8YP +j48f/fz2avzo53tU40c//6fGj75Hdfyw8cPGDxs/bPyw8cPGDxs/3As/+r7v +1fjR935q/Oj7f1TjRzp+2PjRn2/V8cPHjx82fqTjh8fHj3T8sPEjHT/S8cO/ +D/yw8SMdP9LxIx0/bPxIx490/PD5ix82frgnfrgnfrgnftj44Z744Z744Z74 +YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR82frgnfrgnfrgnftj44Z744Z744Z74 +YeOHe+KHe+KHe+KH54sfvU+8D/Tj+tH7pTrvX6Xxw877V9/w/D93sX3/Ko0f +dt6/Suf9q3Tev2q/f6mf5/2rdry1Ou9fteNv1Xn/qj2e1+q8f0X7/lU671+l +8/5VOu9fpfP+Fe37V+m8f5Xj5/2rdn57dd6/aud7VOf9q3b+T533r9oe1b5/ +lc77V+m8f5XO+1fpvH+VzvtX6bx/lc77V9kr71+1fd+r8/5V2/up8/5V2/+j +Ou9f0b5/lc77V+35Vu37V3n8ef8qnfevaN+/yvHz/hXt+1fpvH9F+/4V7ftX ++fvI+1fpvH9F+/4V7ftXtO9fpfP+Fe37V7TvX+X5m/ev0nn/Knvm/avsmfev +smfev0rn/avsmfevsmfev8qeef8qnfevsmfev8qeef8qe+b9q3Tev8qeef8q +e+b9q+yZ96/Sef8qe+b9q+yZ96+yZ96/Suf9q+yZ96+yZ96/yp55/yqd96+y +Z96/yp55/yp75v2rnG/ev2qd1x/n8frjPF5/nMfrj/N4/XEerz/iysXurz/O +4/XHebz+OI/XH+fx+iO/f6mft9cf5/H64zxef5zH64/zeP1xHq8/zuP1x3m8 +/jiP1x/n8frjPF5/nMfrj/N4/XEerz/O4/XHebz+OI/XH+fx+uM8Xn+cx+uP +83j9cR6vP87j9cd5vP44j9cf5/H64zxef5zH64/zeP1xHq8/zuP1x3m8/jiP +1x/n8frjPF5/nMfrj/N4/XEerz/O4/XHebz+OI/XH+fx+uM8Xn+cx+uP83j9 +cR6vP87j9cd5vP44j9cf5/H64zxef5zH64/zeP1xHq8/zuP1x3m8/jiP1x/n +8frjPF5/nMfrj/N4/XEerz/O4/XHebz+OI/XH+fx+uM8Xn+cx+uP83j9cR6v +P87j9cd5vP44j9cf5/H64zxef5zH64/zeP1xHq8/zuP1x3m8/jiP1x/n8frj +PF5/nMfrj/N4/XEerz/O4/VH/d+9vzmvG/Cj90s1ftj8Hpt/v7m9DrnY8cPG +Dxs/bPyw8aP//qV+jh/9eGs1fvTjb9X40R/PazV+pOOHjR82ftj4YeNHOn7Y ++OHx8aOf316NH/18j2r86Of/1PjR96iOHzZ+2Phh44eNHzZ+2Phh44d74Uff +970aP/reT40fff+PavxIxw8bP/rzrTp++Pjxw8aPdPzw+PiRjh82fqTjRzp+ ++PeBHzZ+pONHOn6k44eNH+n4kY4fPn/xw8YP98QP98QP98QPGz/cEz/cEz/c +Ez9s/HBP/HBP/HBP/LDxwz3xwz3xwz3xw8YP98QP98QP98QPGz/cEz/cEz/c +Ez9s/HBP/HBP/HBP/PB88aP3qT4n1d6/uoz3ry7j/avLeP/qMt6/uvycf/v7 +V5fx/tVlvH91Ge9fXcb7Vxf98Oft/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/ +uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/ +uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/ +uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/ +uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/ +uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/ +uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/ +uoz3ry7j/avLeP/qMt6/uoz3ry7j/avLeP/qMt6/qs/V/kD+9zp+9H6pxg8b +P2z8+IHhRDp+2Phh44eNHzZ+9N+/1M/xox9vrcaPfvytGj/643mtxo90/LDx +w8YPGz9s/EjHDxs/PD5+9PPbq/Gjn+9RjR/9/J8aP/oe1fHDxg8bP2z8sPHD +xg8bP2z8cC/86Pu+V+NH3/up8aPv/1GNH+n4YeNHf75Vxw8fP37Y+JGOHx4f +P9Lxw8aPdPxIxw//PvDDxo90/EjHj3T8sPEjHT/S8cPnL37Y+OGe+OGe+OGe ++GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe ++GHjh3vih3vih3vih40f7okf7okf7okfni9+9D6dvjevA/Cj90s1ftj4YePH +947XFen4YfOvjR82ftj40X//Uj/Hj368tRo/+vG3avzoj+e1Gj/S8cPGDxs/ +bPyw8SMdP2z88Pj40c9vr8aPfr5HNX70839q/Oh7VMcPGz9s/LDxw8YPGz9s +/LDxw73wo+/7Xo0ffe+nxo++/0c1fqTjh40f/flWHT98/Phh40c6fnh8/EjH +Dxs/0vEjHT/8+8APGz/S8SMdP9Lxw8aPdPxIxw+fv/hh44d74od74od74oeN +H+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh44d74od74od74oeN +H+6JH+6JH+6JHzZ+uCd+uCd+uCd+eL740ft0+s68b5TrP1q/VOf6jzR+2Hmf +cbwPlfb6j3T+u6Zz/UcaP+xc/9F+/1I/z/Uf7Xhrda7/aMffqnP9R3s8r9W5 +/iOPEz/sXP+RzvUf6Vz/kcaPtNd/pHP9R46f6z/a+e3Vuf6jne9Rnes/2vk/ +da7/aHtUe/1HOtd/pHP9RzrXf6Rz/UcaP+xc/5HO9R/ZK9d/tH3fq3P9R9v7 +qXP9R9v/ozrXf9Be/5HGj/58q/b6jzz+XP+RzvUf+ZwIfnj8XP9Be/1HGj/S +Xv9Be/1H/j5y/Uc613/QXv9Be/0H7fUf6Vz/QXv9B+31H3n+5vqPdK7/yJ65 +/iN75vqP7JnrP9K5/iN75vqP7JnrP7Jnrv9I5/qP7JnrP7Jnrv/Inrn+I53r +P7Jnrv/Inrn+I3vm+o90rv/Inrn+I3vm+o/smes/0rn+I3vm+o/smes/smeu +/0jn+o/smes/smeu/8ieuf4j55vrP1qfTt+S/zsDfvR+qcYPGz9s/PiW8X+3 +SMcPGz9sHpfNvzZ+9N+/1M/xox9vrcaPfvytGj/643mtxo90/LDxw8YPGz9s +/EjHDxs/PD5+9PPbq/Gjn+9RjR/9/J8aP/oe1fHDxg8bP2z8sPHDxg8bP2z8 +cC/86Pu+V+NH3/up8aPv/1GNH+n4YeNHf75Vxw8fP37Y+JGOHx4fP9Lxw8aP +dPxIxw//PvDDxo90/EjHj3T8sPEjHT/S8cPnL37Y+OGe+OGe+OGe+GHjh3vi +h3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vi +h3vih3vih40f7okf7okf7okfni9+9D6dvj7/d2n86P1SjR82ftj48fXj/86d +jh82ftj4YfM4bf7tv3+pn+NHP95ajR/9+Fs1fvTH81qNH+n4YeOHjR82ftj4 +kY4fNn54fPzo57dX40c/36MaP/r5PzV+9D2q44eNHzZ+2Phh44eNHzZ+2Pjh +XvjR932vxo++91PjR9//oxo/0vHDxo/+fKuOHz5+/LDxIx0/PD5+pOOHjR/p ++JGOH/594IeNH+n4kY4f6fhh40c6fqTjh89f/LDxwz3xwz3xwz3xw8YP98QP +98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3xwz3xwz3xw8YP98QP +98QP98QPGz/cEz/cEz/cEz88X/zofar7SLXPXy3j81fL+PzVMj5/tYzPX9Xn +otL981fL+PzVMj5/tYzPXy3j81fLz/m3f/5qGZ+/Wsbnr5bx+atlfP5qGZ+/ +Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/ +Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/ +Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/ +Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/ +Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/ +Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/ +Wsbnr5bx+atlfP5qGZ+/Wsbnr5bx+atlfP5qGZ+/Wsbnr+q+gz+c/72LH71f +qvHDxg8bP364/e/xix0/bPyw8cPGDxs/fng44c/5tx9vrcaPfvytGj/643mt +xo90/LDxw8YPGz9s/EjHDxs/PD5+9PPbq/Gjn+9RjR/9/J8aP/oe1fHDxg8b +P2z8sPHDxg8bP2z8cC/86Pu+V+NH3/up8aPv/1GNH+n4YeNHf75Vxw8fP37Y ++JGOHx4fP9Lxw8aPdPxIxw//PvDDxo90/EjHj3T8sPEjHT/S8cPnL37Y+OGe ++OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe ++OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfni9+9M7nd9fx+d11fH53 +HZ/fXcfnd9fx+d267iLdP7+7js/vruPzu+v4/O46Pr9bryv8OefVj7dWt8/v +ruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/v +ruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/v +ruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/v +ruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/v +ruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/v +ruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/v +ruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/vruPzu+v4/O46Pr+7js/v +1n3Nv5r3dfCj90s1ftj4YePHV9v7RBc7ftj4YeOHjR82fnx1vA/lz/GjH2+t +5t9+/K0aP/rjea3Gj3T8sPHDxg8bP2z8SMcPGz88Pn7089ur8aOf71GNH/38 +nxo/+h7V8cPGDxs/bPyw8cPGDxs/bPxwL/zo+75X40ff+6nxo+//UY0f6fhh +40d/vlXHDx8/ftj4kY4fHh8/0vHDxo90/EjHD/8+8MPGj3T8SMePdPyw8SMd +P9Lxw+cvftj44Z744Z744Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR82frgn +frgnfrgnftj44Z744Z744Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR+eL370 +zvUf27j+YxvXf2zj+o9tXP+xjes/6rrudL/+YxvXf2zj+o9tXP+xjes/6v9u +4c/xox9vrea8+/G36nb9xzau/9jG9R/buP5jG9d/bOP6j21c/7GN6z+2cf3H +Nq7/2Mb1H9u4/mMb139s4/qPbVz/sY3rP7Zx/cc2rv/YxvUf27j+YxvXf2zj ++o9tXP+xjes/tnH9xzau/9jG9R/buP5jG9d/bOP6j21c/7GN6z+2cf3HNq7/ +2Mb1H9u4/mMb139s4/qPbVz/sY3rP7Zx/cc2rv/YxvUf27j+YxvXf2zj+o9t +XP+xjes/tnH9xzau/9jG9R/buP5jG9d/bOP6j21c/7GN6z+2cf3HNq7/2Mb1 +H9u4/mMb139s4/qPbVz/sY3rP7Zx/cc2rv/YxvUf27j+YxvXf2zj+o9tXP+x +jes/tnH9xzau/9jG9R/buP5jG9d/bOP6j21c/7GN6z+2cf3HNq7/2Mb1H/W9 +Sb86/3dj/Oj9Uo0fNn7Y+PGr2/8d+mLHDxs/bPyw8cPGj/77l/o5fvTjrdX4 +0Y+/VfNvfzyv1fiRjh82ftj4YeOHjR/p+GHjh8fHj35+ezV+9PM9qvGjn/9T +40ffozp+2Phh44eNHzZ+2Phh44eNH+6FH33f92r86Hs/NX70/T+q8SMdP2z8 +6M+36vjh48cPGz/S8cPj40c6ftj4kY4f6fjh3wd+2PiRjh/p+JGOHzZ+pONH +On74/MUPGz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3xwz3xwz3xw8YP98QP +98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3xwz3xwz3xw/PFj96n +06/M54zwo/dLNX7Y+GHjh40f6fhh44eNHzZ+2PjRf/9SP8ePfry1Gj/68bdq +dumP57UaP9Lxw8YPGz9s/LDxIx0/bPzw+PjRz2+vxo9+vkc1fvTzf2r86HtU +xw8bP2z8sPHDxg8bP2z8sPHDvfCj7/tejR9976fGj77/RzV+pOOHjR/9+VYd +P3z8+GHjRzp+eHz8SMcPGz/S8SMdP/z7wA8bP9LxIx0/0vHDxo90/EjHD5+/ ++GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe ++GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J354vvjR+3T6Dv73 +Yvzo/VKNHzZ+2Phh40c6ftj4YeOHjR82fvTfv9TP8aMfb63Gj378rRo/+uN5 +rebfdPyw8cPGDxs/bPxIxw8bPzw+fvTz26vxo5/vUY0f/fyfGj/6HtXxw8YP +Gz9s/LDxw8YPGz9s/HAv/Oj7vlfjR9/7qfGj7/9RjR/p+GHjR3++VccPHz9+ +2PiRjh8eHz/S8cPGj3T8SMcP/z7ww8aPdPxIx490/LDxIx0/0vHD5y9+2Pjh +nvjhnvjhnvhh44d74od74od74oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjh +nvjhnvjhnvhh44d74od74od74oeNH+6JH+6JH+6JH54vfvTO9efXcf35dVx/ +fh3Xn1/H9efXcf153Zc23a8/v47rz6/j+vPruP78Oq4/r+su/Dl+9OOt1fjR +j79V40d/PK/V7Jbu159fx/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159f +x/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159f +x/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159f +x/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159f +x/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159f +x/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159f +x/Xn13H9+XVcf34d159fx/Xn13H9+XVcf34d159fx/Xn13H9+XVcf37Vj2/K +dW/40fulGj9s/LDx45vadXQXO37Y+GHjh40fNn5807hOz5/jRz/eWo0f/fhb +NX70x/NajR/p+GHzr40fNn7Y+JGOHzZ+eHz86Oe3V+NHP9+jGj/6+T81fvQ9 +quOHjR82ftj4YeOHjR82ftj44V740fd9r8aPvvdT40ff/6MaP9Lxw8aP/nyr +jh8+fvyw8SMdPzw+fqTjh40f6fiRjh/+feCHjR/p+JGOH+n4YeNHOn6k44fP +X/yw8cM98cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xw +T/yw8cM98cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/PF/86J37l9zG +/Utu4/4lt3H/ktu4f8lt3L+kvvci3e9fchv3L7mN+5fcxv1LbuP+JXVdtz/H +j368tRo/+vG3avzoj+e1Gj/S/f4lt3H/ktu4f8lt3L/kNu5fchv3L7mN+5fc +xv1Lbvpht/uX3Mb9S27j/iU3/bDb/Utu4/4lt3H/ktu4f8lt3L/kNu5fchv3 +L7mN+5fcxv1LbuP+Jbdx/5LbuH/Jbdy/5DbuX3Ib9y+5jfuX3Mb9S27j/iW3 +cf+S27h/yW3cv+Q27l9yG/cvuY37l9zG/Utu4/4lt3H/ktu4f8lt3L/kNu5f +chv3L7mN+5fcxv1LbuP+Jbdx/5LbuH/Jbdy/5DbuX3Ib9y+5jfuX3Mb9S27j +/iW3cf+S27h/yW3cv+Q27l9yG/cvuY37l9zG/Utu4/4lt3H/ktu4f8lt3L/k +Nu5fchv3L7mN+5fcxv1LbuP+Jbdx/5LbuH/Jbdy/5DbuX3Ib9y+5jfuX3Mb9 +S27j/iW3cf+Sm378otxXAz96v1Tjh40fNn7Y+JGOHzZ+2Phh44eNH/33L/Vz +/OjHW6vxox9/q8aP/nheq/EjHT9s/LDZ2eZfGz/S8cPGD4+PH/389mr86Od7 +VONHP/+nxo++R3X8sPHDxg8bP2z8sPHDxg8bP9wLP/q+79X40fd+avzo+39U +40c6ftj40Z9v1fHDx48fNn6k44fHx490/LDxIx0/0vHDvw/8sPEjHT/S8SMd +P2z8SMePdPzw+YsfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vi +h40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vi +h+eLH71Pp6/JfZjwo/dLNX7Y+GHjh40f6fhh44eNHzZ+2PjRf/9SP8ePfry1 +Gj/68bdq/OiP57UaP9Lxw8YPGz9sdrf5Nx0/bPzw+PjRz2+vxo9+vkc1fvTz +f2r86HtUxw8bP2z8sPHDxg8bP2z8sPHDvfCj7/tejR9976fGj77/RzV+pOOH +jR/9+VYdP3z8+GHjRzp+eHz8SMcPGz/S8SMdP/z7wA8bP9LxIx0/0vHDxo90 +/EjHD5+/+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe ++OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J354vvjR ++3T6kdy3Dz96v1Tjh40fNn7Y+JGOHzZ+2Phh44eNH/33L/Vz/OjHW6vxox9/ +q8aP/nheq/EjHT9s/LDxw8YPm/8O6fhh44fHx49+fns1fvTzParxo5//U+NH +36M6ftj4YeOHjR82ftj4YeOHjR/uhR993/dq/Oh7PzV+9P0/qvEjHT9s/OjP +t+r44ePHDxs/0vHD4+NHOn7Y+JGOH+n44d8Hftj4kY4f6fiRjh82fqTjRzp+ ++PzFDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM98cM98cM98cPGD/fED/fE +D/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM98cM98cM98cPzxY/ep9O/ +zP+eih+9X6rxw8YPGz9s/EjHDxs/bPyw8cPGj/77l/o5fvTjrdX40Y+/VeNH +fzyv1fiRjh82ftj4YeOHjR/p+GHzr8fHj35+ezV+9PM9qvGjn/9T40ffozp+ +2Phh44eNHzZ+2Phh44eNH+6FH33f92r86Hs/NX70/T+q8SMdP2z86M+36vjh +48cPGz/S8cPj40c6ftj4kY4f6fjh3wd+2PiRjh/p+JGOHzZ+pONHOn74/MUP +Gz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3xwz3xwz3xw8YP98QP98QP98QP +Gz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3xwz3xwz3xw/PFj96n02/LfcHx +o/dLNX7Y+GHjh40f6fhh44eNHzZ+2PjRf/9SP8ePfry1Gj/68bdq/OiP57Ua +P9Lxw8YPGz9s/LDxIx0/bP47eXz+7ee3V+NHP9+jGj/6+T81fvQ9quOHjR82 +ftj4YeOHjR82ftj44V740fd9r8aPvvdT40ff/6MaP9Lxw8aP/nyrjh8+fvyw +8SMdPzw+fqTjh40f6fiRjh/+feCHjR/p+JGOH+n4YeNHOn6k44fPX/yw8cM9 +8cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM9 +8cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/PF/86J377+7j/rv7uP/u +Pu6/u4/77+7j/rv7uP/uPu6/u4/77+7j/rv7uP/uPu6/u4/77+7j/ru7ftj4 +0Y+/VeNHfzyv1fiR7vff3cf9d/dx/9193H93H/ff3cf9d/dx/9193H931w+7 +3X93H/ff3cf9d3f9sNv9d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9 +d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9 +d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9 +d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9 +d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9 +d/dx/9193H93H/ff3cf9d/dx/9193H93H/ff3cf9d/dx/9193H9314/fnO8d +wo/eL9X4YeOHjR82fqTjh40fNn7Y+GHjR//9S/0cP/rx1mr86MffqvGjP57X +avxIxw8bP2z8sPHDxo90/LDxw+PjRz+/vZp/+/ke1fjRz/+p8aPvUR0/bPyw +8cPGDxs/bPyw8cPGD/fCj77vezV+9L2fGj/6/h/V+JGOHzZ+9Odbdfzw8eOH +jR/p+OHx8SMdP2z8SMePdPzw7wM/bPxIx490/EjHDxs/0vEjHT98/uKHjR/u +iR/uiR/uiR82frgnfrgnfrgnftj44Z744Z744Z74YeOHe+KHe+KHe+KHjR/u +iR/uiR/uiR82frgnfrgnfrgnftj44Z744Z744Z744fniR+/cv/0Y928/xv3b +j3H/9mPcv/0Y928/xv3bj3H/9mPcv/0Y928/xv3bj3H/9mPcv/0Y928/9MPG +j378rRo/+uN5rcaPdL9/+zHu336M+7cf4/7tx7h/+zHu336M+7cf4/7th37Y +/Hft53tUt/u3H/pht/u3H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3 +H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3 +H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3 +H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3 +H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3 +H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/sx7t9+jPu3H+P+7ce4f/uhH9+X7zXF +j94v1fhh44eNHzZ+pOOHjR82ftj4YeNH//1L/Rw/+vHWavzox9+q8aM/ntdq +/EjHDxs/bPyw8cPGj3T8sPHD4+NHP7+9Gj/6+R7V/NvP/6nxo+9RHT9s/LDx +w8YPGz9s/LDxw8YP98KPvu97NX70vZ8aP/r+H9X4kY4fNn7051t1/PDx44eN +H+n44fHxIx0/bPxIx490/PDvAz9s/EjHj3T8SMcPGz/S8SMdP3z+4oeNH+6J +H+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh44d74od74od74oeNH+6J +H+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvjh+eJH73z/x318/8d9fP/H +fXz/x318/8d9fP/HfXz/R/lh44eNHzZ+2PjRf/9SP8ePfry1Gj/68bdq/OiP +57UaP9L9+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/yg8bP/r5HtX8 +d+/n/9Tt+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u +4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j ++z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7 +P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/ +7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u +4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j+z/u4/s/7uP7P+7j ++z/Kj+/hf2/Ej94v1fhh44eNHzZ+pOOHjR82ftj4YeNH//1L/Rw/+vHWavzo +x9+q8aM/ntdq/EjHDxs/bPyw8cPGj3T8sPHD4+NHP7+9Gj/6+R7V+NHP/6n5 +t+9RHT9s/LDxw8YPGz9s/LDxw8YP98KPvu97NX70vZ8aP/r+H9X4kY4fNn70 +51t1/PDx44eNH+n44fHxIx0/bPxIx490/PDvAz9s/EjHj3T8SMcPGz/S8SMd +P3z+4oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh44d74od7 +4od74oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvjh+eJH79Pp +1/C/J+JH75dq/LDxw8YPGz/S8cPGDxs/bPyw8aP//qV+jh/9eGs1fvTjb9X4 +0R/PazV+pOOHjR82ftj4YeNHOn7Y+OHx8aOf316NH/18j2r86Of/1Dwv+h7V +8cPGDxs/bPyw8cPGDxs/bPxwL/zo+75X40ff+6nxo+//UY0f6fhh40d/vlXH +Dx8/ftj4kY4fHh8/0vHDxo90/EjHD/8+8MPGj3T8SMePdPyw8SMdP9Lxw+cv +ftj44Z744Z744Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR82frgnfrgnfrgn +ftj44Z744Z744Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR+eL370Pp2+m/+9 +ED96v1Tjh40fNn7Y+JGOHzZ+2Phh44eNH/33L/Vz/OjHW6vxox9/q8aP/nhe +q/EjHT9s/LDxw8YPGz/S8cPGD4+PH/389mr86Od7VONHP/+nxo++R3X8sPHD +xg8bP2z8sPHDxg8bP9wLP/q+79X40fd+avzo+39U40c6ftj40Z9v1fHDx48f +Nn6k44fHx490/LDxIx0/0vHDvw/8sPEjHT/S8SMdP2z8SMePdPzw+YsfNn64 +J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64 +J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih+eLH73z/YNv4/sH3/TD +bt8/+Da+f/BtfP/g2/j+wbfx/YNv4/sH38b3D76N7x98G98/+KYf/hw/+vHW +avzox9+q8aM/ntdq/Ej37x98G98/+Da+f/BtfP/g2/j+wbfx/YNv4/sH38b3 +D77ph40f/XyPavzo5//U+NH3qO7fP/g2vn/wbXz/4Nv4/sG38f2Db+P7B9/G +9w++je8ffBvfP/imHzZ+9L2fun3/4Jt+2O37B9/G9w++je8ffNOPdP/+wbfx +/YNv4/sH38b3D76N7x98G98/+Da+f/BtfP/g2/j+wbfx/YNv4/sH38b3D76N +7x98G98/+Da+f/BtfP/g2/j+wbfx/YNv4/sH38b3D76N7x98G98/+Da+f/Bt +fP/g2/j+wbfx/YNv4/sH38b3D76N7x98G98/+Da+f/BtfP/g2/j+wbfx/YNv +4/sH38b3D76N7x98G98/+Da+f/BtfP/g2/j+wbfx/YNv4/sH38b3D76N7x98 +G98/+Da+f/BNP/4h/u7jR++Xavyw8cPGDxs/0vHDxg8bP2z8sPGj//6lfo4f +/XhrNX7042/V+NEfz2s1fqTjh40fNn7Y+GHjRzp+2Pjh8fGjn99ejR/9fI9q +/Ojn/9T40feojh82zyObf238sPHDxg8bP2z8cC/86Pu+V+NH3/up8aPv/1GN +H+n4YeNHf75Vxw8fP37Y+JGOHx4fP9Lxw8aPdPxIxw//PvDDxo90/EjHj3T8 +sPEjHT/S8cPnL37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okf +Nn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okf +ni9+9M731z7G99c+9MNu31/7GN9f+xjfX/sY31/7GN9f+xjfX/sY31/7GN9f ++xjfX/vQD3+OH/14azV+9ONv1fjRH89rNX6k+/fXPsb31z7G99c+xvfXPsb3 +1z7G99c+xvfXPsb31z70w8aPfr5HNX70839q/Oh7VPfvr32M7699jO+vfYzv +r32M7699jO+vfYzvr32M7699jO+vfeiHjR9976du31/70A+7fX/tY3x/7WN8 +f+1DP9L9+2sf4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf +4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf4/trH+P7ax/j ++2sf4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf4/trH+P7 +ax/j+2sf4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf4/trH+P7ax/j+2sf4/tr +H+P7ax/j+2sf4/trH/rx9/F3HT96v1Tjh40fNn7Y+JGOHzZ+2Phh44eNH/33 +L/Vz/OjHW6vxox9/q8aP/nheq/EjHT9s/LDxw8YPGz/S8cPGD4+PH/389mr8 +6Od7VONHP/+nxo++R3X8sPHDxg+b55nNvzZ+2Phh44d74Uff970aP/reT40f +ff+PavxIxw8bP/rzrTp++Pjxw8aPdPzw+PiRjh82fqTjRzp++PeBHzZ+pONH +On6k44eNH+n4kY4fPn/xw8YP98QP98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP +/HBP/LDxwz3xwz3xwz3xw8YP98QP98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP +/HBP/PB88aP36fSt/B3Hj94v1fhh44eNHzZ+pOOHjR82ftj4YeNH//1L/Rw/ ++vHWavzox9+q8aM/ntdq/EjHDxs/bPyw8cPGj3T8sPHD4+NHP7+9Gj/6+R7V ++NHP/6nxo+9RHT9s/LDxw8YPm+edzb82ftj44V740fd9r8aPvvdT40ff/6Ma +P9Lxw8aP/nyrjh8+fvyw8SMdPzw+fqTjh40f6fiRjh/+feCHjR/p+JGOH+n4 +YeNHOn6k44fPX/yw8cM98cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/ +bPxwT/xwT/xwT/yw8cM98cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/ +PF/86H06fTN/t/Gj90s1ftj4YeOHjR/p+GHjh40fNn7Y+NF//1I/x49+vLUa +P/rxt2r86I/ntRo/0vHDxg8bP2z8sPEjHT9s/PD4+NHPb6/Gj36+RzV+9PN/ +avzoe1THDxs/bPyw8cPGD5vnoc2/Nn64F370fd+r8aPv/dT40ff/qMaPdPyw +8aM/36rjh48fP2z8SMcPj48f6fhh40c6fqTjh38f+GHjRzp+pONHOn7Y+JGO +H+n44fMXP2z8cE/8cE/8cE/8sPHDPfHDPfHDPfHDxg/3xA/3xA/3xA8bP9wT +P9wTP9wTP2z8cE/8cE/8cE/8sPHDPfHDPfHDPfHDxg/3xA/3xA/3xA/PFz96 +n06/lL/T+NH7pRo/bPyw8cPGj3T8sPHDxg8bP2z86L9/qZ/jRz/eWo0f/fhb +NX70x/NajR/p+GHjh40fNn7Y+JGOHzZ+eHz86Oe3V+NHP9+jGj/6+T81fvQ9 +quOHjR82ftj4YeOHjR82z0ubf90LP/q+79X40fd+avzo+39U40c6ftj40Z9v +1fHDx48fNn6k44fHx490/LDxIx0/0vHDvw/8sPEjHT/S8SMdP2z8SMePdPzw ++YsfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf +7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih+eLH71Pp7+d +v8v40fulGj9s/LDxw8aPdPyw8cPGDxs/bPzov3+pn+NHP95ajR/9+Fs1fvTH +81qNH+n4YeOHjR82ftj4kY4fNn54fPzo57dX40c/36MaP/r5PzV+9D2q44eN +HzZ+2Phh44eNHzZ+2DxP3Yt/+77v1fjR935q/Oj7f1TjRzp+2PjRn2/V8cPH +jx82fqTjh8fHj3T8sPEjHT/S8cO/D/yw8SMdP9LxIx0/bPxIx490/PD5ix82 +frgnfrgnfrgnftj44Z744Z744Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR82 +frgnfrgnfrgnftj44Z744Z744Z74YeOHe+KHe+KHe+KH54sfvU+nr+fvMH70 +fqnGDxs/bPyw8SMdP2z8sPHDxg8bP/rvX+rn+NGPt1bjRz/+Vo0f/fG8VuNH +On7Y+GHjh40fNn6k44eNHx4fP/r57dX40c/3qMaPfv5PjR99j+r4YeOHjR82 +ftj4YeOHjR82frgXz9u+73s1fvS9nxo/+v4f1fiRjh82fvTnW3X88PHjh40f +6fjh8fEjHT9s/EjHj3T88O8DP2z8SMePdPxIxw8bP9LxIx0/fP7ih40f7okf +7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf +7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+OH54kfv0+lv4u8ufvR+qcYP +Gz9s/LDxIx0/bPyw8cPGDxs/+u9f6uf40Y+3VuNHP/5WjR/98bxW40c6ftj4 +YeOHjR82fqTjh40fHh8/+vnt1fjRz/eoxo9+/k+NH32P6vhh44eNHzZ+2Phh +44eNHzZ+uBd+9H3fq/m37/3U+NH3/6jGj3T8sPGjP9+q44ePHz9s/EjHD4+P +H+n4YeNHOn6k44d/H/hh40c6fqTjRzp+2PiRjh/p+OHzFz9s/HBP/HBP/HBP +/LDxwz3xwz3xwz3xw8YP98QP98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP/HBP +/LDxwz3xwz3xwz3xw8YP98QP98QP98QPzxc/ep9Ov4i/s/jR+6UaP2z8sPHD +xo90/LDxw8YPGz9s/Oi/f6mf40c/3lqNH/34WzV+9MfzWo0f6fhh44eNHzZ+ +2PiRjh82fnh8/Ojnt1fjRz/foxo/+vk/NX70Parjh40fNn7Y+GHjh40fNn7Y ++OFe+NH3fa/med33fmr86Pt/VONHOn7Y+NGfb9Xxw8ePHzZ+pOOHx8ePdPyw +8SMdP9Lxw78P/LDxIx0/0vEjHT9s/EjHj3T88PmLHzZ+uCd+uCd+uCd+2Pjh +nvjhnvjhnvhh44d74od74od74oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjh +nvjhnvjhnvhh44d74od74od74ofnix+9T6dfwN9V/Oj9Uo0fNn7Y+GHjRzp+ +2Phh44eNHzZ+9N+/1M/xox9vrcaPfvytGj/643mtxo90/LDxw8YPGz9s/EjH +Dxs/PD5+9PPbq/Gjn+9RjR/9/J8aP/oe1fHDxg8bP2z8sPHDxg8bP2z8cC/8 +6Pu+V+NH3/up+bfv/1GNH+n4YeNHf75Vxw8fP37Y+JGOHx4fP9Lxw8aPdPxI +xw//PvDDxo90/EjHj3T8sPEjHT/S8cPnL37Y+OGe+OGe+OGe+GHjh3vih3vi +h3vih40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vi +h3vih40f7okf7okf7okfni9+9D6dvoa/o/jR+6UaP2z8sPHDxo90/LDxw8YP +Gz9s/Oi/f6mf40c/3lqNH/34WzV+9MfzWo0f6fhh44eNHzZ+2PiRjh82fnh8 +/Ojnt1fjRz/foxo/+vk/NX70Parjh40fNn7Y+GHjh40fNn7Y+OFe+NH3fa/G +j773U/O87/t/VONHOn7Y+NGfb9Xxw8ePHzZ+pOOHx8ePdPyw8SMdP9Lxw78P +/LDxIx0/0vEjHT9s/EjHj3T88PmLHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh +44d74od74od74oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh +44d74od74od74ofnix+9T6f/7w9+/ruJH71fqvHDxg8bP2z8SMcPGz9s/LDx +w8aP/vuX+jl+9OOt1fjRj79V40d/PK/V+JGOHzZ+2Phh44eNH+n4YeOHx8eP +fn57NX708z2q8aOf/1PjR9+jOn7Y+GHjh40fNn7Y+GHjh40f7oUffd/3avzo +ez81fvT9P6rxIx0/bPzoz7fq+OHjxw8bP9Lxw+PjRzp+2PiRjh/p+OHfB37Y ++JGOH+n4kY4fNn6k40c6fvj8xQ8bP9wTP9wTP9wTP2z8cE/8cE/8cE/8sPHD +PfHDPfHDPfHDxg/3xA/3xA/3xA8bP9wTP9wTP9wTP2z8cE/8cE/8cE/8sPHD +PfHDPfHDPfHD88WP3qfTv8rfSfzo/VKNHzZ+2Phh40c6ftj4YeOHjR82fvTf +v9TP8aMfb63Gj378rRo/+uN5rcaPdPyw8cPGDxs/bPxIxw8bPzw+fvTz26vx +o5/vUY0f/fyfGj/6HtXxw8YPGz9s/LDxw8YPGz9s/HAv/Oj7vlfjR9/7qfGj +7/9RjR/p+GHjR3++VccPHz9+2PiRjh8eHz/S8cPGj3T8SMcP/z7ww8aPdPxI +x490/LDxIx0/0vHD5y9+2Pjhnvjhnvjhnvhh44d74od74od74oeNH+6JH+6J +H+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh44d74od74od74oeNH+6JH+6J +H+6JH54vfvQ+nX4Hfxfxo/dLNX7Y+GHjh40f6fhh44eNHzZ+2PjRf/9SP8eP +fry1Gj/68bdq/OiP57UaP9Lxw8YPGz9s/LDxIx0/bPzw+PjRz2+vxo9+vkc1 +fvTzf2r86HtUxw8bP2z8sPHDxg8bP2z8sPHDvfCj7/tejR9976fGj77/RzV+ +pOOHzb/9+VYdP3z8+GHjRzp+eHz8SMcPGz/S8SMdP/z7wA8bP9LxIx0/0vHD +xo90/EjHD5+/+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y ++OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J354 +vvjR+3T6V/g7iB+9X6rxw8YPGz9s/EjHDxs/bPyw8cPGj/77l/o5fvTjrdX4 +0Y+/VeNHfzyv1fiRjh82ftj4YeOHjR/p+GHjh8fHj35+ezV+9PM9qvGjn/9T +40ffozp+2Phh44eNHzZ+2Phh44eNH+6FH33f92r86Hs/NX70/T+q8SMdP2z+ +bvrzrTp++Pjxw8aPdPzw+PiRjh82fqTjRzp++PeBHzZ+pONHOn6k44eNH+n4 +kY4fPn/xw8YP98QP98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3x +wz3xwz3xw8YP98QP98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP/HBP/PB88aP3 +6fQv8byPH71fqvHDxg8bP2z8SMcPGz9s/LDxw8aP/vuX+jl+9OOt1fjRj79V +40d/PK/V+JGOHzZ+2Phh44eNH+n4YeOHx8ePfn57NX708z2q8aOf/1PjR9+j +On7Y+GHjh40fNn7Y+GHjh40f7oUffd/3avzoez81fvT9P6rxIx0/bPzoz7fq ++OHjxw8bP9Lxw+PjRzp+2PiRjh/p+OHfB37Y+JGOH+n4kY4fNn6k40c6fvj8 +xQ8bP9wTP9wTP9wTP2z8cE/8cE/8cE/8sPHDPfHDPfHDPfHDxg/3xA/3xA/3 +xA8bP9wTP9wTP9wTP2z8cE/8cE/8cE/8sPHDPfHDPfHDPfHD88WP3qfTb+N5 +Hj96v1Tjh40fNn7Y+JGOHzZ+2Phh44eNH/33L/Vz/OjHW6vxox9/q8aP/nhe +q/EjHT9s/LDxw8YPGz/S8cPGD4+PH/389mr86Od7VONHP/+nxo++R3X8sPHD +xg8bP2z8sPHDxg8bP9wLP/q+79X40fd+avzo+39U40c6ftj40Z9v1fHDx8+/ +Nn6k44fHx490/LDxIx0/0vHDvw/8sPEjHT/S8SMdP2z8SMePdPzw+YsfNn64 +J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64 +J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih+eLH71Ppx/ieR0/er9U +44eNHzZ+2PiRjh82ftj4YeOHjR/99y/1c/zox1ur8aMff6vGj/54XqvxIx0/ +bPyw8cPGDxs/0vHDxg+Pjx/9/PZq/Ojne1TjRz//p8aPvkd1/LDxw8YPGz/s +fO9AGj/s3Bc0nfu8Za/ct6ft+16d62rb3k+dz723/T+q8SMdP+y8b9yeb9Xx +w8efv9N0/uf4N354fPxIxw8bP9LxIx0//PvADxs/0vEjHT/S8cPGj3T8SMcP +n7/4YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR82frgnfrgnfrgnftj44Z744Z74 +4Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR82frgnfrgnfrgnfni++NH7dPrn +eR7Hj94v1fhh44eNHzZ+pOOHjR82ftj4YeNH//1L/Rw/+vHWavzox9+q8aM/ +ntdq/EjHDxs/bPyw8cPGj3T8sPHD4+NHP7+9Gj/6+R7V+NHP/6nxo+9RHT9s +/LDxw8YPGz9s/LDxw8YP98KPvu97NX70vZ8aP/r+H9X4kY4fNn7051t1/PDx +44fN3106fnh8/EjHDxs/0vEjHT/8+8APGz/S8SMdP9Lxw8aPdPxIxw+fv/hh +44d74od74od74oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh +44d74od74od74oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+eL740ft0+n6et/Gj +90s1ftj4YeOHjR/p+GHjh40fNn7Y+NF//1I/x49+vLUaP/rxt2r86I/ntRo/ +0vHDxg8bP2z8sPEjHT9s/PD4+NHPb6/Gj36+RzV+9PN/avzoe1THDxs/bPyw +8cPGDxs/bPyw8cO98KPv+16NH33vp8aPvv9HNX6k44eNH/35Vh0/fPz4YeNH +On54fP5Nxw8bP9LxIx0//PvADxs/0vEjHT/S8cPGj3T8SMcPn7/4YeOHe+KH +e+KHe+KHjR/uiR/uiR/uiR82frgnfrgnfrgnftj44Z744Z744Z74YeOHe+KH +e+KHe+KHjR/uiR/uiR/uiR82frgnfrgnfrgnfni++NH7dPotPE/jR++Xavyw +8cPGDxs/0vHDxg8bP2z8sPGj//6lfo4f/XhrNX7042/V+NEfz2s1fqTjh40f +Nn7Y+GHjRzp+2Pjh8fGjn99ejR/9fI9q/Ojn/9T40feojh82ftj4YeOHjR82 +ftj4YeOHe+FH3/e9Gj/63k+NH33/j2r8SMcPGz/68606fvj48cPGj3T88Pj8 +Xabjh40f6fiRjh/+feCHjR/p+JGOH+n4YeNHOn6k44fPX/yw8cM98cM98cM9 +8cPGD/fED/fED/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM98cM98cM9 +8cPGD/fED/fED/fEDxs/3BM/3BM/3BM/PF/86H06/Sael/Gj90s1ftj4YeOH +jR/p+GHjh40fNn7Y+NF//1I/x49+vLUaP/rxt2r86I/ntRo/0vHDxg8bP2z8 +sPEjHT9s/PD4+NHPb6/Gj36+RzV+9PN/avzoe1THDxs/bPyw8cPGDxs/bPyw +8cO98KPv+16NH33vp8aPvv9HNX6k44eNH/35Vh0/fPz4YeNHOn54fPxIxw+b +f9PxIx0//PvADxs/0vEjHT/S8cPGj3T8SMcPn7/4YeOHe+KHe+KHe+KHjR/u +iR/uiR/uiR82frgnfrgnfrgnftj44Z744Z744Z74YeOHe+KHe+KHe+KHjR/u +iR/uiR/uiR82frgnfrgnfrgnfni++NH7dPoqz8P40fulGj9s/LDxw8aPdPyw +8cPGDxs/bPzov3+pn+NHP95ajR/9+Fs1fvTH81qNH+n4YeOHjR82ftj4kY4f +Nn54fPzo57dX40c/36MaP/r5PzV+9D2q44eNHzZ+2Phh44eNHzZ+2PjhXvjR +932vxo++91PjR9//oxo/0vHDxo/+fKuOHz5+/LDxIx0/PD5+pOOHzd9tOn6k +44d/H/hh40c6fqTjRzp+2PiRjh/p+OHzFz9s/HBP/HBP/HBP/LDxwz3xwz3x +wz3xw8YP98QP98QP98QPGz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3xwz3x +wz3xw8YP98QP98QP98QPzxc/ep9Ov4HnXfzo/VKNHzZ+2Phh40c6ftj4YeOH +jR82fvTfv9TP8aMfb63Gj378rRo/+uN5rcaPdPyw8cPGDxs/bPxIxw8bPzw+ +fvTz26vxo5/vUY0f/fyfGj/6HtXxw8YPGz9s/LDxw8YPO9/DnM73amavfE9a +2/e9Ot9j0PZ+6txntO3/UY0f6fhh5zrd9nyrjh8+/nwuMp3PudDxw+PnfWg6 +ftj5/0+k40c6fvj3gR82fqTjRzp+pOOHjR/p+JGOHz5/8cPGD/fED/fED/fE +Dxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM98cM98cM98cPGD/fED/fED/fE +Dxs/3BM/3BM/3BM/bPxwT/xwT/xwT/zwfPGj9+n0fTzP4kfvl2r8sPHDxg8b +P9Lxw8YPGz9s/LDxo//+pX6OH/14azV+9ONv1fjRH89rNX6k44eNHzZ+2Phh +40c6ftj44fHxo5/fXo0f/XyPavzo5//U+NH3qI4fNn7Y+GHjh40fNn7Y+GHj +h3vhR9/3vRo/+t5PjR99/49q/EjHDxs/+vOtOn74+PHDxo90/PD4+JGOHzZ+ +pONHOn7498G/Nn6k40c6fqTjh40f6fiRjh8+f/HDxg/3xA/3xA/3xA8bP9wT +P9wTP9wTP2z8cE/8cE/8cE/8sPHDPfHDPfHDPfHDxg/3xA/3xA/3xA8bP9wT +P9wTP9wTP2z8cE/8cE/8cE/88Hzxo/fp9Ot5XsWP3i/V+GHjh40fNn6k44eN +HzZ+2Phh40f//Uv9HD/68dZq/OjH36rxoz+e12r8SMcPGz9s/LDxw8aPdPyw +8cPj40c/v70aP/r5HtX40c//qfGj71EdP2z8sPHDxg8bP2z8sPHDxg/3wo++ +73s1fvS9nxo/+v4f1fiRjh82fvTnW3X88PHjh40f6fjh8fEjHT9s/EjHj3T8 +8O+Dv3Obf9PxIx0/0vHDxo90/EjHD5+/+GHjh3vih3vih3vih40f7okf7okf +7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf +7okfNn64J364J364J354vvjR+3T63uXz8yh+9H6pxg8bP2z8sPEjHT9s/LDx +w8YPGz/671/q5/jRj7dW40c//laNH/3xvFbjRzp+2Phh44eNHzZ+pOOHjR8e +Hz/6+e3V+NHP96jGj37+T40ffY/q+GHjh40fNn7Y+GHjh40fNn64F370fd+r +8aPv/dT40ff/qMaPdPyw8aM/36rjh48fP2z8SMcPj48f6fhh40c6fqTjh38f ++GHzd5+OH+n4kY4fNn6k40c6fvj8xQ8bP9wTP9wTP9wTP2z8cE/8cE/8cE/8 +sPHDPfHDPfHDPfHDxg/3xA/3xA/3xA8bP9wTP9wTP9wTP2z8cE/8cE/8cE/8 +sPHDPfHDPfHDPfHD88WP3qfTP/0LPz9v4kfvl2r8sPHDxg8bP9Lxw8YPGz9s +/LDxo//+pX6OH/14azV+9ONv1fjRH89rNX6k44eNHzZ+2Phh40c6ftj44fHx +o5/fXo0f/XyPavzo5//U+NH3qI4fNn7Y+GHjh40fNn7Y+GHjh3vhR9/3vRo/ ++t5PjR99/49q/EjHDxs/+vOtOn74+PHDxo90/PD4+JGOHzZ+pONHOn7494Ef +Nn6k40c6fqTjh40f6fiRjh8+f/HDxg/3xA/3xA/3xA8bP9wTP9wTP9wTP2z8 +cE/8cE/8cE/8sPHDPfHDPfHDPfHDxg/3xA/3xA/3xA8bP9wTP9wTP9wTP2z8 +cE/8cE/8cE/88Hzxo/fp9E/+2s/Pk/jR+6UaP2z8sPHDxo90/LDxw8YPGz9s +/Oi/f6mf40c/3lqNH/34WzV+9MfzWo0f6fhh44eNHzZ+2PiRjh82fnh8/Ojn +t1fjRz/foxo/+vk/NX70Parjh40fNn7Y+GHjh40fNn7Y+OFe+NH3fa/Gj773 +U+NH3/+jGj/S8cPGj/58q44fPn78sPEjHT88Pn6k44eNH+n4kY4f/n3gh40f +6fiRjh/p+GHjRzp+pOOHz1/8sPHDPfHDPfHDPfHDxg/3xA/3xA/3xA8bP9wT +P9wTP9wTP2z8cE/8cE/8cE/8sPHDPfHDPfHDPfHDxg/3xA/3xA/3xA8bP9wT +P9wTP9wTPzxf/Oh9On3lJz4/L+JH75dq/LDxw8YPGz/S8cPGDxs/bPyw8aP/ +/qV+jh/9eGs1fvTjb9X40R/PazV+pOOHjR82ftj4YeNHOn7Y+OHx8aOf316N +H/18j2r86Of/1F8+L/702KM6ftj4YeOHjR82ftj4YeOHjR/uhR993/dq/Oh7 +P3W+17nt/1GNH+n4Yed7EdrzrTp++Pjxw859hej44fFz3S8dP+x8Lp+OH+n4 +4d9H/u+g6byvTcePdPxIxw8bP9LxIx0/fP7ih40f7okf7okf7okfNn64J364 +J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364 +J364J37Y+OGe+OGe+OGe+OH54kfv0+kf/9nPz4P40fulGj9s/LDxw8aPdPyw +8cPGDxs/bPzov3+pn+NHP95ajR/9+Fs1fvTH81qNH+n4YeOHjR82ftj4kY4f +Nn54fPzo57dX40c/36MaP/r5PzV+9D2q44eNHzZ+2Phh44eNHzZ+2PjhXvjR +932vxo++91PjR9//oxo/0vHDxo/+fKuOHz5+/LDxIx0/PD5+pOOHjR/p+JGO +H/594IeNH+n4kY4f6fhh40c6fqTjh89f/LDxwz3xwz3xwz3xw8YP98QP98QP +98QPGz/cEz/cEz/cEz9s/HBP/HBP/HBP/LDxwz3xwz3xwz3xw8YP98QP98QP +98QPGz/cEz/cEz/cEz88X/zofTr9Y9/++b97/Oj9Uo0fNn7Y+GHjRzp+2Phh +44eNHzZ+9N+/1M/xox9vrcaPfvytGj/643mtxo90/LDxw8YPGz9s/EjHDxs/ +PD5+9PPbq/Gjn+9RjR/9/J8aP/oe1fHDxg8bP2z8sPHDxg8bP2z8cC/86Pu+ +V+NH3/up8aPv/1GNH+n4YeNHf75Vxw8fP37Y+JGOHx4fP9Lxw8aPdPxIxw// +PvDDxo90/EjHj3T8sPEjHT/S8cPnL37Y+OGe+OGe+OGe+GHjh3vih3vih3vi +h40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vi +h40f7okf7okf7okfni9+9D6dvvt3fv7vHD96v1Tjh40fNn7Y+JGOHzZ+2Phh +44eNH/33L/Vz/OjHW6vxox9/q8aP/nheq/EjHT9s/LDxw8YPGz/S8cPGD4+P +H/389mr86Od7VONHP/+nxo++R3X8sPHDxg8bP2z8sPHDxg8bP9wLP/q+79X4 +0fd+avzo+39U40c6ftj40Z9v1fHDx48fNn6k44fHx490/LDxIx0/0vHDvw/8 +sPEjHT/S8SMdP2z8SMePdPzw+YsfNn64J364J364J37Y+OGe+OGe+OGe+GHj +h3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+GHj +h3vih3vih3vih+eLH71Pp3/k9vm/a/zo/VKNHzZ+2Phh40c6ftj4YeOHjR82 +fvTfv9TP8aMfb63Gj378rRo/+uN5rcaPdPyw8cPGDxs/bPxIxw8bPzw+fvTz +26vxo5/vUY0f/fyfGj/6HtXxw8YPGz9s/LDxw8YPGz9s/HAv/Oj7vlfjR9/7 +qfGj7/9RjR/p+GHjR3++VccPHz9+2PiRjh8eHz/S8cPGj3T8SMcP/z7ww8aP +dPxIx490/LDxIx0/0vHD5y9+2Pjhnvjhnvjhnvhh44d74od74od74oeNH+6J +H+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh44d74od74od74oeNH+6J +H+6JH+6JH54vfvQ+nb7r6z7/d4wfvV+q8cPGDxs/bPxIxw8bP2z8sPHDxo/+ ++5f6OX70463V+NGPv1XjR388r9X4kY4fNn7Y+GHjh40f6fhh44fHx49+fns1 +fvTzParxo5//U+NH36M6ftj4YeOHjR82ftj4YeOHjR/uhR993/dq/Oh7PzV+ +9P0/qvEjHT9s/OjPt+r44ePHDxs/0vHD4+NHOn7Y+JGOH+n44d8Hftj4kY4f +6fiRjh82fqTjRzp++PzFDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM98cM9 +8cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM98cM9 +8cM98cPzxY/ep9N3fvXzf7f40fulGj9s/LDxw8aPdPyw8cPGDxs/bPzov3+p +n+NHP95ajR/9+Fs1fvTH81qNH+n4YeOHjR82ftj4kY4fNn54fPzo57dX40c/ +36MaP/r5PzV+9D2q44eNHzZ+2Phh44eNHzZ+2PjhXvjR932vxo++91PjR9// +oxo/0vHDxo/+fKuOHz5+/LDzPXh0/PD4+Z4KOn7Y+JGOH+n44d9H7tuRxo90 +/EjHj3T8sPEjHT/S8cPnL37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf +7okf7okfNn64J364J364J37Y+OGe+OGe+OGe+GHjh3vih3vih3vih40f7okf +7okf7okfni9+9D6dfsXv/fzfKX70fqnGDxs/bPyw8SMdP2z8sPHDxg8bP/rv +X+rn+NGPt1bjRz/+Vo0f/fG8VuNHOn7Y+GHjh40fNn6k44eNHx4fP/r57dX4 +0c/3qMaPfv5PjR99j+r4YeOHjR82ftj4YeOHjR82frgXfvR936vxo+/91PjR +9/+oxo90/LDxoz/fquOHjx8/bPxIxw+Pjx/p+GHjRzp+pOOHfx/4YeNHOn6k +40c6ftj4kY4f6fjh8xc/bPxwT/xwT/xwT/yw8cM98cM98cM98cPGD/fED/fE +D/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw8cM98cM98cM98cPGD/fED/fE +D/fED88XP3qfTr/8r37+7xI/er9U44eNHzZ+2PiRjh82ftj4YeOHjR/99y/1 +c/zox1ur8aMff6vGj/54XqvxIx0/bPyw8cPGDxs/0vHDxg+Pjx/9/PZq/Ojn +e1TjRz//p8aPvkd1/LDxw8YPGz9s/LDxw8YPGz/cCz/6vu/V+NH3fmr86Pt/ +VONHOn7Y+NGfb9Xxw8ePHzZ+pOOHx8ePdPyw8SMdP9Lxw78P/LDxIx0/0vEj +HT9s/EjHj3T88PmLHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh44d74od74od7 +4oeNH+6JH+6JH+6JHzZ+uCd+uCd+uCd+2Pjhnvjhnvjhnvhh44d74od74od7 +4ofnix+9T6fv+K7P/x3iR++Xavyw8cPGDxs/0vHDxg8bP2z8sPGj//6lfo4f +/XhrNX7042/V+NEfz2s1fqTjh40fNn7Y+GHjRzp+2Pjh8fGjn99ejR/9fI9q +/Ojn/9T40feojh82ftj4YeOHjR82ftj4YeOHe+FH3/e9Gj/63k+NH33/j2r8 +SMcPGz/68606fvj48cPGj3T88Pj4kY4fNn6k40c6fvj3gR82fqTjRzp+pOOH +jR/p+JGOHz5/8cPGD/fED/fED/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/yw +8cM98cM98cM98cPGD/fED/fED/fEDxs/3BM/3BM/3BM/bPxwT/xwT/xwT/zw +fPGj9+n07b/78+7xo/dLNX7Y+GHjh40f6fhh44eNHzZ+2PjRf/9SP8ePfry1 +Gj/68bdq/OiP57UaP9Lxw8YPGz9s/LDxIx0/bPzw+PjRz2+vxo9+vkc1fvTz +f2r86HtUxw8bP2z8sPHDxg8bP2z8sPHDvfCj7/tejR9976fGj77/RzV+pOOH +jR/9+VYdP3z8+GHjRzp+eHz8SMcPGz/S8SMdP/z7wA8bP9LxIx0/0vHDxo90 +/EjHD5+/+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe ++OGe+OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J354vvjR ++3T6+3/q887xo/dLNX7Y+GHjh40f6fhh44eNHzZ+2PjRf/9SP8ePfry1Gj/6 +8bdq/OiP57UaP9Lxw8YPGz9s/LDxIx0/bPzw+PjRz2+vxo9+vkc1fvTzf2r8 +6HtUxw8bP2z8sPHDxg8bP2z8sPHDvfCj7/tejR9976fGj77/RzV+pOOHjR/9 ++VYdP3z8+GHjRzp+eHz8SMcPGz/S8SMdP/z7wA8bP9LxIx0/0vHDxo90/EjH +D5+/+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe ++OGe+GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J354vvjR+3T6 +tr/j867xo/dLNX7Y+GHjh40f6fhh44eNHzZ+2PjRf/9SP8ePfry1Gj/68bdq +/OiP57UaP9Lxw8YPGz9s/LDxIx0/bPzw+PjRz2+vxo9+vkc1fvTzf2r86HtU +xw8bP2z8sPHDxg8bP2z8sPHDvfCj7/tejR9976fGj77/RzV+pOOHjR/9+VYd +P3z8+GHjRzp+eHz8SMcPGz/S8SMdP/z7wA8bP9LxIx0/0vHDxo90/EjHD5+/ ++GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J37Y+OGe+OGe+OGe ++GHjh3vih3vih3vih40f7okf7okf7okfNn64J364J364J354vvjR+3T61h/8 +vGP86P1SjR82ftj4YeNHOn7Y+GHjh40fNn7037/Uz/GjH2+txo9+/K0aP/rj +ea3Gj3T8sPHDxg8bP2z8SMcPGz88Pn7089ur8aOf71GNH/38nxo/+h7V8cPG +Dxs/bPyw8cPGDxs/bPxwL/zo+75X40ff+6nxo+//UY0f6fhh40d/vlXHDx8/ +ftj4kY4fHh8/0vHDxo90/EjHD/8+8MPGj3T8SMePdPyw8SMdP9Lxw+cvftj4 +4Z744Z744Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR82frgnfrgnfrgnftj4 +4Z744Z744Z74YeOHe+KHe+KHe+KHjR/uiR/uiR/uiR+eL370Pp2+5b/4vFv8 +6P1SjR82ftj4YeNHOn7Y+GHjh40fNn7037/Uz/GjH2+txo9+/K0aP/rjea3G +j3T8sPHDxg8bP2z8SMcPGz88Pn7089ur8aOf71GNH/38nxo/+h7V8cPGDxs/ +bPyw8cPGDxs/bPxwL/zo+75X40ff+6nxo+//UY0f6fhh40d/vlXHDx8/ftj4 +8f93df8q8K1nHcU3EhEkIBwEIZiIKIKlN+AtxF5yA7mG9CH9dLkBe22sBoaR +6WcsB6YR60guwBSz1nr2kzSHxeTMu98ve/hA/pyfrR+djx+2ftT4YeuHrR/9 +PvCjxg9bP2z9sPWjxg9bP2z96P3Fjxo/2hM/2hM/2hM/avxoT/xoT/xoT/yo +8aM98aM98aM98aPGj/bEj/bEj/bEjxo/2hM/2hM/2hM/avxoT/xoT/xoT/yo +8aM98aM98aM98aP74sfu4/jHP/3upB+7L9P4UeNHjR81ftj6UeNHjR81ftT4 +sb//Op/jxz7vNo0f+/z7NH7s53lM44etHzV+1PhR40eNH7Z+1PjR+fix7/ec +xo9939c0fuz7nxo/9h7T+lHjR40fNX7U+FHjR40fNX60F37sfd/T+LH3PjV+ +7P0/0/hh60eNH/t9m9aPnh8/avyw9aPz8cPWjxo/bP2w9aPfB37U+GHrh60f +tn7U+GHrh60fvb/4UeNHe+JHe+JHe+JHjR/tiR/tiR/tiR81frQnfrQnfrQn +ftT40Z740Z740Z74UeNHe+JHe+JHe+JHjR/tiR/tiR/tiR81frQnfrQnfrQn +fnRf/Nh9HP/wL99d9GP3ZRo/avyo8aPGD1s/avyo8aPGjxo/9vdf53P82Ofd +pvFjn3+fxo/9PI9p/LD1o8aPGj9q/Kjxw9aPGj86Hz/2/Z7T+LHv+5rGj33/ +U+PH3mNaP2r8qPGjxo8aP2r8qPGjxo/2wo+973saP/bep8aPvf9nGj9s/ajx +Y79v0/rR8+NHjR+2fnQ+ftj6UeOHrR+2fvT7wI8aP2z9sPXD1o8aP2z9sPWj +9xc/avxoT/xoT/xoT/yo8aM98aM98aM98aPGj/bEj/bEj/bEjxo/2hM/2hM/ +2hM/avxoT/xoT/xoT/yo8aM98aM98aM98aPGj/bEj/bEj/bEj+6LH7uP4+9/ ++91BP3ZfpvGjxo8aP2r8sPWjxo8aP2r8qPFjf/91PsePfd5tGj/2+fdp/NjP +85jGD1s/avyo8aPGjxo/bP2o8aPz8WPf7zmNH/u+r2n82Pc/NX7sPab1o8aP +Gj9q/Kjxo8aPGj9q/Ggv/Nj7vqfxY+99avzY+3+m8cPWjxo/9vs2rR89P37U ++GHrR+fjh60fNX7Y+mHrR78P/Kjxw9YPWz9s/ajxw9YPWz96f/Gjxo/2xI/2 +xI/2xI8aP9oTP9oTP9oTP2r8aE/8aE/8aE/8qPGjPfGjPfGjPfGjxo/2xI/2 +xI/2xI8aP9oTP9oTP9oTP2r8aE/8aE/8aE/86L74sfs4/vZ/v/fWj92Xafyo +8aPGjxo/bP2o8aPGjxo/avzY33+dz/Fjn3ebxo99/n0aP/bzPKbxw9aPGj9q +/Kjxo8YPWz9q/Oh8/Nj3e07jx77vaxo/9v1PjR97j2n9qPGjxo8aP2r8qPGj +xo8aP9oLP/a+72n82HufGj/2/p9p/LD1o8aP/b5N60fPjx81ftj60fn4YetH +jR+2ftj60e8DP2r8sPXD1g9bP2r8sPXD1o/eX/yo8aM98aM98aM98aPGj/bE +j/bEj/bEjxo/2hM/2hM/2hM/avxoT/xoT/xoT/yo8aM98aM98aM98aPGj/bE +j/bEj/bEjxo/2hM/2hM/2hM/ui9+7D6On/3T9576sfsyjR81ftT4UeOHrR81 +ftT4UeNHjR/7+6/zOX7s827T+LHPv0/jx36exzR+2PpR40eNHzV+1Phh60eN +H52PH/t+z2n82Pd9TePHvv+p8WPvMa0fNX7U+FHjR40fNX7U+FHjR3vhx973 +PY0fe+9T48fe/zONH7Z+1Pix37dp/ej58aPGD1s/Oh8/bP2o8cPWD1s/+n3g +R40ftn7Y+mHrR40ftn7Y+tH7ix81frQnfrQnfrQnftT40Z740Z740Z74UeNH +e+JHe+JHe+JHjR/tiR/tiR/tiR81frQnfrQnfrQnftT40Z740Z740Z74UeNH +e+JHe+JHe+JH98WP3cfx17/63ks/dl+m8aPGjxo/avyw9aPGjxo/avyo8WN/ +/3U+x4993m0aP/b592n82M/zmMYPWz9q/Kjxo8aPGj9s/ajxo/PxY9/vOY0f ++76vafzY9z81fuw9pvWjxo8aP2r8qPGjxo8aP2r8aC/82Pu+p/Fj731q/Nj7 +f6bxw9aPGj/2+zatHz0/ftT4YetH5+OHrR81ftj6YetHvw/8qPHD1g9bP2z9 +qPHD1g9bP3p/8aPGj/bEj/bEj/bEjxo/2hM/2hM/2hM/avxoT/xoT/xoT/yo +8aM98aM98aM98aPGj/bEj/bEj/bEjxo/2hM/2hM/2hM/avxoT/xoT/xoT/zo +vvix+zh+8vjeQz92X6bxo8aPGj9q/LD1o8aPGj9q/KjxY3//dT7Hj33ebRo/ +9vn3afzYz/OYxg9bP2r8qPGjxo8aP2z9qPGj8/Fj3+85jR/7vq9p/Nj3PzV+ +7D2m9aPGjxo/avyo8aPGjxo/avxoL/zY+76n8WPvfWr82Pt/pvHD1o8aP/b7 +Nq0fPT9+1Phh60fn44etHzV+2Pph60e/D/yo8cPWD1s/bP2o8cPWD1s/en/x +o8aP9sSP9sSP9sSPGj/aEz/aEz/aEz9q/GhP/GhP/GhP/Kjxoz3xoz3xoz3x +o8aP9sSP9sSP9sSPGj/aEz/aEz/aEz9q/GhP/GhP/GhP/Oi++LH7OP7qh+9z +68fuyzR+1PhR40eNH7Z+1PhR40eNHzV+7O+/zuf4sc+7TePHPv8+jR/7eR7T ++GHrR40fNX7U+FHjh60fNX50Pn7s+z2n8WPf9zWNH/v+p8aPvce0ftT4UeNH +jR81ftT4UeNHjR/thR973/c0fuy9T40fe//PNH7Y+lHjx37fpvWj58ePGj9s +/eh8/LD1o8YPWz9s/ej3gR81ftj6YeuHrR81ftj6YetH7y9+1PjRnvjRnvjR +nvhR40d74kd74kd74keNH+2JH+2JH+2JHzV+tCd+tCd+tCd+1PjRnvjRnvjR +nvhR40d74kd74kd74keNH+2JH+2JH+2JH90XP3Yfx1/+4vuc+rH7Mo0fNX7U ++FHjh60fNX7U+FHjR40f+/uv8zl+7PNu0/ixz79P48d+nsc0ftj6UeNHjR81 +ftT4YetHjR+djx/7fs9p/Nj3fU3jx77/qfFj7zGtHzV+1PhR40eNHzV+1PhR +40d74cfe9z2NH3vvU+PH3v8zjR+2ftT4sd+3af3o+fGjxg9bPzofP2z9qPHD +1g9bP/p94EeNH7Z+2Pph60eNH7Z+2PrR+4sfNX60J360J360J37U+NGe+NGe ++NGe+FHjR3viR3viR3viR40f7Ykf7Ykf7YkfNX60J360J360J37U+NGe+NGe ++NGe+FHjR3viR3viR3viR/fFj93H8cO/fZ9LP3ZfpvGjxo8aP2r8sPWjxo8a +P2r8qPFjf/91PsePfd5tGj/2+fdp/NjP85jGD1s/avyo8aPGjxo/bP2o8aPz +8WPf7zmNH/u+r2n82Pc/NX7sPab1o8aPGj9q/Kjxo8aPGj9q/Ggv/Nj7vqfx +Y+99avzY+3+m8cPWjxo/9vs2rR89P37U+GHrR+fjh60fNX7Y+mHrR78P/Kjx +w9YPWz9s/ajxw9YPWz96f/Gjxo/2xI/2xI/2xI8aP9oTP9oTP9oTP2r8aE/8 +aE/8aE/8qPGjPfGjPfGjPfGjxo/2xI/2xI/2xI8aP9oTP9oTP9oTP2r8aE/8 +aE/8aE/86L74sfs4/uL33+fQj92Xafyo8aPGjxo/bP2o8aPGjxo/avzY33+d +z/Fjn3ebxo99/n0aP/bzPKbxw9aPGj9q/Kjxo8YPWz9q/Oh8/Nj3e07jx77v +axo/9v1PjR97j2n9qPGjxo8aP2r8qPGjxo8aP9oLP/a+72n82HufGj/2/p9p +/LD1o8aP/b5N60fPjx81ftj60fn4YetHjR+2ftj60e8DP2r8sPXD1g9bP2r8 +sPXD1o/eX/yo8aM98aM98aM98aPGj/bEj/bEj/bEjxo/2hM/2hM/2hM/avxo +T/xoT/xoT/yo8aM98aM98aM98aPGj/bEj/bEj/bEjxo/2hM/2hM/2hM/ui9+ +7D6OH//z91z92H2Zxo8aP2r8qPHD1o8aP2r8qPGjxo/9/df5HD/2ebdp/Njn +36fxYz/PYxo/bP2o8aPGjxo/avyw9aPGj87Hj32/5zR+7Pu+pvFj3//U+LH3 +mNaPGj9q/Kjxo8aPGj9q/Kjxo73wY+/7nsaPvfep8WPv/5nGD1s/avzY79u0 +fvT8+FHjh60fnY8ftn7U+GHrh60f/T7wo8YPWz9s/bD1o8YPWz9s/ej9xY8a +P9oTP9oTP9oTP2r8aE/8aE/8aE/8qPGjPfGjPfGjPfGjxo/2xI/2xI/2xI8a +P9oTP9oTP9oTP2r8aE/8aE/8aE/8qPGjPfGjPfGjPfGj++LH7uP48998z9GP +3Zdp/Kjxo8aPGj9s/ajxo8aPGj9q/Njff53P8WOfd5vGj33+fRo/9vM8pvHD +1o8aP2r8qPGjxg9bP2r86Hz82Pd7TuPHvu9rGj/2/U+NH3uPaf2o8aPGjxo/ +avyo8aPGjxo/2gs/9r7vafzYe58aP/b+n2n8sPWjxo/9vk3rR8+PHzV+2PrR ++fhh60eNH7Z+2PrR7wM/avyw9cPWD1s/avyw9cPWj95f/Kjxoz3xoz3xoz3x +o8aP9sSP9sSP9sSPGj/aEz/aEz/aEz9q/GhP/GhP/GhP/Kjxoz3xoz3xoz3x +o8aP9sSP9sSP9sSPGj/aEz/aEz/aEz+6L37sPo4/++/v9+rH7ss0ftT4UeNH +jR+2ftT4UeNHjR81fuzvv87n+LHPu03jxz7/Po0f+3ke0/hh60eNHzV+1PhR +44etHzV+dD5+7Ps9p/Fj3/c1jR/7/qfGj73HtH7U+FHjR40fNX7U+FHjR40f +7YUfe9/3NH7svU+NH3v/zzR+2PpR48d+36b1o+fHjxo/bP3ofPyw9aPGD1s/ +bP3o94EfNX7Y+mHrh60fNX7Y+mHrR+8vftT40Z740Z740Z74UeNHe+JHe+JH +e+JHjR/tiR/tiR/tiR81frQnfrQnfrQnftT40Z740Z740Z74UeNHe+JHe+JH +e+JHjR/tiR/tiR/tiR/dFz92H8eP/ub7Pfqx+zKNHzV+1PhR44etHzV+1PhR +40eNH/v7r/M5fuzzbtP4sc+/T+PHfp7HNH7Y+lHjR40fNX7U+GHrR40fnY8f ++37PafzY931N48e+/6nxY+8xrR81ftT4UeNHjR81ftT4UeNHe+HH3vc9jR97 +71Pjx97/M40ftn7U+LHft2n96Pnxo8YPWz86Hz9s/ajxw9YPWz/6feBHjR+2 +ftj6YetHjR+2ftj60fuLHzV+tCd+tCd+tCd+1PjRnvjRnvjRnvhR40d74kd7 +4kd74keNH+2JH+2JH+2JHzV+tCd+tCd+tCd+1PjRnvjRnvjRnvhR40d74kd7 +4kd74kf3xY/dx/Env/z+ffqx+zKNHzV+1PhR44etHzV+1PhR40eNH/v7r/M5 +fuzzbtP4sc+/T+PHfp7HNH7Y+lHjR40fNX7U+GHrR40fnY8f+37PafzY931N +48e+/6nxY+8xrR81ftT4UeNHjR81ftT4UeNHe+HH3vc9jR9771Pjx97/M40f +tn7U+LHft2n96Pnxo8YPWz86Hz9s/ajxw9YPWz/6feBHjR+2ftj6YetHjR+2 +ftj60fuLHzV+tCd+tCd+tCd+1PjRnvjRnvjRnvhR40d74kd74kd74keNH+2J +H+2JH+2JHzV+tCd+tCd+tCd+1PjRnvjRnvjRnvhR40d74kd74kd74kf3xY/d +x/H///H99+nH7ss0ftT4UeNHjR+2ftT4UeNHjR81fuzvv87n+LHPu03jxz7/ +Po0f+3ke0/hh60eNHzV+1PhR44etHzV+dD5+7Ps9p/Fj3/c1jR/7/qfGj73H +tH7U+FHjR40fNX7U+FHjR40f7YUfe9/3NH7svU+NH3v/zzR+2PpR48d+36b1 +o+fHjxo/bP3ofPyw9aPGD1s/bP3o94EfNX7Y+mHrh60fNX7Y+mHrR+8vftT4 +0Z740Z740Z74UeNHe+JHe+JHe+JHjR/tiR/tiR/tiR81frQnfrQnfrQnftT4 +0Z740Z740Z74UeNHe+JHe+JHe+JHjR/tiR/tiR/tiR/dFz92+6/f5cfuyzR+ +1PhR40eNH7Z+1PhR40eNHzV+7O+/zuf4sc+7TePHPv8+jR/7eR7T+GHrR40f +NX7U+FHjh60fNX50Pn7s+z2n8WPf9zWNH/v+p8aPvce0ftT4UeNHjR81ftT4 +UeNHjR/thR973/c0fuy9T40fe//PNH7Y+lHjR/vgh60fPT9+1Phh60fn44et +HzV+2Pph64etHzV+2Pph64etHzV+2Pph64etHzV+tCd+tCd+tCd+1PjRnvjR +nvjRnvhR/90f/Tne+NGe/7n/nD39qH++/xwM/WjP/9n/nFr9qPGjPf9r/3NB +9KM9/3X///b0oz3/b//vavWjPX+9/3tv/WjPn+7/XEo/2vPftxt//Nc/AJ0s +1a0= + "]], {}}, + Axes->{False, False}, + AxesLabel->{None, None}, + AxesOrigin->{Automatic, Automatic}, + DisplayFunction->Identity, + Frame->True, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + ImagePadding->All, + ImageSize->{422.0000000000004, Automatic}, + Method->{ + "GridLinesInFront" -> True, "ScalingFunctions" -> None, + "TransparentPolygonMesh" -> True, "AxesInFront" -> True}, + PlotRange->{All, All}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.02]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{ + 3.8432993215265713`*^9, {3.8432993595249243`*^9, 3.843299460128126*^9}, + 3.843299608190527*^9, {3.84330069495892*^9, 3.843300708260734*^9}}, + CellLabel-> + "Out[231]=",ExpressionUUID->"df893735-eb2f-4fa8-937b-dbb343a52a39"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{ + RowBox[{"complexField", "=", "0.2"}], ";", + RowBox[{"ComplexPlot", "[", + RowBox[{ + RowBox[{ + RowBox[{ RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "7", "]"}], "]"}], "/.", "m71"}], ",", + RowBox[{"RFC", "[", + RowBox[{"NF", "[", "9", "]"}], "]"}], "[", "\[Theta]", "]"}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "9", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "9", "]"}]}], ",", + RowBox[{ + RowBox[{"{", + RowBox[{"\[Theta]", ",", + RowBox[{"\[Theta]c", "-", "complexField", "-", + RowBox[{ + RowBox[{"complexField", "/", "GoldenRatio"}], " ", + "\[ImaginaryI]"}]}], ",", + RowBox[{"\[Theta]c", "+", "complexField", "+", + RowBox[{ + RowBox[{"complexField", "/", "GoldenRatio"}], " ", + "\[ImaginaryI]"}]}]}], "}"}], "/.", + RowBox[{"S", "[", "9", "]"}]}], ",", + RowBox[{"PlotPoints", "->", "100"}], ",", + RowBox[{"Exclusions", "->", "None"}], ",", + RowBox[{"ColorFunction", "\[Rule]", + RowBox[{"{", + RowBox[{"Automatic", ",", "\"\\""}], "}"}]}], ",", + RowBox[{"WorkingPrecision", "\[Rule]", "200"}]}], "]"}]}]], "Input", + CellChangeTimes->{{3.843297022140304*^9, 3.843297126733171*^9}, { + 3.84329717843849*^9, 3.843297291031652*^9}, {3.843297348577504*^9, + 3.8432973699290857`*^9}, {3.8432974358593683`*^9, + 3.8432974606587048`*^9}, {3.843298527030644*^9, 3.843298537942421*^9}, { + 3.8432986698817577`*^9, 3.843298673632732*^9}, {3.843298708066329*^9, + 3.843298734082752*^9}, {3.84329887522934*^9, 3.843298884636981*^9}, { + 3.8432990285119133`*^9, 3.843299070623949*^9}, {3.843299104353858*^9, + 3.843299155729685*^9}, {3.8432991857553368`*^9, 3.8432992325230703`*^9}, + 3.843299287836753*^9, {3.8432995837138557`*^9, 3.843299589049782*^9}, { + 3.84330066146233*^9, 3.843300680837678*^9}}, + CellLabel-> + "In[228]:=",ExpressionUUID->"9985bed4-dad9-41a8-a4a0-bf515be7111c"], + +Cell[BoxData[ + GraphicsBox[{GraphicsComplexBox[CompressedData[" +1:eJxE3f0f33O9x/EtnYSOKFokueq47mCRQi1Jwk61rKStaaxJ4uSG6uQiypEk +rVxUmrkeY2azDI2liZTIjTi7WS5zleTqGLrQuR173p+fn763+1/wuH1v3+f3 +9V5/8iHjprxqxIgRq208YsT/f247YdaE02599n1v3vmRZ+aev2jRmbdPfO0G +9w0e+aHV51/21OCpC2+YtMOI5+rfbv2VVX612uDRMzdfsNf6g3+0zn2TH9h6 +8D+nfX/Vg3cePGXFXa/567jBvznypSkn7Dd462cvXX2NwwafMXXfa8/+5uB/ +LH3j57c8bfB+425a45oLBt9803/9fNcrB//7Tu846I4bB58274FR+949+G8b +n7b4z48O/uz03Q756ouDb3rD39d+zUr/W2/5rTk3fn+twT/4x+RD37bZ4JcO +fdO6l75n8KTHbr55+z0G/3LiUYf/8tODN79jq/XHHTR42m5/vOXeIwe/cO0Z +X/nCyYMnjt5joxenD1580cu3ffOywZuuO+9rqy8afMoPpmx81m2Dn3/tWnds +dv/gTx99y9ELnh58/XPHbLbLyOfrjT8/+q7frT745HsfOXbiBoOf+/iPt/zT +NoM/dfPYJUd8YPCi9448foW9Br99/vytvrf/4JM2PWDpOocPfuast3zr4uMH +f3KN20Zvd/rga0887r5fXDh4w39ue9JHFgw+8bDHt1t60+CnHv/Jgwf8z+Dx +kz763ecfG/yzO1d4z3EvDV5/9wUPr7rysvqERQdOO3PtwU++c92dNtl88Mdn +3f7Y/B0GX/224099/56D33ba9mNunTD4+JX//MQ+Xxz8xDEzznj0qMEfe37c +Bw777uAFB77mqREzBr/1/qt/fPKcwd8Y/8Vd1/754Md/vd6zF/5u8EfG3Dl9 +9AODf/rTEz7882cGv2XzHZ7f81Uv1Mee/Zezl7xh8KNrnrvn5zYcPPak8S8+ +O3rwFSNWOv+YXQavdcTCj7xu/OBjnjjkbz+cMvjhfTec+fYjBu9x113j5v33 +4Ll7fPvl954xeNT1O836zczBR233zPi9rxr80CXnj3z4V4M/vP7es7+0ZPCc +01f51MuPD17zdYtefdJfB3/t2EMvH7XKi/UDy94+4fy3DP7QQUtW3HqLwbMf ++M4V1+44+I2fHDNp97GDv3rLcyvfPXHwfe+feeV+Bw/+4IJ9Jj999OBLtlh1 +1aNOGbz6uddfvdLZg7886vApp18++A/f2WT1Da8f/IFXLV045/bBF3/5lAN2 +fHDw65/ceY2bnx18+ORli8av8FJ9z90Xf+HBNw5+/9iJow7ZaPCZv1ht8d/e +OVg/3rv1H/9zlZFDP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/2of0g/Vj//UefGr/ide1H6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wf7UP6wfrx7dfff/B1V1/bfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+tA/p +B+vH5S//4clRbxr6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrRPqQfrB93PXnPQV86dGH7wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrRPqQfrB9/X7rkiV/f+rP2g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WjfUg/ +WD82uOXuAzfafOgH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60f7kH6wfuz2s98/ftQJ17QfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB/tQ/rB+nHwrDsOuPuhq9sP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1o/2If1g +/Tj1R7c/utWYoR+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH+1D+sH6cc23bvvct39yVfvB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+tE+pB+sH/d/+bcPP/TigvaD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aN9SD9Y +P14z9Tf77zR+6AfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrR/uQfrB+bPGJmx86fe6V7QfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrR/uQfrB+jPvgTZOf/tehH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf7UP6wfrx +lXf+8oEPH/jT9oP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1o31IP1g/ztpw8b7n3Ti//WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9aB/SD9aPG95w/X1/32DoB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+tH+5B+sH78 +aeSiz3zimCvaD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aP9iH9YP1Y7ZmFf5hzz7z2g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WjfUg/WD+2u/+aCSttP/SD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aN9SD9YPybc +dtU9k0+d236wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrQP6Qfrx3HXXbnPwqcvbz9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP9qH9IP146LZ85esOXboB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+tH+5B+sH5Mf/eU +ufeOm9N+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH60D+kHd7876rNzpq1y2bDfjbvfjbvfjbvf +jbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvf +jbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvf +jbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvf +jbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvf +jbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvf +jbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvfjbvf +jbvfjbvfjbvfjbvf1Qf73Vg/3rVswuxdbri0/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aB/S +D9aPb9659yUvHHlJ+8H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60T6kH6wft8/b6+JZ285qP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/2of0g/Vj3WkfnTnxLxe1H6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf7UP6 +wfrxhUP2vGC1mTPbD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aP9iH9YP24auxu5y2edGH7wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrRPqQfrB//ssUu5xzx5gvaD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aP9iH9 +4L5fu/KYGZveft7wfm3c92vjvl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92vj +vl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92vjvl8b9/3auO/Xxn2/Nu77tXHf +r437fm3c92vjvl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92vjvl8b9/3auO/X +xn2/Nu77tXHfr437fm3c92vjvl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92vj +vl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92vjvl8b9/3auO/Xxn2/Nu77tXHf +r437fm3c92vjvl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92vjvl8b9/3auO/X +xn2/Nu77tXHfr437fm3c92vjvl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92vj +vl8b9/3auO/Xxn2/Nu77tXHfr437fm3c92v1wfu1sX7MeGyH6UtPPLf9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1oH9IP1o8/3/iuM0/Z+Zz2g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WjfUg/ +WD++tPvUbY5fNqP9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1oH9IP1o+VV5z0jiemTm8/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD/ah/SD9eO8xZ/Y7GNLftx+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60D+kH +68eOX/+Pf1uw+w/bD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aP9iH9YP34/Y67bvDWhae1H6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wf7UP6wfpx8Es7rfuNLX/QfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+tA/p +B+vHilduu/bjZ32v/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aB/SD9aPMQ/vs8VZ632n/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9aB/SD9aPQz+z+0YHrnBC+8H6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60T6k +H6wf59/97nW2e+TY9oP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1o31IP1g/Zp8zbpNXf/+r7Qfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrR/uQfrB+bLTJflttP+fg9oP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1o31I +P1g/lq6183q/O2yv9oP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1o31IP1g/vrfOK36ffrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+tA/pB+vH0Zu+8n2k/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9aB/SD9aPfc59 +5feQ9oP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1o31IP1g//rp8j9V+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60 +D+kH68evlv8fpP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/Wgf0g/Wj9OX/x+9/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9aB/SD9aPbZbfw2o/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD/ah/SD9eNHy+/xth+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH+1D+sH6MWKnV94DaT9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP9qH9IP1 +Y+ry9wjbD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9aP9iH9YP24dfl76O0H6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +60f7kH6wfmy74qR3PDF1evvB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+tE+pB+sHz/Zfeo2xy+b +0X6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrQP6Qfrx8ib3nXmKTuf036wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+ +sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6w +frQP6Qfrx/zHdpi+9MRz2w/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/Wj/Yh/eDur1YeM2PT288b +9ldx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx +91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx +91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx +91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx +91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx +91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx91dx +91dx91dx91dx91dx91dx91dx91dx91f6YH8V68faW+xyzhFvvqD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1oH9IP1o/fjt3tvMWTLmw/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD/ah/SD9ePr +h+x5wWozZ7YfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB/tQ/rB+jF62kdnTvzLRe0H6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH60f7kH6wfjwyb6+LZ207q/1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/Wgf0g/u/d07 +977khSMvGe7vxr2/G/f+btz7u3Hv78a9vxv3/m7c+7tx7+/Gvb8b9/5u3Pu7 +ce/vxr2/G/f+btz7u3Hv78a9vxv3/m7c+7tx7+/Gvb8b9/5u3Pu7ce/vxr2/ +G/f+btz7u3Hv78a9vxv3/m7c+7tx7+/Gvb8b9/5u3Pu7ce/vxr2/G/f+btz7 +u3Hv78a9vxv3/m7c+7tx7+/Gvb8b9/5u3Pu7ce/vxr2/G/f+btz7u3Hv78a9 +vxv3/m7c+7tx7+/Gvb8b9/5u3Pu7ce/vxr2/G/f+btz7u3Hv78a9vxv3/m7c ++7tx7+/Gvb8b9/5u3Pu7ce/vxr2/G/f+btz7u3Hv78a9vxv3/m7c+7tx7+/G +vb8b9/5u3Pu7ce/vxr2/G/f+btz7u3Hv78a9vxv3/m7c+7tx7+/Gvb8b9/5u +3Pu7ce/vxr2/qw/u78b6seeyCbN3ueHS9oP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1o31IP1g/ +/jnqs3OmrXJZ+8H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60T6kH6wf8949Ze694+a0H6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wf7UP6wfpxxez5S9Yce3n7wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrRPqQfrB8n +X3flPgufHvrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+tE+pB/c+1e3XXXP5FPnDvev4t6/inv/ +Ku79q7j3r+Lev4p7/yru/au496/i3r+Ke/8q7v2ruPev4t6/inv/Ku79q7j3 +r+Lev4p7/yru/au496/i3r+Ke/8q7v2ruPev4t6/inv/Kv6/Iu7d1QcAAMNw +JkkSA8oibFKUYlEog1nOhCQhyW2RQQYD2SmlDCwscr8clyTkniRJJ5fjkiQM +yiBZvuf7jc9f8G5v/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx +/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx +/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx +/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx +/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1dx/1f6 +4H8V68fSd9dWj1t0rv1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/Wgf0g/Wj+m/ro+ceTPoB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+tH+5B+sH78HnNr7dC+8+0H6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH +6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfr +B+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60f7kH6w +fjybfPvt35kX2g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/Wj/Yh/WD9ODXrzroT9wb9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1oH9IP1o/9C+6+X7HlYvvB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+tE+pB+sH2uW +31//c8Kl9oP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1o31IP1g/Fg49GD1ydtAP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1o/2If1g/Zi06dGGxasutx+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH+1D+sH68W33k0+j +fwb9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1oH9IP1o+7B59tPHTsSvvB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++tE+pB+sH8ePPv8yb8nV9oP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1o31IP1g/9px+sfnV6KAf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB/tQ/rB+rFy+OXXvQeutR+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH+1D ++sH6Mffxqy2z5wy3H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf7UP6wfoxduT1t4dPB/1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/Wgf0g/Wj/ff32zduet6+8H6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6 +wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB ++sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH60T6kH6wf +w/9Gvk+dcqP9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9 +YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g +/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1oH9IP1o/DE99tu3l10A/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP +1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/W +D9YP1g/Wj/Yh/WD92D7jw48Na262H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+s +H6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf +rB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wfrB+sH6wf7UP6wfqxYv7H +HePH3Go/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9Y +P1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/ +WD9YP1g/WD9YP1g/WD9YP1g/WD/ah/SD9WPass+/zp4c9IP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1 +g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD9YP1g/WD +9YP1o31IP/g/BYilTg== + "], {{ + {Opacity[1.], Texture[ + GraphicsBox[ + TagBox[ + RasterBox[CompressedData[" +1:eJzsvXeUVlXWr/vdc8foNgdM3VqFqK1iIkoyIAiIEgwIogQVBMQAKmBAUQET +KiqiIuYsICioqKhEBRSo8BZVhQpiQEWMVR21/zsr7LX2XGvNlfa7C/zuuGOs +47Btxxkn+Hy/33zm3K+HDL2qz4j/8z//8z9jdyJ/6DPk+s5jxgwZf+5e5D/0 +Gz32sktHDx92xuhxwy8dPqb90P+X/MUm/w//X/TP261+iL3p9H30IHvT2tL3 +AHv3s3cfe1PbfkzevW3ou4e9u9mbQt7xH991/Bry7jx+zR3s3d6avttar5lM +39pJrdZOZO9W9m5pufZm9ia0XHdTi3U3sje++bobmq+7nrxm665rVnZts7Jx +x9E3tlnZmOZlY1qUXdOi7OqWZVe3Kruqddno4+kb1absyrZlV7Yru6Jd2eXt +yy/vUH5Zh/KRJ5RfemL5pSeVjzipfPjJ5cM6ll9C3inlQzuVD+lUfnHn8otO +Lb/w1PLBXcoHdy0f1LV8YLfyAaeVX3Ba+fndK/p3rzjv9Ip+Z1T0PaPi3B4V +fXpUnN2z4qxeFWf2qujdu6JX74qeZ1accVbF6WdVdD+74rSzK7qeU9GlT+Wp +fSo7nVt5yrmVJ/etPKlv5Qn9Kjv0q2x3XmWb8ypb969sdX5li/Mrm11QeewF +lUcPKBw5oHD4wMKhAwtNBhVKBxUOHFzYf3Ch0cWFRpcUGo0o7D2yau8rqvYe +VbX31VV7j63a69qqva6v2uvG9XtNWL/nrev3nLR+z9vW73nn+j2nrN/jnvV7 +TK3e4/7qPR6s3v2h6t0fqd790erdH6ve/Ynq3Z6q3u2Z6t2eq9nthZpdX6rZ +dVbNrnNqdp1bs+urNbu8VrPLgppd3qjZZWHNzm/X7PxOzc7v1uz8fs3OS2p3 +Wlq70/LanT6o3enD2p1W1f75o9o/f1z757W1f15X++fy2j9X1P6pUPunqto/ +Vdf+qabmz+TVVu+0Yf3O5H1StcsnhV0/Lez6WeVun1XsvpG88j02le/xedme +n6/bc/O6vTav3euLNeTt/eXH5DX66iP6vl69z9er9tlC3sp9vyHvQ/L2+/YD ++r5bsT99y/ffuoy8A75fyt6SA7Yt+Qt5Pyxm7/2//Pj+X398j76f3mVv0YE/ +k/dO8n55+yD63jroV/4WkldS92by6t9g73XySv9O3oLk/WM+e6+R15i8f74q +3rzG/+JvLnkHk/fvV8CbQ99/yJtNXhP6ZjX5bVYTla9iELuLIXanithtArFJ +KmKEr1sAXxyx8QAxwhdFTPDFESN8XcP5EogRvjhihK8r2lPEOF8jT6SIcb4S +xAhfpyR8JYh1oYglfHXjfJUnfJ2e8nVOz4qzVb56AL66Eb7OcfF1POGrP+Sr +kvN1GMrXcIyvG5x8PRDJ1/yaXV4HfC2q2fk9wlct5WuZ4Gul4GsN46uM8VXJ ++Fov+CJwJXwRuKp2IXB9SuCq3I3BtTuBa1OZ4Gst52vvhC8G11cEroSvfbfo +cO2XwLWcwSX44nBtE3D9YMAF+drOcP0Hg4vx9TAaYe1SvlTEKF9Ts0TYGsLX +pOIjrKUnwghfl52QRNgINcKG8ggz+eoq+DrNwhePMMkXizDAVwXhq3MfyldH +xteJgq+2kK/zGV8XVDbV+BoUydftgK/7ML6erN7t6erdnq3e7fma3V6s2fXl +ml1nJ3ztIvl6s2aXtwBfi2t3Xsr4WsHCi/C1moXXGhZeZTS8KF9VGl+Zw2u1 +PbwUuNLw2sbDy4DrRw7XuxpcBxpwHSThqssRrjkuuH57GfKVV0u0Rdhke4RN +CIgwyheMsNYgwtomEaZXxBP9FXGQsyIqfPEIE3yRCON8dYV8nZvy1d7O1xED +GF8DE74OCOHrZoOve1W+ZlTvPrN698erd0P5eqVm13miHEq+eDlkfCXlcEVS +DhO+AsqhCK/CbpIvR3ilzZDztdIMr/2V8ILNMIVLa4YYXG/HwfX3BoBL8PVw +XEtMIwwiNgVribdbWmKeEYZVRBhhGSuibQQ7UxnBOF9dGF+dGF8nY3y1PL+y ++fmVxxl8NRZ87UP4GloEXw/H8OUevmzlUA8vxhcNryoRXkk53IPxFRJe+4rw +SuD6NqwZOsauX7RmyOFaqMIl+coFrtlWuABfGVpi8aIjxwi70hZhaEXsZOfL +VhHtIxjCFxvBOF9t+lPFIfk6BvB1COPrIM7XRWF8TRZ83Q34mo7xFSI3tOFr +heBrteBLlkOH2QgJry/XqFpjddIMkfAKboZ+pxEF1/zMcDXh7zcDrt9fInw9 +ovJVfEssJsJuAhF2Q3CEhViOYTLCQipi9AiGKI72XHEYfB3F+PpbcXztIfma +pvIVIjfcw5etHMrwqlHCSzMb3vAK1hp4M3SPXTsIrlk4XIKvR/JoiRkjrJWM +sLXZImx06xjLkakiaiOY5Ot0TXGgCrEh+LpL8HW/ypdTHupyQ5bDxXYzby+H +uNnAwyvEyTuboUXIe53G9oXrZR2u3186RPCFIuZuiZlFR+YIG3tcoKiPsRxh +I9g54YpDVYhwBSb5OlLl6y+DC/sWyRcq523D15s1O7+lDl/QzAeXQ5uWzxZe +/mboGrsQp7Fj4PodwPX7i4SvGSpfOGIxLdEtOhyuPjbCokS9YjmwijhQVMTA +EcyhOKyKnq3AJF+HDiwcHMjXTYyviSpfUwVf2vIrUG6Ywxc087ZyiJsNqeUj +wwvXGs5mGOo03KsuP1wHZ4HrJQUu8tpTvmZsr5YY4uqLirAQyyEqIrIISyMs +tfTnnmEZwdyKA1X0gK/DBV8lJl+XO/m6Q/JVzfnyL78cfPHhC5h5fzk0zUZc +eGlaQ114Ic0ww9jVAHC5PTwK1391vrwt0UQsX9EBI+xGd4TZz6VcliNrRYR8 +9Q5QHFAh6iuwXPhCj6M0Oe+QG+bmSzPz7nKoavmo8LIvvEKbYaTTaAC4bDaD +vhdTuP77AuHrUSzC8muJWVx9nhEGLQe2CNMqotvSIyOYqjh0hSj44opD8JWc +cEi+/kr4ulDwdWkMX/B4wynnrXLDNPPybMNyE+U0G2Z4faSHl19rhDdD6DQa +Ai5zyWVXhTC2OFyCLxSxGF3vOZrKJjqyRJhF1JuWw1URgaU/L2YE0xSHTdFr +J1Kcr/0IX0MKjYZl5Usuv1B5iJbDd43hC5p5oxy6zQY4iIoNL0Rr2JuhUxjm +AlcxNuN3QBZ9zx/SflXCl28Qe9CJWIaWmHOEabtmu+XAF2G+iugewaDigHyh +ij454QAniBF83cb40o57bcuv8OELmnl5tqGXQ7vZkFp+M+MLDS9Ta6QLr8Bm +CC54pdNwCsMGhgsbuCBc/33+0ParZkrEYgaxiJYYedGRa4T5LYe/IkpL7xzB +HAqRK3r0hCOCr1sMvrTjKCjng+WGMnxBM4+XQ2g2AsNrtSW8Apph3Nhl2Pgd +Cteh9D0n+EIQix/E8myJiqt3nnOERpjNcvgqYsQIJj4EgwoxVfTqCgzyRT9R +ycyXuVzW5KFNbsDhSzPzWjlU1l728PocCy/DyStaA2mGQWNXmDBscLiQgYvH +Fofrv88dxviSEeYbxDy6PqIlNmSEwV2zJup1y4EuwrCKmFr6XhQx7dAXVRy4 +QjROODx8XWfhSzs+RJdfbnloDF+ucqisvQwtr36KgoWXy8lbmmHI2OWFS/3q +pKHgegGH67/PSr5mRg5iubTEqAgbny3CHKIeVkQZYbAiOi09OoKZV1KOFZg8 +4Ug+sWR8NfLyBY/nteMNi5yn8tA9fGlmHl0ro2YjIrxwrRHcDH1OoyHg0lSh +z2ZIshK4GF+PmYgFDmLFtUR1HRYqOth3K2ERZhf1QZZDVETN0usj2FnICKYo +RHBFb+VLfmJZDF+PC76gPIwavuDZRqVt7QW1PLJTdoWXU2vYmiEydnmEIQrX +a1nhClWFAK5nE7h+f+ZvjK/HUr5yG8Qyt0Td1ZsXUzERhoj6EMthHHLglt6h +OLQrRH0Fpp8gHhDC1ySVL+04ii+/oJzX5IZj+FpVuxM828DKocVswPBaGxBe +/mYYPna5bHwsXMoG2bc+dgxcgiwKF+DrseBBzH03lVdLBHf1+UQYIuptPxrA +PlfxWnplBDOuODTFARU9/BUOeYKYfsIcwtfdBl8zDb6gPAwfvlzlEDcb/vBS +nbxxCoU2w3Cn4Vh15QyXfeB6Dofr96cJX49bEMthELPfJQauw6yiwxdhyLlU +oOXwVsSAESxVHBZFL1fMkK995E9IxfClHG+Yl/Oa3LANX+bZBiiHNrOhaHlL +eFm0RngzdDsNx6orCq6MNgPvhJws9g5nfD2u8pXjIOZuieY6LMbVl6XrZneE +uUV9SEU0DjmUb8HsikNXiOoKTDtBTPm6zOLnJ4GPl/nxPDzeMJdfUB4GDl9o +OYRmw34QhZ/y6k4+tBlaVskLcaexQ+FCYou+pw4nT/AVgljsIJaxJYJPVzTR +Ybr6a9WW6IwwXdTrliOqIvpGMFNxaCswecKB83VlVr4MOa/LDWz48pdDeLOh +a/mY8FIWXjHN0CEMGwIul81wDlw8tjhcvz9J+HrCgpjDdbg3Yllaom8d5l03 +h0dYIuo1y+GsiDZLj49gquJwr8DkCWJyQg/5uqpq7zE4X+nHKdrxhinncbnh +HL4qLTdRiJa3hxe+UNbDy3oHZRu7XDa+IeAKHbiUTijh+v3JIxhfT6h8xboO +5yBm1fVmS8wgOsx1syPCcFEPzn3dFRG19OYIBhWHqRChotdOpJJPVLx8yY+/ +zOMozpcpD6HcsA1fEeUwJrxSJ69qjbQZRoxddhsPjjRi4dKXXKYqDB+4nlLg ++v0JyZcbsVDXETyI+VqiRXQ4XT39mV9LhJm7ZkTUaxEmfvRGP+QwLH2o4pCK +Xl2B5cAXXC5r8tAiNxzDl6UcamYjueY1fh4Khlew1kCFfDJ2uYVhLFzeJVf2 +gUsli8HF+HoyELFMrgPV9VEtURUd6yZkiDDzXMqMMM1yhFREcCiljWCK4rAq ++nQFlpz4yk8svXzJ4/npKl+anLfJjcByqK29EC1vCy99oYw1wyXBY5cbrgXY +eWG+cOEDl7UTcrLoe/xIxteTKl+ZXEfoIJaxJTpERxERhoh6YTk8FdGw9HIE +cyuOVNEbJ1L7XZiJL3O5rMlDKTfQzbJWDivNcqibDe0giv8wrye8Apth8Nhl +7pEj4QpXhXDgCuuEjCwKF+Vr5ZPFIRY1iBXfEk3Rga6b3RFmFfWRFdEcwfyK +I+UrUfTpCWIUX/cZfEE5b8pDKDdiy6FhNoydshpeloWyuxkCIa+OXdsZruI7 +ISeLvscIX0+FIebViUXq+qiWqIsOM8KMH5hSIswU9W7LYVRE3whmKA6Loucr +sMbwhJ7/BEcIX/L4cKaFL4vcSIcvfhZlLYdes+ELr+/S8FIWXo5maIxdVhuf +C1xOVejshGhsSbgeo++3x5oyvp4SfPkQi9GJtkEM0/Wulqiuw3TRoa2bzZ/5 +9UaYaTnURZitImqWPh3BnIoDKnrthKMovuDyC172onIjcPgyzQau5ZHwUp28 +ufDSmmGGscs8jM8AV0gndMClx1YK12/kzWxKXsJXilhD6MSwQQxpifZ1GC46 +zIupuAizW46QiqiPYBbFoazAtBPEIeAnEE2+Jht8PWTwBeW8lIdSbsDhCzXz +aDmEZsP4FMURXjHNEF0l73C4vJ0Qj60Ert8eJXw9nQ0xl05MXEeGQczbEv2i +IybC0l2zaTnCK2JvpSLCEUxTHKai5yswha9hFr5uVX/cRvIljzfk8guVh47h +yxZerBwaZiMwvICTh1rD1QzRsWt7wxXfCR9X4eJkMbgEX8UjVswg5tD1US3R +5uotEWYX9YblAF9cGhURWnp0y2xRiMdiJxxBfMGPvx5Sl8umnNfkhtwsf+gb +vlxmwwwv8B1KgNZAmqE2dilOww3Xq8FwZbEZ3k6IxxZ7R/024yjBVyBiWXSi +DTF8EPO0xCjRgUdYWz3CLjUizLYIkxVRs/SOEYwrDlMhwhVYE3iCyH8igPA1 +2smXPJ6foS6XgZxXLqNsw1doOTR3yr7wCmmGythldRpCGEbD1SQnuAI6oRpb +hCz+2q98ZrsjZhvETF0f3hIdokNz9aERBiwH8rkKqYi+EQzdMquKXjnhkHw1 +CuTrQZUvufwy5aG5WTbNvGXthYXXWjO8sIWy0BpmM7SOXcBpOIShPNKwwuXe +IAcOXK5O6Ikt+h6hj/FlItZwxj7DIAZbon8d5oywq+wRZhX1+jk9UhE1S6+N +YKhClCswumKWJ1LyE8tAvrTjDSnnoTzUzubh8BVUDjEt7wgvcGoY1gy1sUt1 +Gg64/pkzXL5OGBNbHK7fHjla8JUVMa+xV+463K4jQNdbW6IqOuIjDN01Y5bD +UxHhCAa3zP1oRTQVIlwx/1We0PNPmAlf14Tx9TjgS8p5h9zQzLy7HCpmAxxE +ecMr1RpmM3SPXYbTKBIuseSKshkeleGOLULWbw/T137ls3kg5jT2xQ5i6DfO +Sku0u/r0YioqwkxRry7C8IoovlXRRzCLQjRXzPtl5UtZfkk5D+WG3CzL4Us7 +20DWXsBs6OH1sRpeNicf0gxDnYYHLtthYaAqtHRCm8pwxtbDCVy/PcT5ygex +BhjEUF0ftA4zXH1QhBm7ZssiDKuIwtJrIxiqOBRFb/LFfyIgA19y+SXlIZQb +5vDlLoeK2VC1PB5eLq2BNkPL2IXBpdv4eLh0m+EeuCydMDC2OFyAr1jE8jL2 +tm/EnIOYqyXioiNbhKHnvs6KiIxgUHGgil58xXyQPEEM4cs8PlSXX6k8hJtl +OXxBM6+WQ33tpWr5gPBCtUbY2JUKQ/eqqxi4XAOXV2WExhZ7x/xn+jHtVz63 +4xDLNoiZLRFfh/lcvTXChKjPVhGlpbcpDk0hpitmja9Rdr6mAr7S5bJYfqny +UMgNsFlGzbzbbDjDy+nk1WaICnncaewwuCydUFEZlthKyDqakMUf42uHIBa4 +dLbpenPjjK3DsHUzjDDjnCPEcjgqorT0YgQDW2aoEM+XCpEqer5ipiccF4JP +LDlf4wy+7rbwBZdfUB7CzbI5fDnKoW42wHeUjvASWkNthvJUwzZ2ocIQgasx +Dhd6nhE7cAWqDHdsCbL+8yB9HVY+32F7IuY6ncq9JWqiIy7CMFFv3kqlFVGz +9NoIZiiOFsYKrASeIHr4qrbyBeW8lIdws2wOX1g5VMzGZlAOreEFtIavGcKx +C3caDQhXyMCVMbYUsuibdmyHD58HiD1XBGLBe2eBWLzryN4SfRdT3ghDF2Hw +1te09OYIligOQyHyFVh6IlU8X1AeQrmhDV+2cqibDfUgCoaX7uR9zVAX8ja4 +5ucNl2IzgjphVGz9Z7oG17EEroSvKMTwL1liEXO7jvBBzNYS1X8lRNISTVfv +jjDzHFG3HGpFDBrBVIWYrsDkCQfk6+qqvd18zVCXy5qcl/IQyg11+HKVQ1PL +w/CyLZTBwsvXDDGnoa+6QuBSNsjCw3sHLlsndKiM4NhK3gOErxeyIua4nnKf +dmR1HegghrVEn+hAXb07wnTLYVRE7ZADHcF0xSEUPV+B8RMOeiI1HPwEosbX +nYCvhyx8za3ZFcpDbbMsz6IMM8/KITQb4uAQHkSh4aVpjZBmqI5dllVXMFxw +g2yzGYGdsKjYAmTxx/janoiF6ETTdQQOYvaWaHX1ym8kgggLtBypRTQtvTmC +gSuOVqqiP1SecFwsTujdfE1z8ZXIQ3kZJeWGdhZlK4eolk9+VV4PL0xriM8n +lWbIhLwyduHCUD0vzA6XbeCK74RobFkKoSTrP/eTd5zgq2EQS087sujE4EFs +koGYV3TERhhqOXhF5BGmW3rtUIqMYKrigAqRr8D4ihnh63ofX3D5ZcpDKTfg +8BVYDoGW94eXrjVCmqEB1z9i4cI9vAaXOnDZOqFjcRxcCCVZ9N1H+HpRR+zD +PzJicBDzHHV4RYf53YovwkzLAb9oRi29Q3Foiv5gecIxrNDIzRf8+EtbLs8B +8lBeRqHDl14Oq2A5VLU8v+YNDq9Ua8Bm6HUaQBjKw/iMcGkDV7ZOGBJbRiGU +ZPHH+ApB7FmnUfQj5luKacY+fBDL0BIdrt4WYVDUI4swrCImh1JgBIOKI1WI +QNHTFbM8QZQ/Qe/gyzze0PjicgOe9X4cVg5Vs4GF1wojvIDWcI9diNPIDBeu +CsPg8hr4kNjSyJJwTT3u31MlXzsUMYexxxDDBjFU1+stERMd+sUUiDC3qE8s +B6iIukW0jGBScWiKXueLf8Ls4AseH5pyXpMb2vDFzbxRDsXaC2j5NLxWW8LL +oTWEkHc4DYuNj4fLajPAwGVbb/liy+Mx7tdj698UrmbkdfjwpfwQc0j7HBFz +DWL+lihEh93VKxEmds3DLKJeW4SlFfFMpCLKLbOmEKWiL+EnHGF8pce96PJL +8rW4dme5WZbDl1kO1ZsN3Wwg4aU4eawZomOXzWlocM0NhktThX4Jj3bC4Njy +kcVji8J1L32ML4jYizsOMZdOdLoOVNdbv14xI4wgFhxhmOVwVUTbCKYpRLkC +S06kHHzxH7eRx/NwuSzlPCo3+A9urAVnG26zoWl5LbwwrRE6drnh+lc0XBab +4e2EgbHlLoQWsui7h/D1skDMHmR/UMQCBzG8JUrR4Y4weC4FRT23HJZFmHLI +wUewPnQEk1tmTXFIRc9XzOmJL+TrFowvebyBynkoN3zDF7uJwsyGNbyA1tCa +oSnk07FLOg181ZXC9W8nXC5VqAxcMSoj3GNosYWRxR/jKwSx5/9IiEHXETSI +BYqOTBHmqIjyUAqOYFBxQEUvV2D0REp+ohLCF1x+oXJD/tS8GL6Uchii5V3h +5W6GjrErC1xWm6EPXHgnzBBbYaOWQda/725OnuArCDHfJXDxiDmNvct1oIMY +PerQWqI4mtJFR2SEaZYjuZWSFbGXtSKiikMqes5XciJ1ufgJjuuq9roR44sf +H8rlMi4PgdwwzDxWDn3h9Z0ML0Vr+JqhQxjmC1eGTuiNLVchbJbCpZJF3xTC +16xMiNlWYw2HWIjrsA1inpaoiQ5fhGmWAy7CtIooDznMLbOiEAdUwhXYvl6+ +7jf4gnLelBva8IWUw3TthWh5e3gJreFohnDsstl4bY8cDZdl4BK7Y7vKgLGV +RyEEZPHX4YNZcYj5t8/oj7xtT8SsgxjWEk1X74gwh6h3VUSwBUMUh1CIUtHv +J08QvXzx4yi5/DLl4ZJUbiTDl2rmsXJoXvOi4YVqDdEMzbELdRpZ4DJVoXvg +Co8t1GMEj1oaWf++iz7Kl46Yg7I/CmIBrkO5rsdaYnI0ZRMdIREGLYdZEXsr +FVGOYIriUBViytcwcUIfzpeU86jcWG2cRenlsFwrh/7wklpDvTNMxq5fHU4j +Z7hCOmFMbFkKoYesuxWy/n1XC/I6fDAbIBbVFd1SsRjE9NMOYylm04mm6wht +iVB0AFcfEmGa5TArIj6CGQoxWYHxFfOwQiP5CRjK13TBFz/ekMsvKQ+h3NCG +L7McalreEl58oYxrDf/YZdr4aLhSVWi1GWgntMSW32Noo5aXrCkpWf+6kz7G +1+yEsv8FiLl0InQdEYOYU3TIf9mKeS4FRL22CEu/aFYrYjqC9VNGsFQh8hUY +P+EY6eMrPe4Vy2W+/HLIjXJ3OTTMhhleqpO3n2qkY5dLGAbB5bcZgZ0wLLbc +hTCYLPrugHzNxrpiTt5+ByDmch22lmhx9bYIM0V9YjkAX73PrOiZHkopI5im +ENMV2IWCryvFT9y4+QLL5VQeqnIjHb6QcmiaDU94Aa2BNUNl7AJOIxCu5HuT +F21w/c0KF9oJ3bGle4yAQhhGFn8dPpjDXlGIWbx9fojp11MQMa/roBsxfRBz +rcMQ0SEiDB7Va7tm1HKYFVEbwaTiOAYoerpilie+br7k8SFcfgF5qA9fnnKo +h9c+jvCyN0PdaaA2Pjtc3k5YTGxlIas5Sta/bievpeALRSyEshjj0RCIITrR +5jpCW6LF1TsijIp61XKgFRGOYCfKEUxViAfzFfNQcEJP+Bpv5+tpwReU85rc +QM+i7Gsv8SkKCC+r1kCboW3swm93Ebh0D++wGUgnBCrDHVuox8DJwvWggyz6 +biN8vYIhli3Iwldj2wExw3W4dD0UHWNV0WGNMJ/lQEewrmAEg4oD8nUgXzHz +E8QQvp4XfHE5L+WhffjSy2FiNtbshYeXcPKp1kgWXmkzxMcuRBgWB5e3E+Iq +wxFbwaNWJFn8tad8QcSK7YpFb59jEYNLsVQnBroOhhjeEjFXD4/q9QgToh5a +DrMicktvjmDHqYp+X34idYX4xJLwdbPK10PgeJ4fb0A5L+WhOnxpZxuo2WBa +3hNeqtbwjF0KXOrtLoALPSzUVCEGl6sTumPLUQjzIOtfk+kjfPFnCbKQrhg1 +joVIxYyItbEili6dwSDmaomG6EjWzWERpi3CZIRJS6+NYFBxHCJXYJyvqzhf +VQpfDwi+ngDHUVLOc3ko5QY6fJlrL6nllfBagYcXgEsJL2PswlZdXriet8Bl +DlwelREeW75RK54s+iYRvub6EIsKMm0cK8LbuxDz7p1RnYgPYpaWqIsOsG7W +Iozvmk3LkfwoB1s0m5a+o6o4mgFFT1dgF4sTqRC+XgDLLyAPU7mhmfn0a0rd +bIidsj28hNbAmiEbu6DTSIVhNFwxA1dcbPkKYSxZt6FkteKP8TVXpSyvroiO +YzFSsVjELDoxuCUarj4kwjTLoVVEfASTChGuwCBfNwTwNbdmVy7n5WXUcjB8 +6WYeNRsivLYg4YVpDdkMzbELCsMIuOyq0NsJ0diyL7YwsprhZxgIWZbAAmT9 +cyJ97T+YhyEW2xWLMB5+qRiF2FQEMVMnaogZLVFbhynrZkuEAVGfWA6jIkJL +D0cwqDgSRX+ROJHin6hAvu5V+ZLHG3OBnIdyAw5fiZlPyyFdezGzAbS8Gl6J +k4cLL70ZqmOXTRhmgAsduJBOmBr46ELoGrViyJqUkPVPQRZ9t3K+IGI5Blnw +ONbgiKU60XQd5iCmtUTD1dN1syXCpKhXLIdaEeEIJhUHv5KSCjFZMWt8TVL5 +mimOD18Uyy8p56Hc0IYvvRwqWl7ulGV4IVrDbIa408gAl00VOjohauBtHsMx +ahVP1kSFLP7ar5gnEMsQZDmOY4HevkjE4F2H7ahDP603RQcaYZ31CMMrIrD0 +HVXFIRVisgLjJxyxfC0Ul1HLwGZZDl+6OTTNhhleuNYAzVAfuxAbnwUuOHCZ +nVBTGQGxZR21ciTrVvBuaU1e+xWvWhCb65ceOXRFm/HIBTFlKRbsOvCWaLp6 +W4QBUa/dSvVKLX3lqWAEg4qDK3q6AhsqThDHsE+YJ6h8PSz5AssvIA+VzTIf +vsxyqJiNdKeMhFeqNXgzZKcaQMgDp4HDdbAXLl0VKgNXmMqIJevuBiDrloQs ++m7mfL1qpyzXIMO3Y27j4Ubs4WIRcw1iSEuUokMe/WIRRkW9aTnUipgcSvWr +PEFVHFLR78tPONx8PQWONyRfUG7I4Wu9ctOrrL2+XAOueWF4GU7e0gxTp8GF +IXIBpcBl3D7pNsMycJmdEI0tWyFERy1ND/rJauUn6+b0Ab7yCrKiuyI+jmVC +zLV3dg1i/PTXbImq6JD/shUZYfKjsFTUC8uRVERg6eEIRvhqcUHlsUIhlgwu +7DdEnEiF8CXl/NviMmqF2Czz4QuY+bQcKmbDEV5qM1SEPDp2QWHIzguD4LIN +XEonzCO2tgtZ/5xAX/sVr7HnpazIIIvsihHb5xwR87ZEKDqkq6ffrWARJi2H +ditFK6IxgvErjkQhDiqU8hXYiEJy4sv42kvjSx7Pv2zwtRRsltXhKymHytrL +Hl5Aa9CFF70zdI9dcXDZbQYcuGwGPiq2iiYrFYPGkKWQNQG8myRfGmXzAGWB +QZZjV/QaDwdi0wFi9xuIib2zMPZSJxqDmLclpq7eHmG65VAPObQRTCqOvzFF +f4A84eCfgNn44scbfPkl5eEysFmGw5dWDlOzYQsvvBmaYxcmDKPg0myGvRN6 +YitMYng2xRpZMYHFsSLvHzcdT177FfMtiOUbZCHq3rYdC9k++xGz3djjrgM5 +6tBbInf1jgjDLAdSEcWWGSqOg/kKbLg4QbyO/USb5Gua4IsfH85K+Uouo6Tc +WKebeXkTpWh5ehClh5fQGlgz9DiNDHBpAxfeCT2xFVAIw8gK0ReWwPonw+of +NyaP8TU/jLK8ggztiuHjWI6I2QaxW2y6vrnF1TsiDFgOWBGhpacjmFAc/Eqq +yaDCXy9kK+bLAV8TMb5eSPhK5fxiIDfKVDPPD+ZTs6FoeTW8VK2hN0O5Sjad +hgOu5w9BNsjgpNDRCa2xFVoIhR7MTpa9CoLAkmT9Yzx9gK8dGWSR45ht+5wj +Yvgg1kz9dEVz9eI3EtNzKdVy8IrYW1RE+qO+6gjWAijEAwezX+G4XHyiwvm6 +Q/D1SMoXPd7gyy8pD1eIzXIyfGnlkK+9hJbn17xJeIFrKFNrSCGvjV3mHtkK +V+rhwwYuqTJkbKEewz1qaeI9Z7JUrARZgq8F7BVPWb5BFjiOpVIRQWy1HzGL +60AGMdkS4ToMuHozwpJdMxX1zHIYFTE5lGIjmNwyHzugkivEgwYX9uEnHFdZ ++HpcHB/y5TKX81BuwOELlkNpNvDwSpw8XHjZmmEmuJ7G4IIDF+yEztjSCyE/ +IHRIjCiyIqsgxOofNyRP8JWBsmx10S09QrqiF7FHikTMOohZWqIjwsSuGd5K +JRXRMoIdJxRiCV+BjRQnvjda+OLHG3z5xeXhMmT40sshNBtIeGlaw7iD0oQh +PNLA4DI8vGkz4D0h7IS22IodtULIChmy3IF1g3xt/nE9fe1XvG5HbP72DbLY +rmiTitkR006nsEFMa4m66IARpop6aTm0iqiNYM2EQqSKfog4kXLzxZfLbwB5 +aA5fsBwmay8YXslHysDJm81QG7tUGx8Hl3vggirDFlsNRVZMFcQCi2OVvOs4 +X687Kds+QRbTFa3jmPuGKhyxyQAxbRBDW2IiOrAI0y2HWISlFZFZ+hPFlrk5 +UIhU0fMTDs7XrXa+XhNynstDwhcfvqrA2Qa8iQoKL6MZyrFLcxoKXC+HwGVc +PdlUhju2spJlHbIc7iIssDhW8gG+8qLM5z1CpYd7Bx0uFTMghi6dHS1Ri7AR +WoQBy4FXRDGCQcXRZFDhL3wFNoqd+I4XfN0j+OIfp/DjDb784vJQyg0yfEkz +r5RDZjaUnTI75eXh9eP76sIrZOwKhcvZCRWVYdHvWmyZEsNFVuSQFRRYbbTA +ku/v19LXfvkb9BVFWZF10RVkAV3RNo65EXsARyxZimF3HcrdlN4SE9EBXD0S +YanloLdSvCIKS38y2DLzK6lDBhX+epE44RjLPmF287VQyEMpNyqlmWc3vUk5 +lGaD7ZSV8JJO3tIMLXCx88IMcGmd0FQZpsdAC6FJ1u3FkoXKdkcP1LBK3jjB +F0LZggDKgoaynIIsfBxze/sQxCw6UR/Eko2zITqsEaYuwpKKKCz9CVBxcIV4 +IVsx8xPEG1S+Zgi++PGGlPNLgdyAw1dSDuXai2t5JbxSJ08XXm8fqDTDZOyS +TkOH6zcrXJgqRDshVBkytuIKYSayQgLL1wP/oWL193Ft+Wu//E0FsVDKiqmL +gTsyEGRW6YGqe2Ucy4QYohOB65C63tYSHRHGLYe8lZIVMRnBgOLgCpGumK8Q +fN2yfs/bVb6eS46jkuWXlIdcblTZyqEwG0Z4/VUJL23sAk4DP4x/0TzPYHCJ +24zfTLhQlRFUCLORFV8F3T3QgtXfxyaP8fVmDGVFDmW2IJuVR5C5jYeJ2LQw +xDTXkQ5ilpYoXb0ZYdxyJIswUBE7nStGMKE4/saufPe9hK2Yr8H4elLwNU/I ++fdrFLkhhi9ZDlOz4Qov2QyTUw3VaUC4Zjvh0mwGNnD5Y0srhLFkBeiLLD1Q +x6qNhhV9Y+gDfOVLWY510Wbvg7si6u09iN3lRgwMYmZLTF29jDCwa05uOdSK +SC09+xaMjmBMcRw+sNB4cGH/oWzFfHXV3tdb+OLHG28IecjlBtssI+WQ/YJo +quW18DJPoWxjl27jNbhMVejohO7Y8o5a2ciKCix0vHJilbxrCF8L2duBlEV5 +D0eQhYxjJmIPWhBLr6cSY4+4DkXXg6Mp6Or1COOiXizCZEVMLL0YwbjiaDKo +cMAQwNfNBl/8uPfVlC8qD1enw9efa/lPRbFyyNdeiZaX4QWdvNkMwdgVAldy +nuEeuDSV4Y4trRCGkxU4ZDkCqwis5BN8bR/KwuuiN8iydUVNKmZHTBvEmpWN +BS2Rig57hCWiXq2I0tLLEawpV4gXixOO6yx88eMNLue5PPzIGL5IOUzXXlh4 +SScvm2Eq5NnYlQ0udOCyxxbmMbRCWBxZ0YGFjlcBWP39avLakddu+VsqYvlS +5h/KsLqYV5B5jUd6CRyAmKYTpetQBjFDdIwQ/7IVGWF814xWRG7p+Qh2FFOI +B17EVsyjBV+3Ab6eYsfzs9lyeSGQhx+L4csoh+pOGQ0voxkawtAGl+7h0XtC +ZHGs6ffiyXJXwZDAKhqrevKuoo/wxZ9B2cI/JGUxQYZ3Rc14pKsxG2La3pn9 +wrbUidJ1KC0RiA7q6jvSligjLBX1JMLUisgtfRu2ZeaKo+RCtgIbzU58JwC+ +Hk34oscbfPn1nriMWqMMX+xrZbb2EmZDCS9da4BmmI5dqdPA4NKWXI8fEdYJ +1dgyC6EmMTKTFRNYxWBVD7BK3uiUL0FZTJw1IGVF1kVHV9SMB+rtvYhprgMO +YrAlUtFxYvml/GKKR1hXHmHAcoCKKEewluy3OA5nCnGf4YXkRGrC+r1sfL0l +5OEqsVnGyiHQ8jC8wMIrFfJg7JLC0AOXfeCyxpajEGp60EpWcBUM6YE5YSVf +u+Vvi6eB1vCUWdWHeyizCPwsXdEmFR2I3a4jJlwHGcTMlshFh1w3axEmLQer +iF3loRTfMrMrqYMHF/YdVmh0JePrpvV7TV6/592ArxfZ8cYCLueZPFytDF+7 +fKqWQ67lv1uxnxpeoBmiY5cHLpsqxDqhI7ZsEsO8G7SR5amCbqwMslCsjAaI +YlU/KnmArx1CmSkYbeojW1007xW1rogajxDETNehtUS6DtNEhxFh0nKwikgP +OeQIxhVHk0GF/S8pNLqCnUhpfD2t8rVYyA1t+JLlEAsvoDWMsSt1GvICyglX +YjN8KkOPLVEIkVErlqzgwHL1wCisRuNY0Xclfe2Wv8Oem7K3ggVIkZQFDGXe +uhgRZElXLBqxCQZiWktMXT2PMLFrlhWxJ6+IzNLTEQwojr8MZSvmMeyEXuPr +JXYc9TqT80uE3Kio/TMbvtJy+MWavVItz8NriQgvtvBKm6Ecu6AwtMEFllye +TmjGFiyENokRRpa1Cm4frEaZWLVP3hXt2y17J3kIZVFxFiJAiqTMWxfRIHNI +D9EVdeMRjJiiE+UgprVEKTp4hA1hESZ3zecaFVGOYMewK6kDL2YrMM7XJIQv +uvxaxOThytqd1OELlMPVjbTwSpy81Bq8GapOI7HxVriYKvQOXDC2XIWwCLKU +KmgEVkNjdaWOlXztli1KEUso88ZZkaUxF8oC6mJy7OEIMrMrAuOReHsbYvy0 +IzH2LQFichDTWqIZYUDU00UYqYjC0rcXW+bDBxVKLmIrsGvYJyqQr2cYX/PY +8utdJg9Xic0y4Usth9RsmOGlLLx4MxRjFxSGwXCZZB2LxFZSCOPJcgxZlglL +H68CsNJ9RXBUwVd3efIYX4t0ygLiLKI0+kezcMrQocxWF21BZuuK+DjGvH0I +YsB1qIMYFR1liehQIwxaDlkRO4oRjCuO0sFsBXZ11d43VFG+pjC+ZjK+XhbL +5feYPORyIxm+0nIItPxyuFCmWkNrhhFwpR4+Praso5ZBlm3IGo9VwRAf6MAq +NqpUpuovT7Gi7zL6AF8YaMXG2faiLC7IUOnhGsfEasyKWCsFsXQQ01oid/VK +hFX0P6OiL1+EqRWRj2BNuUIcUaAnHISviRhfC5mcXyHkBhm+EjPPbqKg2SDh +RT+iTJ182gyTC95UGDK4XrbBJVShpxOqsQULYSRZQYHVsFhhUYUxlb6RhK93 +2XNS1lBxtp0pcweZrSua22cdsdYJYomxB66DD2LJxlmKDjTC+CKMV0RxKNWS +KY5DBhf2H8ZWzNcbfM1ixxtvscveD9hmWQxfoBwmZoPulJPw4lpDLrySZsjH +rkQYJjYewgWWXFQVwoHLEVupxzAKYTFkWXtgcVhF1j+UKfA6CL7eLQK0HOMs +M2Vu9aF9EG0EmS49cMTaBSGmD2JKS6TrMCo6WIRdLCJMWg5YEekIJhTHXy4p +NBrFTqRuBXw9y/iaz+Q8l4drwfCVlkNhNrYuT8Ir0Rrs2+SkGfKxizsNL1xy +4AqPrbQQhpOFDlnOwIrCKjKqwpmquzR97Za9ZyAWAJqvNzrjrBgBEkKZqT7c +At8MMrQrehG7DUGMniZSXS9bohQdRoSdIxZhXfsklp6PYIcPKhw4hK2Yr2Wf +qNwF+JrN+HqHycNVtTutY5tlMnxp5ZDtlLXwSprh319Xxi5g451wKZ3QElt6 +IYQSw0dWtsDKjJVtqsrEFH0jksf4ki8baPnGWVhpNChDBGNEXYRBpkoPbRyj +UhF6e4HYGhtiyiDG12FMdFBXr0YYqYhngYqYjGADCkcMKpRczFZg4zC+FjA5 +v4zJDTl88XL4xVq69kLDK22GbOxKnYYDLt1m+GMLGbXkgZNJFl4FscAqBis0 +qgJHqjCm0je8Q9tl77VVENs+oOVUGouiDPUeaJCh45gDMbp3psZeHcRAS+Si +gx79ggiTloNXxJPZCNaCKY7GF7IV2Fh2Qi/5eq5mtzlsufwuk4cfsc1yYuZJ +OWRrLzO8uNYAzVB1GmzVpcD1JICLD1ywEzIDj8SWOWpJ8R5IlrrDcvXAKKws +UZUPU8OT96t4bZe9L14gaEUNaGFxtn0oc3oPbAdt9/YoYlAnykGMtkS2DpOu +nkcY3TULy0Er4rmVp/Sr7MBGsKOZ4thnJFsxT2D/iocHBF+v1Oz6BpPzK9jl +BpUb3MyX787KIT04BOFFnTy91uDNUAp5Da4XMbi0gcsVW/ZRy0GWpQq6emA0 +VsH1z8EUFlIKU8OUB/hSQDNYC0m0zKCFl0avAAmnTKmLQUFmjGPqakydxYSx +b7HuJjmIiZY4iq3DElcvIoyLemo5QEUkI9ixAwuHDS7sP6JAV8wT1u/F+Xqs +evfnGV9v1uzyfg2Vh2vT4YuVwzVJOfxuxX70FzZ4eHGt8aYQ8qrTQOB6/Ijf +NLhgJzRiKy2EDrIm2MjyBZbWA7NiVSxTTqDouyR9bZctZs+krOFAi+2NIXGW +gTJHXbQFmdEVU+PhRixZOrPPnGFLVCJMWo6zKk5nn4OdfF5l2/MrmzHF8ddh +Bbpivmn9XncAvuZSvqicX8nkhhy+SDmkZoNp+TS8hNaQzTBxGjhc3MOnNsPo +hCK2YCFURy0LWZYqmA0rB1NX+upfJFO/2pi6BL4T6BuavLZLF9O3bLETtMzt +MTzObKBlizP9Jj+AMpv3sAUZ7IoaYncbiKWugw9ioCUm62YRYVTUM8vRVVj6 +FgMKRw4qHHRJodFVVXvfqPI1jy2Xl7DLqHIxfG2s2H2zNBssvOg1lNQaUsgL +YWiFS9oM0xO6C2EIWdYqaOmB4VhF1D87UxEhpQClvCGCL/mWeVlraNCKibMM +lOFDGVIXrV1RNR44YqlO5IOY2hKpq4cR1ruiF6uInfpWnsBGsKMGFhoPYSuw +8VV73S74eoEdH77F5PxHbPjiZn5T+R6p2WDh9aMIL7UZ0rErPdIw4YInhbwT +QpWhFEJMvLvICgisrFg1FFNeoIYgr+3SJeDZWAsPte0IWnhpNL4vC6BMq4tm +kGldEUpFvhpLEWuVIsZdBx3EeEvsUH4ZEx1JhHVnEdar4kxeEftWnkRGsAsq +jxlYaHIRW4HdUEU/Yb5f8PUqWy4vY3KjwL5JkeUwMRssvBInL5phMnZJp5HC +dbgCF5TwaGzBUWtSy39OQsjShywtsGxYXePEKjCqgpnKDaiL9afyZWEtS6gV +qfe9oDl6o6U0RlAGhzKjLjq6Ymo8TMTYUozpRO46WEscTVriCeUjxbo5ibCe +FWezitiNVcR2bAQ7bHBhn8vZiZTk60V2HPUOk4dr2VnvhvVpOfxmpQgv5uT5 +wksduzC4HkvhSgYu1gmT2LrbGVuBZHkCKwKrBmPqBJypAKB+uUh5bZcuFc8G +2nZgLQ/Q4kqjhTJcMDq8RyI9WFeEC2gqFU3EWq69RejEZBCjLbH8ci46kgir +6N+jok9vajm6k4rILH0LpjgOGMlOOCYDvuaz5dcHTG6w4SsthzC8koUXb4bS +aSRwHZLAJT28tBlpJwSx5SULikE5ZHkDKxyrQKZyCal4oOi7MH2Ar6VFsLaj +QAvpjWhpjKLsBYMyM8hkV1SMB4aYNPbj+N2UaInDT0kjrC+zHGecU9Glb+WJ +/StbX1DZdFDhwBEFesIxaf2e9zG+XmJ8vVdD5SGTGzt9Ssph2Z507bWS7ZR5 +eDGtQZuhGLuo05BHGiZcUsJDAy89RloIvWRZAksdr3SstMHKH1WRTEUBFUKT +ChR7J9I3mL42S5eRZ6Fse7LWcKA540wXIG7KsKGMUmZ2RTmO8e2zRGyyQCxx +HXwQYy2Rio7O5RezCDuPWY6evCKeV9mGjWClwwqNxrATesnXgppd3q/deRWX +G+t3/oyXw9WNqJZftj8Lr0Rr0LHrNeA0ELiEzeCdEMYWLIQhZIkqmCpBGVjQ +WuBYYQ0wH6ZyAsqkabDlDUr4Ut8flbU40LLHGUaZpj5cQQa6IpSK/DftNcTk +IJa0RL5uJhF2RkVfZjl4RWxPRrCBhSZDCo2uYSf0nK+X2XHUEiYPq2r/9EnV +Lrwcblm1D90pLxXhtfAg2gxfK6Vjl7TxHC52WEg3yDOMTghjCxRChKwbVbLM +Kqj1QBMrtQHqUSXrn5spW0jFAJWdpkH4w/gKZM2BW2bWwkMtL9AclL3ipswZ +ZKIrfjQ9MR6Jt9cQG8/+bZhjREvkokNGGLUcrCKewEawv13EVmC3rN9zavUe +jzO+3qzZZSn77Ku69k+fFnal5fAjEl77JuG1iF5rkGYIxi4DLmgzpgmVAWNL +K4S3tFLIGq+SZQ0sMV55sQqJKhdTRkhFAVUMTQOR12bpcvVlxq1I1nIJtSjQ +0N4oS2M2yoC9V7qilIr8TJEjxvfOBLFrm5eN4S3xxPJLO5ZfIiLs3F4Vvc+u +OI0dcrQeUDhycGHfUVV730z4Wk/5mlWz60J22cvlxmeVu5FyyM0GD69f3jkw +aYZ87JpNV10IXLATmrEFC6FGFhiy8MByYIXKCidTevGLB8oXT8XS9MuA9P3M +nsFXg+LWEKx52mMwaI44kwJEo0wIxmQow9Si3hXvMxHjroMMYrwlnlQ+vFP5 +kK7lg0iE9aw4+6yK00lFPK+y7QWVRw8q/PVydiJ1L+WLfpzyFpOH5bX016I2 +lu9Oy+HKfbcuT8KLao3XS/7xGoeLOg16pAHhesSAK40tVgjhqKWRpVRBDSvV +WhhY4VGVmamM8ZQzSsm7QHltlq4Qzw2aH7eGiTY3a7ZQiwHN0xtto9ksQ31o +dVELMojYvQpi6yhix5WNY7o+aYmdyy/iEda7oiepiP0qO5ARbFChdCQ7kbpH +8PV2zc4f1u5UYMMXKYdffdQoDa+36SkUaYbJ2MXheo7BxTfIBK60E4rY4h5D +G7UwsvTAMscrN1YZmEJDygFUBpqKQCl956cP8GW+7YlbaLSFseZqjz7QYG+0 +lUYrZaAuwiCT0oOPYyZiNzGdOJYMYrwlnlI+tAuLsF4VZ53FKmL/ypYDC4cM +L9ATjrsZX3Nqdl1Us/Nqtlkmwxcth6v2+Y6FF10oLzyIN0MydlGnAeF6tGky +cGmxBQuhlBg2srQeGIGVhalAoABTv0QBFUJTVo7EOyl9/elrs+SDNktWKK9h +cLOXyUDcimdNDzVwVOwAzRZncDSzUaYFGZceYhzj3n7NnRwxthSjroMMYqQl +nlw+nEdYj4o+Z1b0YBWxzYDC4UMKja6r2msK4+uVml3frdn5YzZ8bazY/cuP +9/7mw32T8BLNkDqNBK5DFbh4J+QqYwrwGH6yQGDJHmhiZYuqcKZQoDLTlCdK +OkTWd95Jx1O+0NfQxGXCLbRGOllzhVoIaGic2SiD3oMHmeyKibcXiN3SYt2N +zcquI4NYm7JRJ5SP7Fh+CYmw0yv69arofU5F136V7S+oPGpwodHYqr3uYnzN +rdn1/dqd19b+ubZ6501le3y1utF3K1h4Ma1BmyF3Gi9BuMTAxTuhjC1ZCHWy +hBVEA0taC4GVJ6oQpiyTlAeoSJrCUcrA0XmuR/gy3w4hrgjcMrBmLZBGe0RB +Q+PMRhmsi48D6TGdjWMmYteSQawtbYkjOpVfzCLsnLMqTu9beRIbwf5yTRX9 +xJLwNY8tv8pr6TcppBxuWbnv98sO+PHdv/76FmuG8xr/Z87B1MY/L+DiqnCa +6IRThMeQoxYkCw8sAyutAZpRhTJVJFABNHlQQjnKBlE/1zt+yYfGQ4hrSOii +Ai4LbsGsudujBhoaZxbKVkLKYJDJcewegNj448rGkUGMtcRhXcoHkwjrXdGL +VMT+la0GFhqPrtr7DsbXqzW7LGdy47PK3Ug5/PaD/bax8Kp/o4SOXdxpELie +Pvx3tkFOBi7eCWVsTUrFO06W2QMhVlpUmUxpxc8BVAhNbpQ8keTjKCtEP/W1 +Powv9OULXRbiggPOgRuMNjtr1lDzgpbEWTqaUZkvKRNDmQgyJj2Srsh/7Y3/ +dgchjvwJSbEO5SNPKR9CIqxnxdlnV3TrV9luQOGwy6v2vo3xNb9mlw9qd6qu +/dOm8j2+Xr3P1mX7/0TCayFthtRpULgOSeB6hMF1/7FcZSSxNaklTpasgjCw +TKy0qPIyFQhUIE0hkRQFUVaCkncu/o5fshK8QNaycVcMdOHEWXDDBzc02mys +hYAmeqMWZ4CydCijlKUTGeHr+I/v6lo+8IfTOt7Uv67V4jXkkRp5UvmIU8sv +JBF2ZkUPUhHJCDaisPckxteCml1W0eFrp83r9vrmw31JeP3y9kF/f50K+d9m +0T0y/ViSw0UGrvtAbMlRi5PF9YUWWC6s1Kgyi58aUghQXprcwZSZo8wEWfBJ +Xx/8qXw5XgNxlxm6zMTBdPNGm8aaLdRQ0HicmaURUsbr4kzyx5Zrbyb/p32m +erf3Dq98qf1mQtm93X76vlNPkl8dyy/pVj6gZ8VZfSo7n1/Z/OJCo1spX7u/ +UbPLx3T42pWUw++W0/Cqe5M2w//MpqsuAhc9LHyYDVykE/LY4oVQJSupgiyw +aA+EWMHByowqS0gZQGHxFBJM3moXA1F2fCzs6O8c8k7W3vFLVgUj1oDoWbkr +GrrMuIWxhoWaCZoSZ5IyIRhXPUngIkARuMgfSWyd+HZ5jxc/I3++ee1e/K93 +Lr/o9Iq+Z1V0P6+yzaDCARPW7/VY9e4La3Yuq6VmfsuqfbYt+csvbx1Em+Gc +g3/ncM1sSm3GA8cmKuP2FkkhlGTxIYtXQdkDJVbJYBXJlC2eYlHychRFUJH4 +YODg72z9Mb68b/ugVyR3odAFEaeXSRtuKGtu0GRp5JS9SP4Kb4MEpZmnfEuK +IgGK/JH8FULT3xeUkr/eo+IcEmG9Knr3rTxxYKHJDVWUr3dqdq6q/dPn6/b8 +dsV+P717YP3rJf+aS4VhCtf9tBPy2KKF8GZAFhmyeGDJHniFGlWs/ulMBQLl +pgmi5ObIhCiWoOLBMZDxvLOU13rJKscLoy8zgNnRK447r6uMxQ1hTWmPCmhJ +b2QOhB40Eo5+PPNk0gM/KZ1G/iOhiTxS/EhLJHwR0MhfJ/+P3Kl8yBkVfc+p +OPWCyqZjGV/v1uxcU/PnLz9utG3JAb8uPOifZOx6ucnvz1C4qM0gAxeNLfaz +GDez77MSskRgJT3QwMrCFAKUFk9WlIxIcnKUDz55UXOW5/2ovTOV13rJaudz +0ReJYcMBGIBeUNg5Yg4lTsPNzRoMtQUizua2X/ksjyqCw7n3byVpRbAikxf5 +I0kxQhn5i50XVK0pWUT+Y9fygWdW9Ohf2XJU1d4zq3dfUkt/qvebD/f9adGB +pBnSI6hnDvvt0ab/efAY0glpbE1uSUathCxeBXlgKVixBphqCsGUDCkTKJQm +FKVwiMLxcYdOEby4SYl4vdPn4yvqFY9hQwBoow+NPDd0duK8uOkFkoG2/A3y +38rJC/6RQMctB5nFOGvkz08uH3ZGxbmkIg4vUL4+qN1pU/ke3y89oO6Nkv/M +Ofi/zzK4yMB1N4stMmrd1JqQRYcsGVgSq0th/UuZUoAyaVKCyc+Rh6BwdjIh +UxQsvYt7vZLXevFH2FutvDwZzAXGHBkMRC8KOi3gJG4KawQ0+sfVMzhHnCBS +CL/rcD6JMx5Y5E82r92L/wl5x5eN6lI++OyKboMKBzxavTtJt68+avTzogP/ +Nbfxf587lG6QSScksTWJSQxCFhmyeGBd2S7FysYUBEoPJgwlL0QhnS0YmSyw +FMFFDq8nfRa+inkNx2bDwRhPH46e2S0hcRpuFDTSFW/qX8f5ov++8vabCUek +JRJSyCxGhjLyF2e33cTHtMGF/TqUX9ajog8ZwR6p3r1Q+6fvlu9P/ub/vnDI +b48cRTvhbS3pqEXJYoHFrQUZrEYIpkygWDwpNGEc+QkKAceGTC6YFM1Czq8H +fa0Xf9wAiOUHacMgmYlEF4AYeiZ3EDoacO0/mDe6am8CDsdK/pFPXgQuws4n +pdNaL15D/gr5c/I3N193fafyIf0qOzxUvTsZvn5+58DfX2ry28NH/3tKc1II +KVlj29IeyLEazjQFZwoAldAkg8nkyCTIwY6JTAZMticOPUJexxzeGR1bLf44 +w2ud5f2v49RLZQiGEEANvRWcJtLfSAkkzbDzgqoFLTaQ5OLLL+46yJ8QKHhF +fO/wyrYfTz2ubFzPirPur96DlEP6r5ucfsy/JrekZJEqeCVtgDSqhrCQ4kAl +NAmUJEeQIJMdiEwgLHkRkcs/8GdkfD/k+rLx9Ud4Ow7qEGBRKhUSyd/Wfvmb +w8bUzzzlW8LR18eM4lqeoEQaI4GO/FfkrxPcCH3kT8h/JH9Dhw9faLd6OhnE +blu/Z93CEvLf/mP88SSwaAMcwkJqIKNJoJRCdI4CjkKNg5FQBDL+Qx7xz+rp +/ysfH5z/v/v+UP9DQEe1/Yr5pATyIYtMWPxsg3NEHmGH/FfkTwhrdEd2Rsee +L3xGiiWZ2jp8+PL5lc3IqEVGKkpTP0bQ2YwaiEnKgv4PfA7/6Hb//5//7eh/ +/rO/1g333s/w1qLveOfrPmcDoYnnFCGLNEbuNDhfJNE4a9wo/tCtU4cPZp3y +RmWX19b3en7jzefWfdN66NaTz/6+U49tp3bf1qXbti5dt3Xtsq3rqeT9QF63 +zuB1sr9TrO+0Hf46/m9/Of3TVfzD//l0P/c/vdnfe8lrE/HWtZXvXeW1096i +de0XlZFH/s5u82oITVPW70Hyi6QV+f8OftzLLT3PNUIZd/Wcu97Pburz8DcD +x9fP6PTdhtJHyH/7bZuLvuvQf+tJfb7veNb3p/T+vlPP7zufsa3z6RQ6+k5j +6HVLAOSPYijfqfD9kLzO9HXzPge2uTw7+0W+7fU/IrL9g92A/2zH/PMfiUAA +GhggVlIELxIZ+Dq8o7wT3ik/4W36TuTvLfrnrZgYJCgRgsjM1W7ZooU1OxNk ++JXUJ6XT+P8MJMhwtUjPOR7Y2u/m+qtH1D958pZ1JW9uKr3jq6Ou3tJy+Ldt +Lvyu/QXfnXDe1pPO3XryOQlrp/SiuHXqQYhLoIPc8ZfSBwBEMMR5xNgEhAZB +ugP5bfD/abDdQMgPhwBA7Ix4YAHIoNSg7CT4CIIgROSd9FYFfQsrTk5eZcc3 +6TvljcIZL39yb7efOGXwj4QmEmS8HP59QSmZ4wh9BDfyN/eb+Ouwa+rHX1D3 +9Elfryz9sKbk8c8bT/yq6ZgtzS77ptUllLJ2A2icndCPgnbSObRAEtY6nglw +g8Rp0AHudPQwAJOHYhhKpQVPFdIcUN0xFIdA0WBc+BgJI8XPi06NFRzKDoaP +SVAKkcYRhhKjiQJFXqfXyavqvKDq1Pnryfx12iu1rdjxIU8rQhPBikQYeWQi +I/8VYYoTRxC77Yy6C6+rHz2y/taz65498atlB5dVlsz5tPSBzY1v/vLIsV8f +e8WWFiO+aT2EUPZtu4EszvpvPbHf1hMJaH1IqKmsqbhR4tSYo9BB7lT0cPoc +DIaTGIFkAKEYpw2Iqv62KynBsIQiA6jxguPGhxHkhMjkSEHJQRN9BCjGFMWq +y2vru7xa3fXVavLHc2Z8TeCSZxv8luOhavqvQZl5yrcELvIf5a0UGbsuvap+ +3MV1k88gfH1JMm5tycKakic2lt69+eAJXx4xjlC5pfnIb1oO++Z4TtkgSlmH +82lvlKCxUEtZk9FGcVOJEzGnQIdwZ6Dnos8NYGYYiwIzDFILqk5gi4IlkpdQ +ZAxwAtlx46PEkAoRytGJkCMDpZPfTGlyAMVzKmHqNcpU13nV3ebVdJtbQ5KL +/JH8DYQgvkfmf+Tv3Pu3Joe+S1fwj5rJnw8dWz9qZP34AXV3df/luRO/fOeo +qo9KlhRKXtpQ+hAZxL5ochNB7Kujr/r6uMtpkLW65JvjL6aUtSWUDWCg9Reg +9WXtMQk1gzWBWxpwKHEqdJ1N6Czo+QGMwrBIGHMDE+W0eGSiwcnKTjBBVoiC +ONJRctFkAQoytb4ri6qEKYZV9zm13WdvOH32BjJ/nfn05wQlkk0kevinXoSm +0VV7805IHvmL7ZYt4ivmkaPrx1xSP6FfHcm150748q1jqskIVl7yWnXJk5+W +3r+p8W1fHHIjReyoq2lXpEE2/JvWQ1PKeGkkcWaCRkMNsubFDRKnQRfInR09 +Sl8IgLEMAhjz4dHPZs7gFI1PCEHuJEI4cqLkpanjGzhQfIxCmeL1L40qFavT +Z31CyOrx0qc9X/is1/MbyRTGzzMkU/yWg38X1op910z+rzDsmvpRl9Vfd2Ed +Gb7u7/rj8yd8+eZxNStK16wteauq5MUNpTM+K73n88aTKGKHX8sQG7Wl2WU0 +yEhdbD30W0EZG83SOEtBS9ojD7WEta0IaxA3C3Eg5qxhh/fMAPRC4694DHPg +cfvjE06QN4zsHGEoIcGE0ZTEkwcoK1M0qmQDFFjNSbE646VPe7xIyer5/MZe +z20ij/wVydGU9XuQPyFTGA81klPce4y+tP6yUfXXDKflcFLvumldthG+Xm9e +u+zgso9L3qsoeaW65KlPSh/cWDrl88YTE8SaXvP1MaNpV2w+cgsJMlIXWw8R +lA2mlLUbAOPsuxP6AdB8rKG46QGnERcGnYe7MPoiEjBfEnUkvfhkIygKIiWM +AjkKQCmQJq3vqUCZIaUy9VrClIwqPljpWInA6vHiZ5Ks3s9s6v3052c+tfms +x7/sd/vPPLz479uQx1dga0ro15fkf7cRV9PwGjek7qbzqNyYfur3hK8FLTYs +PrR8dcmyspIFVSUv1JY8Slti6Z2bG9/6xSHjGWJjvj56NO2KNMgu/QahjJfG +ANBAgQSsobgFE2eFzsJdEHph9GUHMA7DIiHKnaMsKDloWojQpPU9B1AWptKo +smEFeyANLEFWL07Ws4KsJ74gcJ098yvyx3OnfUf+HpJW/MSXIEamMC46LhlL +w+vqEfXXDa67+dy627v/+nBnytf8lp+8f3jlytKVpCJWlswmEbah9OHPSu/d +VHr75sa3fHEoQ+zIMdR4HHtlEmS8LrYayii7KKFMKY2MMh00e6ihrEXgZhCH +QecKuwjugtHL2EKR1xAQ6b0uCqXiaELjCQGqWKaUwQrBKg2sT3uiZD3JyHrs +q7Mf/fqcR7b0efibvvduIygRjsjwJXfN5K8PGVc/4qr6Ky+nZuOGAXT4uqv7 +L4903vr8CV+81vKTd48sfFD60ccl75aXvFpV8nxtyWOE0I2ld29qfNvmxjcz +xK6jiJFx7JhRXx+XBBmri8OY+jAoo6URxpkTNJO1k8+Kwy2QOGOgCwq7OPQy +AehjsEiO9EgqAqVImuzxlALlCKkCBEpj6tTX7FGFYCWthQisl9PA6vnCxpSs +ZyhZZz5JY+vsx2hsnTPj63Me3tJn+jckvAhc5963te/dP/S960fyH/tN+vX8 +m+ovuq5+2DX1l11Zf9Wl9ddeXHfj+XUTz6y7+7SfZ3T6jvD1aqtP3zmqannp +2tUlS9aVvF4omVVd8vSG0kdIS9xYetfnjScniP3tui+PHMsQI13xyi3NZJDx +umhSppVGN2hagYSsWaLNjRtOnA26IriLRi8LfdkjyY5S9mDy0YTHUxhQWkgF +MWXBShmvQGDBKkiHLEjW05vTQshjawaNrT7Tv6VwEbLu3dZ3yo/97vi536Rf ++t1Sd8FN9YOvrx86li6USXhdM6z++sF1E/rVTepF5fyjjK95rT99++j1yw4u +W1WyglTEipK5JMJqSh7/pHT6Z6VTN5be+XnjSZsPngAQu+YrOo6xrkiCrPml +oi5ekmj8lDI6mhlxJgc0BtoJEjQj1DysuXEzAy6GODt0EdxlQQ+nr8hUCkDJ +T1MWoAzLl4UpWP9eq4ZRpQxWBlayB6qBZSNLFEIYW6QTPvRNnwe/PfcBAtf3 +Aq6f+k2mcJHkGnRD/cXX1g+/pv7yK+tHX1o/dkjdDQPp8DW5R919XX+cecq3 +nK+3jqlecmj5h6UrSUUsK5lfKHmZRFhtyaOkJX5Wes+m0js+bzwxReyIcV81 +vYaOY7IrsiBL6iJTHyllSmkUcaYPaBI0W6hZWFNqZCRuLuKyQBfHXSR6+QRT +YNNzxVNeQCFMdVaZckRVEFZIYOFk8VHrTEYWiC3WCRO4tlK47gFw3VrXf0L9 +wPG0GV4ypv7S0TS8rh5ef+1FtBzeck7dbafT5Rfna+7xny08tnrxYRVkBPuo +ZPG6kjcrSl7hEbah9GHWEu/eVHr7541vVREj49hVvCuqQcbqIlMfgjJlNMPj +zAqam7XgaENwy4k4H3SAuyj0FPocKIXR5Iyn4oBCW18oU66oMhughpU6XiGB +BaqgiyxZCFlsCZWRdEIC11QdrvMm1A+4sf5C0gzH1Y+4moXXSGo2SDm86by6 +W8+qu6P7rw90+eExwdebx9W8d3jlitI1q0uWrS15u7zk1ULJSyzCZoqWeNem +xrdhiF39ddIVZZARyoandRGnTC2NOGjamIaCZmfNHW2BuHmIc0KXK3cNQVN2 +oCwhlZkpJapgA1QHKy2wdKxgYPEqmOqLlKxenCw5aimxBTohh+t+Fa7bfuk3 +8dd+N9dfcGPSDIeNqR/Jw2sEXXuRckiGr4m9qTyc1mXbY6d8Q/h65fjP3mhW +++6RheWN160s/YBVxAWVJXNYhD2xofQR1hLvZYPY5M2Nb9nc5KYUMSYVk654 +7BUwyGhddFGGxVkoaLGsadEWiJuFOKs5yQc6lLsdDpQtpHJiSo0qJ1ZpDwTi +Ig0sD1npqAUKoYitGVvSTsjg6ivg6ntnChcZu3gzHDo2Da9rhtFyOP4COnxN +6lU35bRfHuyy7fGOjK82G19vXruoadXSJmVkBBMVcZ6IsMc2lD5EWqIYxCBi +1395xLVfHTlWjGNmkPG6qFF2sUGZEWftz7eCZg21YNb0aAvHLTNxPuh83Bk0 +5QFUaEhlZ0qMVGFYzQvBSg8sowpayHoWkqXGllQZtBMKm6HD9XO/Sb/2u4WO +XQPG02Y4ZBx18jy8rhpRP3ZoHSmHN/anw9fkHlTOTz/1e87XnDYbFzTf8M5R +VUsOKScj2OqSpWtK3i4rmV9ZMptF2JO1JTM+KX3w09L72CB2B9WJELHDr/2S +I5aoeyo9RJDJuminTC2NljgLAc0Wam7WaLT5cctCXF7QUe6KBiospOxMGate +O1NmVGlTldEA47FSqqCdrI2SLDpq6YWQxlaiMkQn7PPgdwCuHxK4bmdwsbGL +NMPBrBleMoYulEl4jbqMHhyOu5iWQzp8nU2/r7y3208Pnfr9E5KvFhvePnr9 +4sMqyAi2qmQ5qYjrSt6oKJlLImx9yTM1NMIeZi2RDGJTmOuYRJdiGGJU3dOu +eGWKmFYXXZSZpRHrjSpooj2qoRbEGh5tDYJbKHE4dA0XUo7iF5FTIVHlwAqT +gf7ASqtgEFmJx3jiyzS2mMpIOqG0GfcZcHGnQcYuJuRpMxxHnTwJrysupzvl +MZfQg/nxA+om9KWb5dtPr5ua8vUl4Wt+iw1vHbP+/b9VLi8lI9iHH5W8v7Zk +YXnJq5Uls0iEVdMIe/QT0RKF65ioI3bEWG48YFdUg4zVxYSyoT7KsDiLBs1R +IL2sodEWi5uTuGDo8g2peKYiospsgBhW1TpWEYHlI0vqQbwQqrFFOqEcuLiH +n7rNhEuOXbQZMq1xqQivq4fTtRcth+ez4as3lYf3df3x4c5bn+i4hfA1u+2m ++S0/WXhs9XtHVC5rTEawVatLlrCKuKCi5JVCyYsswh5nooO2xM9K795YeqeG +2BeHccS48RDjGBpkcChDKFNHszTOBipxpg1oCmj29lgMa1G45U1csSGVK1NI +VOkNMAgrvQc6AksbsjxkAfcOPIYSW9rAlRw+sQ2yBhdzGv2ZkCfN8KJrqdYY +fjULryvoTpmaDV4O2fA1qVfdnd1/ub/rj48Avl5jfL17ZGFpkzIygsmKWE4t +B4+wp2pLZoKWyAexydTYo4jpXfGKr5uZdREOZYAyqRldcWYZ0KygFcMaihva +JLPh5iPulF5FMxXoKChTvqiKxso9XmmBFUDWZyhZeCHUYytRGbIT+uG6JRm7 +SDO88DqmNWB4UbMhymE/OnxN7lF312mErx8IX09Kvlp98uZxNYuaFpYcUr6i +9ONVpStYRXyLWY45VUmEPcFEx/RPSx9gun6KBTHu7eU4JrqitPfNRsq6KIay +DJTZeiMELaQ9agUyG2vxuEUS18BM2aMqpAGaWDmshT+w9CErgixZCIHHkLEl +O6EC1wMYXHf8TG38pAQu3gwHX8+0BgwvpuXH8XJ4Qd3NfelmefIZdVNO+/mB +Lj/MIHydnPD1aqtP32hW885RVYsPq1heupaNYKQivlNW8joT9S+LCHtMtEQx +iDHXoSPGVmPMeIBxTAYZWhejKHPEmR+08FDDWGtA3FzEeZhyuvQMUYU2wGis +QgLLOWRFkeWKLa0TqqpQgesusUdmwpCPXQPGs2bItMawa5LwuvIyquXHXMLK +4SBq5snwNfFMehl192k/TyN8dfqO8zUr4auW8PX+3yqWN17HRrBlrCK+WV7y +mhphj24ofYi0RDGI3SV0IjvtUBC7ViBGguwqpSsmQQYpG/6NLhjdlNniLA40 +V6i5CmQUa8ngFoebGnD5MRUSVfli5Qssswqqyh2S1TMhS+pBayHUY2vGFsNm +aHD9IOD6WcLVX22G1MmD8KJmY2gdKYc3DKBm/pY+VG7cfnrdPd0IX9tSvtpt +mtf609eb17599Pr3Dq9cdjAfwWRFXMBEPY+wp5nokC2RDmLCdbClWIrYDRQx +LhXTceyqZEGGBBkYyjyUueNsoE5ZOGjZWUNrZAbcrMQF1r8dhJWnB7oDyyEG +dbKchTDxGDK2VJWhD1wOuJKxq56PXbIZUq0hw4tpeVkOx19Ahy8uN+7o/uu9 +3X56sMu2R1O+Pud8vXXM+veOqFzKRrCVpR/wisgsx6ts10wi7Fm2buaig7fE +ZBBzIjZOR0wJMr0uKkOZQpmhGS1xhvfGINDcoRbSISOjLQy3zEzlgNVrOFYh +gdWgZNljS6gMK1xbk8NCDS7VacBmqIUXNxu0HF5Ud/0gZub7MrnRs+7O7r9O +JXydqvA1t/VnC+gKjCrEJYcSvtZ+WLpydclSUhHXliwsK5nPRH0aYWpLvAcg +NtGOWDqOia5oBlkelHl7IwqaLh7DQi0ja9G4RUdVQ2Dl7oF4YJlWUNMXunJP +yXrBTZYrtpBO6IYLCkPeDG+iQp42QzW8LmPhRbW8LIfMzNPh6ywmD7v/MrXb +j9MBXy8Tvo6nfC08tnpR0wJTHGQEW80sPamIb5eVvF5eMk9GGFs3c9GRtETh +OrhONBFLvD0Yx7xBpg1ldsrgaGYpjUmcIaCdbwENaY8g1DKzZquRftyKbIAx +WEX0wIjAQoYsB1mYxEAKIRZbsBN64WKf+Sc2HjiN/qAZJlpDDS9uNsZdXHfd +YGrm+fA18UwqD+867Zf7uhK+vid8PXXylucEX/NbbHjzuJp3mlLFwUcwVhEX +s4pILYcaYVx0yJbIBzHuOlTEDjEQg11RSg/ce2hDmZcyT5ypvdEGWkB79BbI +QNaCo20HYhUaWPmTZS+EWmylKsPohFIVitsnD1xs7OLNcJBshjK8RrHwSsyG +KIdy+OpN5eEUxtdDp34/85RvJV+vEL5a0hUYVYiHVy5tUsZGsA9FReSWA0bY +UzUlj9WWzBAtMRnEqOtwIca8vWo8WFe0BZlWF2MpM+MM7Y3ZQXOGWhRrnmjL +B6voHmgJrNgqGExWWCEUsfXEl1gnhAMXVYUJXPdb4EpWXerYpTZDGV6X8/Bi +ZmPskKQckuFrAhu+JvWi8vDu036+H+Fr42stP3mjWQ1ViEdUsi0zGcFWkYr4 +Ucn7a0reZpbjtco0wrirnylbIhjE7tzUWC7FAGKHCsSUcczoio4gC6VMHc08 +cWYZ0GygBYVaHqypuDUQVuGBZe6wspMlr5vcEsMXW0gnxAcuDa5tKlyp0zCb +IdcaMryu4OGllUM2fN16Vt2knoSvXxlfPyh8tf/8lTacL6ro3z2CKg4ygn1A +RjB6yEEq4iJSEZnlmKtG2OOgJSqDGNeJJmLJagyOY3pXTIMsOalqNhKjbFiq +8SFlTOY7RzNBWdCAlgU0X4HMwlp+WGE9MDawXFWwOLKCYktTGe6BK/HwClzJ +kQaw8XLsEs3wwutEeF0jwovtlKXZ4OVw/AV0+Lq5D5MbPamcv6cbPd54uHPK +10ucr1afMEVPFeLiwyqWNU5GMFARX2eifg6PMLZu5qIDtsSpYukMEbvZhZjS +FdEg0+qiqj5CKcsQZ8WAFhhqoaz5riwCxit7D3QGlr0KFkmWogdhIdyUkuWI +LdkJ4cAVBRcXhtrYJZrhRdeK8LpahNcV9N86dNUIoxz2E3KjB5Xz93b7ifG1 +9bGUr81z2mx8tRVbgVGFSBXH0oPJCLaGWfplrCJyy8EjbBaLsOdEhCUtUej6 +eze6ELvRjpgtyLS6ONJVF3XKLKOZQlksaBdYQQsNtSjWzjX5yj+wnO4iF7L8 +oxZeCNXYAp0QGbhC4LpLwMWdxsQ6OnYxIa80QzO8hNlIyiEYvm45h/7mBpWH +3X8hfE1L+foa8rWgOVX0QnHIESypiGwRtkCLsOqSJ5noSFuiHMS469hEXYcP +MWo8lK6oSA8tyPC6GEiZMZrhcZYNtNBQy8BaFqyyBVbxZCl3gz6y0EIIY+tJ +LLbUgSsGrh9VuMDYJZsh1xpmeEmzwcshHL6Y3LjtdL78Inxte8Tga17rTxdw +RX9U1XuHU8XBRzBREd9bKyyHGmFPC9HBW+KDoiXeo+pEEzGwfTbHsaNgV7QH +WWbKIuPMGNCKBy28QFLW8gqs7GTNzpcsoxA6Yytg4AqCK7XxYOyCzTDVGmh4 +cbPBymE6fHG50SuR81PZcZTOV9tNki+uOBYfWr6sMeGLW/q0IgpRDyNMig7Z +ElPENinGHkUslYqWrqgHmfAe2Smzl0aPBikKtCJCLY/ACh6y8iLLHLVchRCL +LbQTojYjEC4pDNWxK2mGUGtg4YWUQz58MblxO+Xr5/u6/qjwdSLlazblK1kx +U7644hAjGLP0iz+mFZFbDrprNiKMr8MeBroeDmI+xPRxDJUeWpBZ6mIIZbgA +8caZ0RtzBs3KmhOrhgmsjGRpEsNRCCNjK+2EiM1IN8gRcLGxiwl52QwTrcEW +yiOuSsMrNRugHN7YXwxfTG7c0Z3KeZOvFxlfc1vTFfMbzWreOmb9oqYFqjjo +lpmMYGlFZIswLuplhL0AXD0VHaIlmojdFoqYNo5B6aEFmaMuthjupCywNNri +rBjQsrDmCKw/BFlRhdAaWwGdUA5coXDJVRcQhmDs4s1Qag00vLiWT8JrCDDz +/dlZL5cbkK9TMb6O/4yvwN46hinEdAT7CFZEZjmoqFcjDIoOpCWGIKYaDziO +mdJjlPggGgaZoy4WRxkaZ0hv1ExInqBFBtaOJgsrhIrHMGIrNfDpVQbeCXWb +EQ6XvNMAYxdvhlJryIWyDK8rLhdmg/A1FJRDOXydTS+jJp9B5fw97Hhj+qnb +ZlC+vkn46pDy9XrzWq4QqeIQIxioiHQRxiwHGmFaS5xmuA5p7H2IaeMY7IpI +kOVJmas0BvTGIND0VRoKmsJadBXczmSFFMIMseWFa1oMXNJpkLFLCHneDKXW +0MLr8itSswHLIRy+ErlB+frlnm4/Mb6+n9HpO8jXLM5Xq5QvqjjSEWzVqtIV +oiImlgNGGHD1eEtkS2dp7CVit+iIpd7eMo45gwzxHsVSFhtnsaCFhlpEFWxI +spwSI6AQorEVOHBJm+GGS54XKqsuZewymyHXGkh4aWZDlkM+fAm5IeU8XS53 +/YHz9Tjkq92mV47/7NVWnyxorigOMoItL10rLD2viItYhL0uRP1sEGFUdICW +iAxiNsQ264gBqaiMY4b0iAoyB2WthhoCBC2NOwY0HSvfkIWKwXCyciiEGWJL +8YQ2mxEOl+E0xNhlNkM8vISWF2ZDlEMwfCVyoyfj6zSEr2cTvuiJL10xC4W4 +6EimONIRDFbEt7UIK6QRlogO2RLBICZdhxOx5IZKMx5GV9TtvRpkoZQNC6Qs +LY3+OLMOaJjbDwUtXl9sN7LCY0tcEhoG3uiENpthh2uqBS7oNMTYZTbDdKEs +dl6XifCiZkOWQ3bTqwxfQh4yOf8LP456SOfrC8pXm5QvphCZ4mAj2Ao6gikV +UVgOLcKoqxct8VG1JcpBTOjE9LQjFjGtK1qDLK2LicPPQJm3NBYRZzGgxeiL +BiYLLYQe/R7RCZGBKyNcyNilN0OgNeR3KDC8qJbXyuFg+ms2fPjicoPLw9u7 +/8qXyylfHTlfX70g+JrX+tP5LTYwRV/9TtOq946opFvmZAQzK+IbZSXzy0vm +qREGRYfZElWdmJ52oIip3j4dx7CuqAeZsy6GUhY+moXHWSbQ2p+//ckyt1pm +IYyPLdAJnwCd0A/Xt9nhAmOX1gyhk1fCS2p5oxxqwxeVG0wecjnPjqMQvl5u +9zk/kZrfkvClKA4xglFLzyriElIR16QV0YywVHSoLVG6DqgTLYg1SRHTjccR +Y9GumEoPGWTuupiZsh0BWhFkYXeDs21kZS2E3mnL2gktA1coXOgeWXUaZjMk +fN2gag0weY0clWr5ZO0lyyEz83z4optlIA8Tvrr+yPl61MqXVIhUcYARjFt6 +XhGVCGOinkfYSyLCFNHBWqIyiAGdKJdiKGI3WhCzd0V7kIG6aKNsuEGZXTOG +U2brjTGg5UoWqgcjCqFHv2fphBhcDznhuk+D6wcXXFzIg2aYag1beEmzoZVD +OXwJuSHkfLJcntZlm52vdAXGFeL7f6NbZjaCUUsvKqJpOZQIE6IjbYm2QcxA +7FYnYuY4pnZFT5CpdVEfymIps5fG2DgLAC0zWVlHreyx1VuPLdgJnQMX6uER +uLYicGlOA66S1WaoaQ09vICWB2ZDLYdk+AJyYxKTh3T5Jfh6uDPGFzuR4nwl +CrGp2DLTEYxbelkRE8vBRH2ya9YiDGuJ2iAWgpjh7eE4xtU90hWdQYbURUN9 +uCkzBUj2OHP1RgmaQpa5z8pr1MrgMWJjywqX2gnT2ycfXKYwlGPXxBQu2gxV +rSGdvBZefKcMzYYsh3D4SuVGz2T5dU+35PgQ4av957PFipmvwLhCpIoDjGCy +IqqWQ4uwF2GEgZZoG8SgsTcQO1hDzDAeeldMP2/BgsxdFxHBmANl1jiLAM26 +Kc5OlrUQ+j1GmMqwDlwNBZfqNIxmaGoN+R2KFl6p2QDlkJv5ZPjicoNfRjE5 +f5c43mB8bdX4eknwRVfMUiFKxcFGMG7pYUVklkOKehhhLxgRlrZEPogVg5hn +HLMFmacuutRHZsoyxRkCmkmWXw9qo1YxhRCPLYfK8HZCu80IhAsXhtrYlZ5q +wGZ44XXJnTwMr0tHAy1vlENk+JLykPL1K18u43yd8MVL4sSXr8BShcgUhxzB +sIooRb0SYUB0aC1RG8Sk65BLMRQxeEMFjIc5jmHSQw0yZ12EQ5mVMq9mzBRn +zgHNQ5ZdYmQshLGxZeuETMJvX7j0sQs2Q6k10PDiO+XEbIByqA1fqdxg8pDL ++Sk4X1sIX88LvtiJFF+BJYqDXnEoI5hZEbnlQCPM0RLhIGZDbCKOmOLt9XEM +64qOIAsYyhqEMnecIaDlITEyx5Z2AK+pDF8nLB6u+wFc5qoLGbuEkMeaoXTy +aHglWl6svZRyqA5fUB4Cvn548FQHXxtfZSswqRAXNS28d7gcwbil1yoitxyu +CMNaIhzEpOsQxr4oxFxdUQSZ6j1AXbQOZbGUhZfGsDgLIkvbam3P2HJ1Qpcq +DITLOC9kNt45dmnNEGqN5BpKCy+h5aXZSMohMPNy+ErkBpeHYLnMjg9xvmYR +vsAKzFAccgTTKiK3HHLX7IgwvSUagxjQiX7ETKno6IpZg6whKPPHGQJa+KiF +FMIgj+GLLQ9cX2wXuEwbbx27eDOECy9reImDKGg2zHIoh69kswzk4Z0qX48A +vp6RfLVL+HoN8MUVhxjBysQIllZEbjm4qBcR9oolwmRL1HS9G7FJCmLKDZXF +eKBdMTbIHJS1CKEsojSGxFn+hTAutoI7ITpwFQnX1G1OYcjg0oS8qTXM8Bqj +hJdpNihfvByawxeTGwhfXVC+6CfMs8CKWSh6qTiSEUxaelARoeVwRBgUHWZL +lK4j0YnyesqFWBMMMaQr8h20N8hsddFUH7lT5o8zbyEM9xhBsRXVCdWBy7QZ +uofPCy507GLNMN0mY05eC6+RMrws5TAx82CzTIYvKQ/5cpnx9aOXL7piFope +Kg46gh2ajGDC0tOKuJpWRMVyaBEGjn6h6OAtER3EUtcBEJscgxg6jlm7ohJk +lrqoDGWIYIykzFca0TiLKYSZYks18NkHLtRm2OCa7oULF4bYKllthpjWMMNr +BAgvaDb0cmgMX6k8lMsvdrzB+Ppe4eskxlcHwVe6ApOKQ4xgh5QvVyw9r4ia +5ZAXv2mEGaIDtkRtEKOuA+jE5LTDiZjp7Y1xzNYVw4PMP5QVQ5k/zqIKYXhs +5dQJbQMXUIVBcH3nh8s7dhlaQ3PyengBLY+UQzl8DWDDlyY3mJynyy9xvCH5 +mmnw9XLKl6roGV9gBNMqomY56LmU+GhFRhgUHVpLNAcxqBMdiBk3VIhUzCPI +MlPWIoQyS2k04izX2CpSZcTD9UgkXMiqCxu7HM3Q0Bp0oewIL2k2zHKoDl+p +3DgzXX7ddVrC1zSMr+cEX3LFzBV9qjj4ljkdwRJLzyuiajnguRSPME10uFui +5jrQ0w4fYs5xLCLIkLqYB2WIZvRTth1iS19vBcH1Zagq9MJlHsbfa4croBma +WgMPL3lwiJXD1MxrcoPJQynnp1C+flL5+hblK1kxpwpRKA5lBDMrorQcya4Z +RJguOsyWaHcd6FIMQ0zeUHnHMaUrokEWVBfVoSwzZaGlsQFjy90JY22GVRXK +703C4Qp3GkYzNMNrHAgv9gs2l8LwkmYDlENt+IKbZSgP5XL5PvHxF8bXF5Iv +RdFDxcFGMHEohVZEeo6o7prnGK5eW4fJligHMeg6bEsxiZh7NRbcFa1BFlMX +wyjLNprFxBZ2koGoDFcnDBy44j28Ay5s1aU7DfUOymiG+sIrJLyg2RDlcIxa +DrXhK5WHQs5POe1nfhyl8fWk4Eue0L9yfLICUxQiVxx8BEstvayIchGmiHoR +YXPUCNPWYbIlykEMug7D2Mchpo5j/q4YGGTOuqhpfISyQAGilEZ/bBXTCbMN +XA0Bl7TxDqcR0AyRhTIML1PLM7MhD+ZlOUzPovjwZchDg69tNr74Cb1cMWsK +MdkyH1q+9OAy9ZBjBViEJaIe7JrNCEtEB2yJ6iCmuQ7D2Icg1kRDzDKOJV3R +FWSG99julLE4i4itzJ1wR8FlrrokXIFjl2yGmtYwwmuYGV7MbOjlkPN1oT58 +6XKjR7pcdvF1IudLnkjJFZiqOOQIplbEVawiYpYDiTBDdMiWiAxi0nX4EJuo +IZauxnTjEdgVnUFmr4vqPhrWxRjKMAFSbGyFd0LHwGVXhR64kCWyd9XlG7sm +1pnNUAuvixzhpWl5sxwaw5ciN85Ml198uWzn62vIF18xc0WvKQ4wgklLDyvi +YlAR4a55bqVyMaWJDrwl2l1HFGKoVMQQM6VHVJA1IGXDAF/RsRXeCfWBC4HL +pgrD4JoeAJcUho6xy90MM4QXWg7V4SvdLBtyni+X+XEU/zjFxVe7TekKLFX0 +4pBeHcHSiliqV0RnhCWiQ12HIS3R4jqyIYYZD70rRgVZbF0slrKI2LKpjKI7 +YYPA5RCGIWMX2gw1rYGGlzAb6dpLK4fa8GXIw0k90+Wy4OuHlK9TEr6eVvgC +K2ahEIHiECMYsPSiIsJFmCvCwLrZ2xLNQSwGMX01phgPMI45pIc9yPx1MWfK +cu2EOwAu8a8QygSXuxnanLwRXshOWV176Wcb2vBlynm+XGbHUQ6+njX5Yl+p +LGieKsR3miojGLD08hZxqTfCKpUIQ1si1PXaIKYjtikzYkFd0RJkyS97BNbF +vCgb3oCdMCtc59jgMn79KYFrmhMu19jla4bZwkusvVzlkA9fQm7c3If9ZpSU +8/J4Q3ycYuNLfKJCf0VKrsCkQpSKIx3B0kMOWBHpua8twsDFFBQdWku0DmJR +iOlninz77DAenq5oCzJnXdSGsiIo2yL5ytQJc4Lrq6LhkhdQNmFoGbvMZujU +Go7wunQ01fKI2TDLIeSrPzubx+ShON5gx/OCrxk6X/QTsBfbb9ZWzEAhUsVB +t8zKCGZWRG0RpkWYeTElI8xsieYgViRiE0IRM6WHN8isdVEfynT1EUhZi+Ew +tnIauNw2w+Hhs8Klr7pUYZg2w5+yN8PY8MLKoT582eSGxlfXcL7YCowpelVx +iENfPoI5K6IQ9f4IA+sw2BK1QUx3HcDYo4hhx/Z2qRjXFbMHWXbKYjph5MCV +D1zIj4KGCUPL2KUIeavWiAgvy9qLl0PxI9iGmdfkBrb8kseHHr7kCSJbgb3a +6hOp6JUtc/JzHNLSi8/BREXULIczwjTRQVuioeutrkNdirkRc0hFuIC2dkVn +kJneI3wos62kdcqw2IocuKw2o1i49I8ls8GFjl2OZuh18oHhpZVD2/AF5YaN +ry4Ovr6EfCUrMKboU8UBRjBQET82KqJpOcwIc4gOTddrp4kOnVgEYqFd0R9k +uveIVR8WyooduNyq0Lvkygsun9PwNUNca3jCK7wcAjOPyA1EzqfHUQlfpzr5 +YidSUNFLhagoDuVQClZE3HKwcykkwlRXb2uJoYOYMPbxiGnjmLUr2ux9QF10 +D2UBlAVJeDhw5agK84PL5TTwsQtphlat4QwvaTYuvyJZe8FyyM82rMOXlBtM +Hqp8Jccbkq+HOzO+Opl80RPEWYAvRHGwLbMcwZil1ysiWIQpot4XYWhLTHR9 +AyKGGA+0K2YKMlddjKPMP3DZbcYfFy7r2GUIeafWsIZX5nJoDl9SbgA5f/vp +v4bzpZ1wmAoRHPoqlt5REZ0RhooOa0s0XEdxiCHe3jeOqV2xiCBzqg8LZTED +F6YK84Ur7nY3bOyyCflArYGFV6rlo8qhHL5scgPwdZfK13TOVyfG1ykpX8+d +oK+YJV9QcfAtszGCIRURWA4zwuYZEeZqiYbrSPn6lPIVi5hDKjrGMVtXtAdZ +KvB9dTFAMAYPXH5V2HBw+W18xNilN0OHk88QXno5VM08Ljc0eSiON7x8PaPx +JVdghkLUR7DE0q9N+SpdgYl6uWt2Rxhch2kt0TuI5YeYTd37pYcryPx10UlZ +Djaj4eCyrbpCnEaGZhgQXi4tr5VDeLbBzHzC1wBMbhjLL8jXNJOvjlueMvgS +KzCm6IFC5IrDGMEcFRHdNcMIU0SHvSXONFsiOog1DGLFB1l4XYRDmULZ/264 +wscuezNUtIY7vAwtn5gN42BeKYfm8MXkhi4PLXzdj/H1BOHrZIUvdQUmFWLt +Qq44tC2YvSIKy2Humm0RposOgNhMdBCzIHa3EzHsq0yX8XB3xWxBlmUoK0oV +2jfI2eGKdRqWscvfDI3wGuAMr0Atj/DlGL6Y3EjlPOTrNHnca+frJISvVNGb +igOMYIal1y1HcISFt8R06dzAiOnjmLMrOoIsrC46hzKrKvyDwhUzdrmaYao1 +0PC60BleycGhvxzahy9DHiZyHh5HWfh6DPLFTujliZSm6F9vhoxg0NJjFRFa +Dinq5bmUjDBcdGgtEUOM84XrRHHa4UUM8/Yu4+HriiFB5vEeOGUhNiPqPGMH +w+Vohj6tYQsv907ZuvZChy+b3GByXl0uh/IFTxBdClEc+hK+6LcqaEVMFmGo +qA+MMLMl4roeRJgXsdv9iGUex1z3VEXWRUrZHxquQKehjV1mM3Rqjajwcmt5 +z/DFNstWecjkfABf3yF8sROpWZAvoRA1xSFHMMzS2yyHGWHaujk5SlRFh94S +3YMYthTLEbHgrmgLMov3sNTFlLLtDZf2c9bYHjmL08DHLrUZTqzTtYbq5JHw +smt5ajbs5VA38265IeVhsvySx4d+vp6VfIkTjlTRS4WIjmDgkEOtiKjlcEQY +Ijq8LdHuOsylmI7YpnDE8HEsSHr4gwyvi/pQFg/XF1FwnZ0fXPk0QzS8xvvD +y6bl3eVQH76k3HDx9auPr2+fED8xKvmiJ1LtOF+6QpSKAxvBuKVfY1uEGbtm +JMIMV5+KDkPXB7qODIjZjEfMOFZUkOF10enhGwquSBtvH7uim6HVyYeHl81s +RAxfpjwEy2V+HDXltJ/v6fbT1G4/anw9qvAFTxC1FZiiEJERDLH02iIstRz2 +CDNdvSY6eEtEjjpAhKGuw4bYHRGIHXxTTFfEpUdAkLnq4h8JrkinEdEMLVrD +G15wp6yaDX3tJcohbubZ8HWDNnyJy15t+XV7d42vH2x8GSeIiqLXFAc6gslD +DlAR4SJMEfX2CLOJDrwlWgYx797ZgZjd28eNYyH23ivwFcr+oHAFjV3Ghbyj +GapaQw+vG/TwQg+iULORlkNw06vczIPhC5UbyPKLLZcBX9umi/veUL6AQpSK +wzKCmRUxtRy2CCtDIix19eEt0RjE8kIswzjmkR4BAh+piw0PV7HCMHjssjRD +oDVyCS/UbLiGL7hZlnJDlfPa8kseb0ztKvn63suXruiBQkxHsKbpCLaY8OWq +iGmESVEfFmGOlhg4iEUhZruhciIm1X22IHNsotW6+EeAK9ppqGOX3gzDtYb2 +HYoZXlDLm2svdzk0hy+H3LDwdZ+dL/OEHuGr5YZUcegjGLD0ZkWklmOZKur5 +rtkbYZroCGmJuOsoBjGnVCyiKzqCzOI9/qhwRY5dejO0aw10oYyFV4jZSMoh +PNvAzLwpN3LnC66YuaK3Kg44gimHHGlFNCxHYIQpokNbhwUMYm7E7o5BzOLt +PcbD7IqmvUeDzFoXlavdDHDZ/kUMJlzhwtAcu5Qjw/BmmEN4mVo+oBwawxeU +G0Ie3txH4Ysvl02+HojhC6zAUoVoKA7N0tsqImo5kl0zFmHQ1aPrMKQlojrR +thTLCTH/OFZ0kKWU5QyX7Ugj3mnk0wyl1iguvDSzYZbDkOHLlIeKnBfHG/y4 +N5AveUKfrJjbbZoDFL2hOMCWWVh6cMghK6K2CNMjbF0aYQtEhMF1syk6ZEuE +Rx1u15EjYkAqNrYgZg2y6wzpERdk0XCZPwpqwhUlDGPHLncz9GkNR3hdpIYX +YjZ85dAzfIl/W0oqNzC+7ozlS1kx64oeURzGCIZVRMRy2CMsOfpFRUdwS0Rd +R2bE0NVY1Dhm74oxQYbBZT9/wuGyXkDFC0P32FVUM4ROHoaX9p2XpuX1cmis +vbRyaJp5TW4gcl5ZLifHUW6+5E9wwBNETdGrCtE3giEVkS7CpOUwRL03wnTR +ETaIuRG7N2fE9HEsW1d0BVk8XGHnhZmdhjl2YUI+XGtEhxem5fWbKGc5RIYv +KDekPIRyPoyvR1x8pSswm0JERzDV0isV0bQcRUSY2RLdg5jD2OeLWHhXzBJk +RcKVgzAsshnmGF5Os6GtvWg5tJt5bbOsyQ1FHup8/SqP5718PWXwpSl69kMB +uuJARzCzIqqWQxH1zghLXL17HWZEGDqI5YiY09uHIZYtyFxwiR8FzWjj/U4j +fOxy3BnatIbh5MPCy2M20J+ywYYv7axXkxtQzsPjjTi+0hN6fQUmFT2qONIR +TD/kSCqiughDLYc3whLREdkSPToxf8R04+Eaxwzp4Q+yHQOXd+yyC/lQrREZ +XoiWt6y96MG8pRxqw5dVbhhyXi6/xHFvFF/ICYdU9JAvRXGwESz9RSlPRdQs +hzfCTFfvaomo64A6MStit2OImVIxNR76OJaxK6aU4T9QU8QeOeexK0MzRJ28 +I7wsWt6x9nKUwyC5IeU8tlw2+Xowhi+xAkt+ix4oRPsIJn6Rw1YRVcvhjrBX +jQjT736NCLMNYprrsCK20YeYcaZY7DhmtfdGkEXDFW7jQ+DKpxm6tEZseEGz +4S+HmJl3yA0oD9Pll4WvqRa+kp+gTz6xNFbMfAUmr3wxxaGOYGhF/NhSEVNR +r1786hHmFh0xgxiuEy3XU/kihnVF/ZjKE2RBcIWsumxOI8vYla0ZqlojILxs +Wl43G5ZyqJh5c/hC5QaUh8bySx5v0I9TfHzxT5j1Ew51BSYUoqY40hFMtfRa +RYSLsNRyAFEvz6XMCEtdfTxij/pcxw5BTKr7MOkhKIuEK9zGR45dxTTDMCev +hxd2EGUzG7Zy6DiLQuQGlIfa8svkq5vgq4uDL/VECqyYgUJMr6RsIxj/xRus +IlotBxD1WoTNNyLMKzpsg5gfMXXvHIIYcuBhGA9jOxbXFZUgi9oj5+k0Ipsh +fgqVb3iZZiOgHAYNX6Y8zJ0vdcUM+dIUB9gyo5Zeq4io5QiMMNzVOyPMHMQc +OjESMftqzI6YcxwLkB45rbpy33bZm2F+4WXT8hHl0Dl8WeWhufwqmi95woEq +RFNxKCOYsyIaliMowjBXHz2IQdfRYIg1TFcUlBUPl9NpBIxd7maYfpts1xqG +k0fDayAaXgFmI6Qc4sMXKjegnDeON8DHy06+Tkn5AieImqJXFKKqOJQRTLf0 +roqYIcIUV29piTZdb3UdOx4xV1dMgwzC5bHx4cIwZOzKFl62ZphTeEGzAdde +ejlEzDw+fEG5octDbbms8/WTjy/9xFdX9Jji0LfMZATTLL2rImqWg0TYInuE +6evmsJboHcRQYx+I2J1ZEAscxyxB5tkjhwjD7TB2wWZou9aAC2UzvMYj4YWa +DdtNFHLTq5ZDv9zA+JpUPF/JiZS6AgMK8VWVL8sIZt76ahXRJur9EZapJWqD +mM11bGfEYrvi9ZHCMNRpRIxd1mZoX3hZtIY7vNCdMmo2IsphwPCFynlk+SU/ +Tgng6zGVL3kiJVdgsxW+oOJQRrB3zBHMqIhyEQYsB7prViIMXTc7IwwdxByu +w7F3zgGxAONh6Yqq9HDDlffY5T3VyEFrxIWXxWx4y6F3+ELlIbL8cvJ1fyhf +Xz1vrMA0hWgoDszSuyqiaTn0cykQYa8XEWHmIGZzHe6lmBex9EwRXY25xzF7 +V1SCLE+n0SDN0KE1rE4+NrxQs4GWQ4uZZ8MXKjfYZZQuD6Gc148Pk48rbXzN +SPhKPrF8SuNLXYFpCnFB8/TfqAL4Ug+l8IqIW47gCLOJDndLdLgOVCfmjhja +FScY45hLenjgil8l59UMXVojQ3ihB1Ga2TDWXvBrygzDFyI3gJyXy6+i+BIn +iGDFvBnyRRWivJKCW2ZzBPNURNNyQFGPRlhyMRUsOp7FWqJjEMsRsfzGMbUr +5us0VLhybIY+Jx8WXlYtb197uc08OnyhcsPB12SMr3sNvh461caXvmJWFD2m +ELURTFr69JADVER9EeYS9Z4IC26J7kHsD4eYoysGwBW+So5thuLO0Fx4hWiN +2PBCtbzFbFjLoWHmA+UGcjkPl8vqx18YX9tSvui/Agye0MMVM67o1SspVXGY +I5izIqqWIyTCkHWzsQ4L1PWo6zCXYg2CGDAexjiGqPuEshycxv8t7oxRp2qC +IH4a8QAmYmwkKGYe4zuDmIioKJiJHxgYGRqoIIKheALBTBNF7yD/3X2z093V +3dUzs+shiqr6dc17A7WLT4YQa8yZV8PymGyk4ZAoXxScN+ON3Zfny/r639GX +RYg+4jCUfhcRuy2ipRw9qLcWdvEu7MugheVFLMCJ6u5cllgOFWtZMRHXgtqV +jng5rLHEvHyyUQ6HqHwBuIGWvfq43I2jxvWlTsw7RL8hRIk45E/BdAXbfZEj +jIg95ehvzbGFqV39QErsixhkHWeQGF3HNiOrMY10ZMhONSawxhLzQmSDDIdk ++bLwkNPXH0Jf2xNLPZECiP6IEA3iEBHxMqL0x4gIKIcD6gsWxqVEhethEUvu +zo7ExJNMuKGioaJbx6Zq14JkyGGNheYVko129mrhUG96s/KVw8Pu+NXGUSV9 +tSfMZoKI9dUhxLCCcRERUo6ihaUpMS5i/1BiZB07GtlQ7aokw5QZklgDrqFm +zEuRDSIcNjIvPrjhX5ZTfd3l9PUk1Vc/4dAnMLiS8ivYRullREwpB2thDugg +Rx0864ASezgnMYJ4mKyYiGsayA8mw+CgTJvXynCYlq+Svm5rfd1brK8O0RvE +gYf0O315EdEcwmILewstbCIl6iIWsg6P2KuBYlVig8RjrnZxyXAea3Dm1f7n +FWB5KhyaB19B+bJwo3D86sZRSF+/Un31E6mXUl9m5bvpy6tgltIfI2LbSvWU +IwD1vYW98S2slBL7IiZYxzqJ3TcSS7l9Usfi2lUD8jgZoikUw+SHzGuQbATh +sFq+IDw0cB6OD3l9bU8sv2t9XRP60ggxuDLXImJPOS5A/ToLS3E9LGIBThyT +WOk0piQmllSLaleWDM9jXiUsvzcvJxy2P6Qw5cuFGz6c1+Oo7nFKoK9nSF8v +On2JE9iG6A8I0UUcuoKBiIgphwL1sYUloCNLiV4RY1jHSSWW1LHk2nW6ZGgf +oYCDMmVePNkIzl4gHHpknrgsN3jowvl5fW0TejXh6E5gNOK4+CKiGUqhiIgo +hwL1wxYGUmJYxCDrSI9icxKjoKLIirXaRSfDBViDMK87tHlRZMMJh20z75Yv +Am4Afd0c05d+YmlPzAbRA8ThVjBJ6U1EDCiHvTUfLIxk9XRKhKzD4sRYYo8W +SSwhHiuT4fjBK0yGc+ZFkQ0mHIbli4eHeHwo9fUg0Jd5Aib1dTiBCUSfIw5A +6cOIeKQcCNSzFhaADiIl4iKmWMeJJZbXsTqQn0iG5zWv9OxFhcOezFfgRqKv +W3bcO6UvcWLGCNEiDoLSRxFRUQ54a8YWFoKOsZSY4sSCxL6ukNi+jp03Ga4x +r+Sm7G82+HDokvm+fEG4YeBhMt4Y19cPRl8CIXqIw0bESyYioq2UQzmahb2z +FmZYPZ8SeYm1IoaJ/TqJ5cTjJMmQwxq1NRQ0L/qmXAiHDpnH5auDGwIeWjhv +jsu+vn4H+uo+EdAmvu6JuUeIr68cEEf/ljmm9F5E/AQi4ioLsylxuIgp1gGn +HWWJmetzIrGKuMhkWMIay8yrSjbScOiWrwxuCHjY60vCefv4a0RfYsLRTmAB +QqQqmI2IH48R8XAI8ykHb2HDKREWsTGJPZ6QmOD2kHicKhkGWGM3NXQPynXz +qpINLxzaTa8Ih3W44cF5MY5qj7/2j5eL+npu9HVE9AHiOA7pdQWTlB5ERHgI +C2/NuYVtoGM4JQasIz6KLZKYDxXPkQxPZl7eIMojG9Vw6JUvcFmuwPkBfT0t +6ksj+l5fZAVzI6JDORwL++xaWMzqyZRYYh3LJZYTj8FkOIk16ual/+flmBcm +G3Q49Mi8d1km4WGkrxuZvq5rffVPLO0Esenr1dVvh5VvjxAN4ggqGIqILuXY +g/r9rXnUwtKUmBaxc0qMgor1ZFicQo0xedK86mQjD4eSzKvylcANDs4v1Zec +cPgIESMOp4LREVFuObCFvTcWloKOKCXWi1h8FAPrqTmJCeJRSIaDWMNh8hPm +RWJ5NhwyZN6Urwhu+HBejKO2cW9FX3ZC75yYIULEiENUMBsRP8iIqA5hHqiv +W1g1JcZFzGMdCyVGcfvFyXCSyXvmla15Y7LhhsP/nHAYl68Qbqhl7yJ9/WT0 +hU9gHkI0FYyKiHor5VEO/O5yszA9+iVAR5oSgyIWH8VOK7G/5coc2Q== + + "], {{0, 288.}, {288., 0}}, {0, 255}, ColorFunction -> RGBColor], + BoxForm`ImageTag["Byte", ColorSpace -> "RGB", Interleaving -> True], + Selectable -> False], DefaultBaseStyle -> "ImageGraphics", + ImageSizeRaw -> {288., 288.}, PlotRange -> {{0, 288.}, {0, 288.}}]], + EdgeForm[None], GraphicsGroupBox[ + TagBox[PolygonBox[CompressedData[" +1:eJw0nXf813Pb/vvs/TVSKVSUBiktUSQrslJCVmintIdKaVNRtGWGLiVEsvcm +W/aMrOwtI93n83Ec9x/n43dc5/s8z9f4vN/P48t93fdvz97Dug9NVqtWrUuj +atXS8f8mIvjP/SP6ReQi8hFDIgb7WSpigGvQ9A10Dp2JGOQcOhtxvnPMKkRc +4JnoYsRQ59CliGHO7RixU8SYiNERlYiqiJERI1xbjhjunqz3PNhrlt0zwjU7 +R1SPGBcxNmIHr8HsUZ69gzVr7B0RV1NtTsRs72Vn97Knhq7h2aURdSJ2i7g4 +YnJEjYiaERMixlvXipjoHHrXiIucQ9eOmOQcs3aPmOKZ6D0ipjqHrhsxzbkG +3hN7uSSifsSeETMjZri2XsR093AXu0Rc6Dup554ZrtnFZxjvmr28BrNnefZe +1jO99zo++yTfXeOIub7DThGHRayMuDmiSUTTiMsjLrPeJ2Kec/tGNIu4ImJ+ +RPOIFhELIxb42X4RV7pmH/fM94xlEVdFbIv41737RyzyjJYRrSKWRCy2bh2x +1Dl0G89Z6rWae23WbBtxQMRyr4NuF3G1c+gDI65xbn+vudh7aOw7uMx3RO1B +Ede6p31Eh4jrI67z3R0e8T/f4cERh0SsiLjBumPEjc6hD424yblD/Rvc7Byz +joi4xTPRR0ascg59VMRq546NOC5ibcQdEUdHHBNxW8Qa13aOuNU9B/kM1/lM +nd2zxjUdfIYbfMYuXoPZt3t2F+vbvPbxEXd6D+gTIu5yDn1ixDrnukacFLE+ +4m7rbhH3OIfuHnGvc+iTI+5zDt0j4n7n0KdEPOAc+tSIB51DnxbxkHPonhEP +O4c+PeIR59BnRDzqXK+IcyKeingy4syIsyIej3jM+uyIJ5w72z1POkfvuRFP +e8YZnvGY1+DZeRHPuAbGws2NEW9E9I7oE/FcxLPWfSOedw5PgPsbIl6whvsv +OoeG+y85h4b7LzuHhsuvOIeG1a86h8YXXnMOjS+87twF3vMbzsFoWP1OxNs+ +C5x/02dCw/63nBvhnredO89nftZ3wrvDO8O7ss6z8YZ3vQbMh/3vR7xXTcyG +05siPvEzOPqBa9Bw80Pn0LD0I+fQ+MDHzjELbn7qmWhY+plzaHxgs3NwGu5u +ifi6mjwArn8Z8YVrp0R87p4J3vMnXnOKe75wDRyH7d9GfFNNHjDDs7/y7OnW +X3rtWa7d4l685zvPGO07e893CJNh868Rv1STb+ILP0R872dw/DfX8Awu/uga +OIkv/Bzxk/U8z/rZtZf5GT2wG0bD5mWeDbd/9xpLnccb/qkmJsPmvyK2VhPn +8Yk/I/5w7wLr3/1skWv/9Nln+yzcwRKvwey/PXuJNWu0jj+42kQsi1hq3Tbi +KufwDHyAP8y2VxPT4Xg2/nMmoWdwNZFQDRrWJhPKoeFqKqEc+oaIdEI5ZuED +uYRmovGGfEI5ND5TSCgHs2H3DqGrEvIIfKEcupRQLT5STKjnBu+ZvbImz+ih +lhqYDtt3Cr1jQp7BGsyuJDSbHJo14NjjEQ1DN0hoL8yglz3BOGp4tldCjIfr +tUPvmpBn4BO7hK6ekMYnaiSUQ/Pd10woh4YFtRLKMQtfqJPQTDS+sFtCOTS+ +sHtCOZjOntjLngl5Aj5QL3TdhGrxjT0S6uEu8L6dE7oTntFDLTU84wzsnRo8 +hDWYXT+h2eTQrMHeuQPOzhm4O3xi74TuECbBoU6hD03IR/CNxqEbJaTxiSYJ +5WAkrNwndNOEPADuNw+9X0LP8Il9E6qhlx5qmcHfRLzTvMv/uRefaJHQDDwC +X2gZev+ENL7QKqEc+lV/N+RYC29qltCaeAS+0NbfEhqvOMDfFRpfaJdQjrVZ +k7XYA3fDHXB27ohafOPAhHrwDLyjfeiDEro7uH1YQneIR8C5g0N3SEjDvkMS +yqHxhY4J5dD8Btw9OWbB5cMTmomG1UcklENvijgyoRzchsPHhu6SkCfA+aND +d06o9tOIoxLq4Sycgb1zJp7RQy01nI0zsHfOiCewBrOPSWg2OTRrsDZecFxC +e0DjFccnlEPjCycklMMn8IWuoU9MSMPJkxLKoWFnt4RyaLjfPaEcGq6fnFAO +Det7JJRD4wOnJJRD4w2nJpRD4yunJZRD4wM9E8qh4frpCeVgNKzuFfrshLgP +588MfUZCGvaflVAOTQ+15OiF/eckNIPZzKCXNXiG95ybUA2MhZsXRAxJ6J9b ++E56hz4vIc230yehHBru900oh4b7/ZxDw/3+zqHh/gDn0HB5oHNoWD3IOTS+ +cL5zaHxhsHM573mIczAaVo+IGO6zwPmhPhMa9g9zruSe4c5xF5yZs3InvDu8 +M7wrJ3g23jDSa8B82D86YlRCzIbTEyLG+xkcHeMaNNwc6xwalo5zDo0PXOgc +s+DmRM9Ew9KLnEPjA5Ocg9Nwd3rEtIQ8AK5PibjYtfjEZPfs4j2P95p13HOx +a+A4bJ8ZMSMhD6jr2VM9ew/rKV67vmunuxfvmeUZVb6zUb5DmAybL4+4LCHf +xBcujbjEz+D4PNfwDC7Odg2cxBfmRsyxbuJZc13byM/o4e+w5X6nt9lH4PZ8 +rwHTYTt/Cy1JiMmweVHEwoQ8Bp9YEHGle2H/FZ7R3D0LXbOXz3SJ76Cl12D2 +Ys9uac0aeAse0sb7wDPwieUJ/U2WTsY3ETEoYmBSTIfjKyJuSMgj4Oo1EVdb +w9prnUPD1eucQ8Pa651jFj5wo2ei8YabnEPjMzc7B7Nh960RqxPyCHzhloj/ +uRYfWeke1jrYe73ezw53LTUwHbbfFrEmIc84yrNXefaR1qwBx+DeYxGPei9H +u5c9ne4anj2SEOPh+vqIuxPyDHxibcQd1vjEnc6h+e7vcg4NC9Y5xyx84R7P +ROML9zqHxhfuc66n98ReHk7IE/CBByMecC2+cb97uAu873bfSQ/3POCaLj7D +Ha45zWsw+yHPPs36Qe+9q8++zneHTzzuO4RJcOj9iPcS8hF848mIJ6zxiaec +g5Gw8pmIpxPyALj/fMRzfoZPPOuac9zztGe8HPFKRKt4l1sm1YtPvOAZeAS+ +8GLEBmt84SXn0IM85yWv1cdrsyYegS+86nXQeMVrzqHxhded6+c1N3gPZ/kO +nvAdUYtvvOEePAPveDNio+8Obn/gO8Qj4NzbEW9Zw753nEPjC+86N9q/wXvO +MQsuf+iZaFj9kXNofOFj5+A2HP48YnNCngDnP43Y5Fp84xP3DPUZNvpME92z +yTXDfYa3fMbJXoPZn3n2ZOtPvTZe8IX3gMYrvnQOjS985Rw+gS9sifjaGk5+ +4xwadn7rHBruf+ccGq5/7xwa1v/gHBof+NE5NN7wk3NofOVn59D4wC/OoeH6 +r87BaFj9V8TWhDwAzv8R8bs17P/TuYXu2eocvbD/b89gNt7xm9fgGd7zj2tg +LNzMx7eRS+qfyfGBbRH/WuMN/zmHhvvbnUPDff4HJ9ut4X4iqRwa7ieTyqHh +ciqpHBpW4zvk0PgCHkQOjS9k7Us3es/slRyMhtXl0KWkzgLnC0mdCQ37i0nl +0PRQS467WOaz/uN3Z4bfla88G2+oJLUGzIf9O4SuSorZcLpG6F2SegZHd0yq +Bg03d0oqh4alOyeVQ+MD1ZPKMQtu1kxqJhqW1koqh8YHdk0qB6fhbt3QeyTl +AXB9t9B1kqrFJ2on1cNa7Jm9sibP6KGWGjgO2+uHrpeUB7AGs3dPajY5NGuw +Nj3Usgd68Z49k5rB3XFn3BV3CJNhc5PQjZPyTXyhQei9knoGx5smVcMzuNgw +qRo4iS80Cr13UpqZzCJHLTU8owd2w2jY/LJnw+19klrjJefxhv2TYjJsbh56 +v6Q4j080C71vUr3k0MzgGT3UUsPZORNn4Q7wENZgdoukZpNDN/ffWPyt9TJ7 +SepvsXb+rvjW8Ax8oG08a5MU0+F4h9Dtk3oGVw9IqgYNa9sllUPD1QOTyqFh +7UFJ5ZiFDxyc1Ew03nBIUjk0PtMxqRzMht1Hhj4iKY/AFw4L3SmpWnzk0KR6 +WIs9s1fW5Bk91FID02F759BHJeUZrMHsw5OaTQ7NGjAQ7p0R+vSk9sIMetkT +jIN1PUOflhTj4fqJoU9IyjPwiS6hj0lK4xPHJpVD890fl1QODQuOTyrHLHyh +a1Iz0fjCSUnl0PhCt6RyMJ09sZdTk/IEfKBH6JOTqsU3uifVw13gfUcndSc8 +o4daanjGGdg7NXgIazD7lKRmk0OzBnvnDjg7Z+Du8Ikzk7pDmASHxkSMTspH +8I2zQ5+VlMYneiWVg5Gw8tzQ5yTlAXC/T+jeST3DJ85LqoZeeqhlxqt+p3mX +WyfVi0/0TWoGHoEv9I/oZ40vDHAOnfZ3Q4612ANrsyYegS+c7+8KjVcMdg6N +LwxxrprX7Oc9cDfcAWc/07X4xgXuwTPwjmERQ313cHus7xCPgHMjIoZbw76R +zqHxhVHO7eDfYLRzzILL4zwTDasvdA6NL4x3Dm7D4YsjJiflCXD+ooiJrsU3 +Jrin4DMM9Zlqumeia0o+w3CfsbbXYPYkz65tfZHXxgumeA9ovGKqc2h8YZpz ++AS+MCNiujWcnOkcGnbOcg4N9y9xDg3XL3UODetnO4fGB+Y4h8Yb5jqHxlcu +cw6ND1zuHBquz3MORsPqhRELkuI+nL8iYr417L/Suf3cs8A5emH/Is/YxzPm +ew2e4T2LXQNj4eaNESuS+ucWvpOlEUus+XaWOYeG+1c5h4b7y51Dw/2rnUPD +/WucQ8Pla51Dw+rrnEPjC9c7h8YXbnDuYO95hXMwGlb/L2KlzwLnb/KZ0LD/ +Zuc6uWelcy195iW+E94d3hnelWmejTfc4jVgPuxfHbEqKWbD6bURd/gZHL3V +NWi4ucY5NCy9zTk0PnC7c8yCm3d6JhqW3uUcGh9Y5xychrv3R9yXlAfA9Xsi +1rsWn7jbPV285zu8Zlf3rHcNHIftD0Y8kJQHnOzZ93p2d+t7vPYprr3fvXjP +Q55xhO9sle8QJsPmpyKeTMpT8YVHIx7xMzj+tGt4Bhcfcw2cxBeeiHjcupdn +PeHas/yMHv4OwwNa+3dmNtx+xmvAdNjO30IvJsVk2PxCxPNJcR6feC7iWff2 +tn7Gz/q69jmfnb8FHvYd9PcazN7g2f2tWQPPwCdeSepvMjQ+8apzaHzgNedg +ONx+J+LtpBg/0vot18LZ192Dhr1vOIeGsxudYxZe8K5novGV95yD0bD6k4iP +k2L6BOuPkuIKHPot4tekPAOf+DDiA68F29/0mszGZ973Ghd65kfugfGw/tOI +Ta4d51nvey0497vXHO47eMtr0It3fOYZMB2OfxOxJSnmz7T+OikPwTc+j9hs +jW984RwaDnzpHLPwgW89E40PfOccDIezv0T8nBTjL7f+yc/m+66owSPwhR8j +fvBdX+Szf+LZ+Mr3XmOuZ/7knsk+w2afebZn/uAe9g7LvvIZuDu84g/fIYyC +S1Wp+NshJU+Ag39FbHUtPvKne9CL/OxP18LOv92DJ+AD2yL+jUjGzFTEgIj+ +KT3DF/5zDZ6AD/BffNyeFNfhdjb+cyYlD4D7zGAWtfhEIqUe1saX/vEeeHad +16VmudfY7jVv8BrMTqc0m1za++Qu4PIOKd3JUp/pX6+Bh+Ab+XiWS4nZcHSn +0Dum1EsOzQw8A+6VQhdT0rCwnFJulX8D7p4cs2DzzinNROMD1VPKwWm4Wzv0 +rikxmxy6Vkp7w9sKKe0Rj4D7NUPXSOluOQN7546Zja/sktIa1DKTWfQwizOw +d2ZSy0xm0cO7xTf1td8x9oY31Elpj2i8Y7eUcjAZNjcM3SAl38An6obeIyUN +N+ullEPD0vop5fAIfGCv0HumNAvu753STDRe0CilHBofaZxSDk7D3f1CN0uJ +4bB8n9BNU6rFF5qk1MNa7Jm9sibP6KGWGjgO21uEbp6SB7AGs/dNaTY5NGvg +kZyZs+6e0l6YQS97goGw8LDQnVJiNNxsF/qAlDwCX2gVumVKGl9onVIODffb +pJRDw/22KeWYBScPTGkmGnYelFIOjZe0TykHd9kTezk0JU+A24eEPjilWnyj +Q0o93AVeun9Kd8Izeqilhmecgb1TA9NZg9kdU5pNDs0a3AVec3hKdwITYeOR +oY9IiUGw6KzQZ6bEON7D40IfmxKzyaG7pNSLLxyV0gw03OycUg4NS49OKfeV +3+3jU5qJxjtOSCkHZ+HwyaG7p8RccuhuKb37/Ob81nwDeAQcPyl015TWwmeO +SWlNZuMrJ6a0BrXMZBY9cB8vOSV0j5RqmcksepjFmTkrM9kbPdSyR3rxnVNT +mgGz4Wav0GendJfk0Nwpz+DeOSnV4Fv4bs/Qp6XkIfjCGaFPT0nzm/BbkKOW +Gp7RA2Nh7cCUPIHZsPXclNaA6XC7X0TflH57fnN+a94BGA7L+4Tu7VpY3989 +zMJXzktpJrXVPIsezs4Z2Dt3QC0zmUUP3wrfHN9aW+8VrxjkPQ+OGMJ3xbeW +EsPh9vCIYSkxNee6863z7hlsDUcvcA4NW4c6xyy8YIRnovGhkc6h8ZVRzsFg +WDw+4sKUPAOfGBsxxrV40Wj3FL3nYV5zR/eMcQ3Mh/0TIyak5Am7ePY4z65u +zRowDbbNj5jnvdR0L3tq6hqeXZ4S0+H49IhpKXkEvjA5YpI138nFzqH5dqY4 +h4adU51jFt4wwzPxBDg9K2KmNey+xLkm3hN7uSwlj8AX5kTMdi0+cql7uAu8 +9SLfSUP3zHbNrj7DJNc09hrMnuvZja3neO91ffapvju84grfIQyCO7dGrE7J +E/CGBRFXWsPVhc7BUFi6OGJRSsyH88silvoZvrDENS3cs8gz4AqsujfiHvfy +3l/lGXwH+MTVEcut8YlrnEPjE9c618p7WOo18QS4f33EddZ4wQ3OoeH8Cufa +es3l3kMz38GVviNq8YYb3YNv4E03R9zku4Oja3yHeAbc+F/ESmtYcotzaHxg +lXNH+jdY7Ryz4OhtnomGrbc7h8Zb7nAODsPp9RF3p+QRcPyuiDtdi4+sdU9H +n+Emn+k499zpmk4+w0qf8USvwex1nn2i9V1eu5t/y/X+beH+ff6N30yHx0cc +lInfLiMuw+lHIx5JySfwhQci7reGkw86h4adDzmHhusPO8cs2P+YZ6Lxkced +Q+MtTzgHd+HycxHPpuQBcPvpiKdci+886R7WOt17fdjPznEtNXAeH3gh4vmU +mN7bs5/x7POsWQOOwbYPIz7wXvq6lz2NdQ3P3k+J2XD69ZQ8AA/DF16KeNEa +r3jZOTQ+8IpzaHzgVeeYBYff8Ew0bN7oHBofeNO5Md4Te3kvJQ+A6+9EvO1a +fOIt93AXeOMG38kI97ztmv4+w4uuGe01mP2uZ4+2fsd3Bdc/8p2hYf3HzsEU +2LI14s+UmAgbP43Y5Fo4+ol7Jrpmk3PUwv3P3APj4eQXEZ+n9C7zjvJu3udn +sPRL1+ABeMHXEV+lxFzY+2PEDykxHW5/F/FtSh6BL3wTscVr4zObvYdZ7vnW +NdO8xldec7bXYPb3nj3b+jvPmuK9b/Ze8IafvCc0XvSzczAabv4d8ZfvcrH1 +Vj+Drf+4Bs+Ai79F/JoSJ/GFPyJ+t17o3+IP117pZ/Twtw1/s/BeDvJsuP+v +14DpsD3B/yJiWnvFy3/xnuE0PrA94j/XwvlkWj3MguPbPPNqz2TWds+a773/ +4trlnrXNs/CJVFoz0fhEOq0cGh/IpJWD4XC7HLqUFlNhay50Ni0NR/Np5dCw +tZBWDo0vFNPKMQsvqKQ1E42vVKWVQ+MLO6SVg9twuEboXdLyBDi/c+id0qrF +N3ZMq4e12DN7ZU2e0UMtNXAd1tcKXTMtT2ANZldPazY5NGvANVi3T+imae2F +GfSyJ5hHDc+apMVwuF0vdN20fAOfqBO6dlqa72y3tHJovr3d08qh8YU90sox +Cy7XT2smGlbvmVYOjS/slVYObrMn9tI4LU+A83uHbphWLb7RIK0e7gJf2zWt +O+EZPdRSwzPOwN6pwRNYg9mN0ppNDs0a7J074OycgbuD+/umdYdwCQ4dFfrI +tHwBju4XullaGm42TysHR+H+/qFbpMV4WN86dKu0nuEDLdOqoZceaplxIN4c +cW3ENWn14jNt0pqBR+ALB4Rum5bGF9qllUPDfeaQYy32wNqsCfNhf3uvg4br +HdLKoWH9wWnlWJs1WYs9cDfcAWfnjqjFKw5JqwdfwisPDd0xrbuDo53TukM8 +A584LHSntDQ+cHhaOTQ+cERaOTS/AXdPjllw9Oi0ZqJh6zFp5dD4QJe0cnAb +Dp8Uumta3MYbjg99XFq1cPzYtHo4C2dg75yJZ/RQSw1n4wzsnTPiCazB7BPT +8hBy6BPSWhsv6JbWHtB4Rfe0cmh84OS0cngAXD0ldI+0NFw8Na0cGlaellYO +Dcd7ppVDw/bT08qh4f4ZaeXQeMGZaeXQ+MpZaeXQeM3ZaeXQ+EyvtHJofOGc +tHIwHJb3jeiTFuPh9nmhz01Lw/LeaeXQ9FBLjl58op9nMJsZ9J7jZ3C/v2tg +LNwcFTEyLQ+A+wMjBljjBYOcQ8P9851Dw/3BzqHh/hDn0HD/AufQcHmoc2hY +Pcw5NL4w3Dk0vjDCuYr3PNI5GA2rx0WM9Vng/GifCQ37xzi3k3vGOpf0mQf4 +Tnh3eGd4V072bLzhQq8B82H/hIjxaTEbTk+JuNjP4OhE16Dh5kXOoWHpJOfQ ++MBk55gFN6d6JhqWTnMOjQ9Mdw5Ow93ZEZem5QFwfVbETNfiEzPcU8d7vthr +1nfPTNfAcdg+N2JOWh7Q0LMv8ewG1rO8diPXznYv3nOZZ+ziOxvvO4TJsHlh +xIK0fBNfmBdxuZ/B8UWu4RlcnO8aOIkvXBlxhXVzz7rStc38jB7YDaNh84Ge +DbcXe412zuMNV6fFZNh8VcSytDiPTyyNWOLeVtaL/ayNa5f67E19Fu7gAK/B +7OWefYA1a/C3Fn9T8R3xbbFPfOO6tDwLz8AHboi4Pi2mw/H/Raz0M7i6wjVo +WHujc2i4epNzaFh7s3PMwgdu8Uw03rDKOTQ+s9o5mA2710bckZZH4Au3Raxx +LT5yq3s6ec8rvWZn96xxDUyH7esi7krLM4717Ns9u4s1a8AxuPdMxNPeC95z +p/d0jmt49lRajIfrD0Y8kJZn4BP3RKy3xifudQ7Nd3+fc2hYcL9zzMIXHvJM +NL7wsHNofOER53p5T+zlybQ8AR94POIx1+Ibj7qHu8D77vadnOGex1zT1WdY +75qzvQazn/Dss60f913hC8/6ztB4xXPOwSQ4tCnik7Q8AQ5uiHjBtfjI8+7p +65oXnKMWdr7oHjwBH3gl4uW03mXeYd7d6/wMX3jVNXgCPvB6xGtpcR1uvxPx +dloeAPf59ywbXYtPvOEe1saXXvIehrpno2sGe43XvOYIr8Hstzx7hPWbnjXI +e3/Je8E33vWe0PjGe87BbDj6WcSnvstJ1pv8DNZudg0eAgc/jPggLS7iEx9H +fGQ90b/Fx64d72f08C7yTvMu3+/Z+MTnXgOGw/ItEV97r3jd+94znoEPfBXx +pWvh+DfuYRY+84VnTvfMr90z1mf4wDOneuaX7tnoe+TfhbXLaDZe8a3XwEfw +ie8jvkvLN/CJHyN+sIabPzmHhqU/O4fGB35xDg3nf3UODft/cw6NL/zuHBqv ++MM5ND7zp3NofGGrc2g4/5dzMBt2/xexLS0fgPv/RPxtjRf869wy92xzjl68 +YLtnLPaMv70Gz/Ai/g8TUQNz4Wgl/nM5I0/gO0qGTmSk+bZSGeXQ+EA6oxwa +H8hklEPjA9mMcmh8IJdRDg2n8xnl0LC7kFEOjU8UM8qh8YlSRrlbvGf2Sg5m +w+6dQu+Y0VngflVGZ0LjBTtklEPTQy057oIzc1buZLbfmR/8DjEbr9g5ozXw +ALxgl9DVM2I43N4tdJ2MPAau1gxdIyMNR2tllEPD1l0zyqHxhdoZ5ZjFd7d7 +RjPRfIt7ZJRD4wt1M8rBbTjcMHSDjDwBzu8Zun5GtfhGvYx6WIs9s1fW5Bk9 +1FID12F9o9B7Z+QJrMHsvTKaTQ7NGqxND7XsgV68qHFGM7g77oy74g7hOhxv +Hnq/jHwU32gauklGz+Bsi4xqeIaP7JNRDR6BLzQLvW9GmpnMIkctNTyjBzbA +BFjwrWfD3f0zWgOGwxRYckBGDIflbUK3zojT+Eir0C0z6iWHZgbP6KGWGs7O +mTgLd4CHsAaz22Y0mxyaNfifxfA/c+Hfm/Hv4vAJvKN9Rv/Ovyof72nEqIiR +eTEaVh8Wzzpl5Bn4xMGhO2Sk4fAhGeXQcLRjRjk0bD00oxyz8IHDM5qJxhuO +yCiHxmeOzCgHg2HxsaG7ZOQ5+MLRoTtnVIs3HZVRD2uxZ/bKmjyjh1pqYD7s +Pz70cRl5Amsw+5iMZpNDswYcg3vnhO6V0V6YQS97gnHU8OzsjBgP13uEPjkj +j+A96Br6xIw078ZJGeW+83ffLaMcGhZ0zyjHLHzhlIxmovGFUzPKofGF0zLK +wXT2xF7OysgT8IEzQp+eUS2+0TOjHu4CnzwhozvhGT3UUsMzzsDeqcFDWIPZ +Z2Y0mxyaNdg7d8DZOQN3h0+cm9EdwiQ4NDFiQkY+gm/0Dn1eRhqf6JNRDkbC +yn4RfTPyALg/MGKAn+ET/V1DbzXXMuOCiKF8d3wb7sUnBnkGHoEvDI443xpf +GOIcuuA5Q7xWymuzJh6BLwzzOmi8YrhzaHxhhHMZr3m+98DdcAec/VzX4hsj +3YNn4B2jI0b57uD2Rb5DPALOjY0YYw37xjmHxjsudK6GfwPufrxnweVJnomG +1ZOdQ+MLFzsHt+HwzIgZGXkCnJ8WMdW1+MYU91T5DKN8pt3dM9U1O/oMY3zG +el6D2dM9u571NK+NF8zyHtB4xSXOofGFS53DJ/CFORGzreHkXOfQsPMy59Bw +/3Ln0HB9nnNoWD/fOTQ+cIVzaLzhSufQ+MoC59D4wELn0HB9kXMwGlZfFbEs +I+7D+SURi61h/1LnWrtnmXP0wv7lnrG/Zyz2GjzDe652DYyFm6sibsno71x8 +4NqIa6zxhuucQ8P9651Dw/0bnEPD/RXOoeH+jc6h4fJNzqFh9c3OofGFlc6h +8YX/OXe493yLczAaVt8WscZngfOrfSY07L/Vuc7uWeNcO5/5Gt8J7w7vDO/K +pZ6NN9zuNWA+7F8bcUdGzIbT90Ss9zM4eqdr0HDzLufQsHSdc2h84G7nmAU3 +7/VMNCy9zzk0PnC/c3Aa7j4a8UhGHgDXH4p40LX4xAPu6eo9r/eap7jnQdfA +cdj+eMRjGXnA6Z79sGf3tH7Ia5/p2kfdi/c84RldfGd3+A5hMmx+PuK5jHwT +X3gq4kk/g+MvuIZncPFp18BJfOHZiGes+3jWs649z8/ogd0wGjZf4Nlwe4PX +GOI83vBaRkyGza9EvJwR5/GJlyJedO8A6w1+Nsi1L/nsvXwW7mCw12D2q549 +2Jo18tnYY8QFEUOsixFDncMz8IG3It7MiOlw/IOI9/0Mrr7tGjSsfcc5NFx9 +1zk0rH3POWbhDR96Jp6AN3wc8ZE1PvOJczAbdn8R8XlGHoEvfBbxqWvxkU3u +GeM9v+81L3LPp66B6bD9q4gvM/KMKZ692bMvtmYNOAb3/o74y3uZ5l72tMg1 +PNuaEePh+o8RP2TkGfjENxFbrPGJb51D891/5xwaFnzvHLPwhZ88E40v/Owc +Gl/4xbmF3hN7+TMjT8AHfo/4zbX4xq/u4S7wvq99J/Pd85trZvgMW1yzwGsw ++w/PXmD9u/c+x2f/3neHT/zjO4RJcKhGvGu7ZOUj+Ma2iH+t8Yn/nIORsJL/ +w7/bM/IAuJ+K/5zM6hk+kciqZrl7tnsGfxPxTvMub3QvPpHOagYegS9kQ2ey +0vhCLqscGl/guyF3rffA2qyJR+ALRX9LaLyi5O8KjS+Us8qxNmuyFnvgbpb5 +7P+4Ft+oZNWDZ+AdO4Suyuru4HbNrO4Qj4BzO4XeMSsN+3bOKofGF6pnlVvr +34C7J8csuFwrq5loWL1rVjk0vlA7qxzchsP1QtfNyhPg/O6hd8uqFt+ok1UP +Z+EM7J0z8YweaqnhbJyBvXNGPIE1mL1HVrPJoVmDtfGC+lntAY1X7JlVDo0v +7JVVDp/AFxqGbpCVhpN7Z5VDw85GWeXQcL9xVjk0XG+SVQ4N65tmlUPjA/tk +lUPjDftmlUPjK82yyqHxgf2yyqHhevOscjAaVrcO3Sor7sP5/UO3yErD/pZZ +5dD0UEuOXtjfJqsZzGYGvazBM7ynbVY1MBZuHhH68Kz+uYXvpF3oA7LSfDsH +ZpVDw/2Dssqh4X77rHJouN8hqxwa7h+cVQ4Nlw/JKoeG1R2zyqHxhUOzyqHx +ik5Z5fAI9sxeD8uK0bD66NCdszoLnD8yqzOhYf9RWeXQ9FBLjrvgzJyVO+Hd +4Z3hXeEdYjbecExWa8B82H9s6C5ZMRtOnxS6a1bP4OhxWdWg4ebxWeXQsPSE +rHJofODErHLMgpvdspqJhqXds8qh8YGTs8rBabh7euieWXkAXD819ClZ1eIT +PbLqYS32zF5Zk2f0UEsNHIftZ4Y+IysPYA1mn5bVbHJo1mBteqhlD/TiPWdl +NYO74864K+4QJsPmPqF7Z+Wb+EKv0Gdn9QyO982qhmdw8ZysauAkvnBe6HOz +0sxkFjlqqeEZPfwdNszv9BueDbf7eQ2YDtv5W2hwVkyGzYMiBmbFeXxiQER/ +9yat+/lZ2rXUcHbOxFm4g6zXYPb5np21Zg28BQ8peB94Bj4xLKu/yd7jm+Bb +yMX3kRPT4fjYiDFZeQRcHREx3BrWjnQODVdHOYeGtaOdYxY+MM4z0XjDhc6h +8ZnxzsFs2H1xxOSsPAJfuChiomvxkQnuYa2dvNfRflbLtdTAdNg+NWJKVp5R +x7MneXZta9aAY3BvUcRC72V397Kn5q7h2YKsGA/XZ0dcmpVn4BMzIqZb4xMz +nUPz3c9yDg0LLnGOWfjCHM9E4wtznUPjC5c5t5/3xF6uzMoT8IH5EfNci29c +7h7uAu+b5jtp6p55rqnrM0x3TTOvwewrPLuZ9XzvvaHPfonvDp9Y7DuESXDo +zoi1WfkIvrE0Yok1PrHMORgJK5dHXJWVB8D9ayOu8TN84mrXtHHPVZ5xY8RN +Ebl4l7M59eIT13kGHoEv3BBxvTW+sMI5dEfPWeG1DvTarIlH4BU3ex08Aq/4 +X8RKa3zhFufae83rvYeWvoMlviNq8Y1V7sEz8I5bI1b77uD2Xb5DPALO3Rax +xhr23e4cGl+4w7lj/RusdY5ZcHmdZ6Jh9d3OofGF9c7BbTj8YMQDWXkCnL8v +4l7X4hv3uOdIn2G1z9TNPfe6prPPsMZn7OE1mH2/Z/ewvs9r4wUPeQ9ovOJh +59D4wiPO4RP4wmMRj1rDycedQ8POJ5xDw/0nnUPD9aecQ8P6p51D4wPPOIfG +G551Do2vPOccGh943jk0XH/BORgNq1+JeDkr7sP5FyM2WMP+l5wb6J6XnaMX +9r/qGf08Y4PX4Bne85prYCzc/Cjiw6z+mRwfeCPidWu8YaNzaLj/pnNouP+W +c2i4/7ZzaLj/jnNouPyuc2hY/Z5zaHzhfefQ+MIHzo3znj90DkbD6k8jNvks +cP5jnwkN+z9xbqJ7Njk3xGd+3XfCu8M7w7vyiGfjDZ95DZgP+z+P2JwVs+H0 +NxFb/AyOfuEaNNz80jk0LP3KOTQ+8LVzzIKb33omGpZ+5xwaH/jeOTgNd3+N ++CUrD4DrP0X86Fp84gf3zPCet3jNOe750TVwHLb/HvFbVh4wz7N/9uzLrX/y +2le49lf34j1/eMZk39lm3yFMhs3bIv7Nyjfxha0Rf/oZHP/PNTyDi3+5Bk7i +C/9E/G29zLP+ce0SP6MHdsNo2HyjZ8Pt7V5jhfN4QyYnJsPmVOhkTpzHJxL8 +fwKUUy859HY/o4daahb4TH/6Dm7wGsxO5zSbHDrlv7H4W+vGiBU5/S3G31h8 +V3xreAY+UIpnxZyYDsd3Cr1jTs/gajmnGjSsreSUQ8PVqpxyaFi7Q045ZuED +O+c0E403VM8ph8ZndskpB7Nhd53QtXPyCHyhVuiaOdXiIzVy6mEt9sxeWZNn +9FBLDUyH7buH3i0nz2ANZu+a02xyaNaAY3CvRejmOe2FGfSyJxhHDc/2y4nx +cL1h6AY5eQY+US903Zw0PlE/pxya737PnHJoWLBXTjlm4Qt75zQTjS80yimH +xhca55SD6eyJvTTLyRPwgX1CN82pFt9oklMPd4H37ZHTnfCMHmqp4RlnYO/U +4CGswex9c5pNDs0a7J074OycgbvDJ/bP6Q5hEhw6NnSXnHwE32gVumVOGp9o +nVMORsLKtqHb5OQBcP/A0O1yeoZPHJBTDb30UMuMlX6neZcLOfXiEwflNAOP +wBc6hG6fk8YXDs4ph8YX+G7IsRZ7YG3WxCPwhUP9XaHxik455dD4wmE55Vib +NVmLPXA33AFn546oxTcOz6kHz8A7jgx9RE53B7ePy+kO8Qg41zn0UTlp2Hd0 +Tjk0vnBMTjk0vwF3T45ZcPn4nGaiYfUJOeXQ+MKJOeXgNhzuEfrknDwBzncL +fVJOtfhG15x6OAtnYO+ciWf0UEsNZ+MM7J0z4gmswezuOc0mh2YN1sYLTslp +D2i84tSccmh84bSccvgEvnB66J45aTh5Rk45NOw8M6ccGu6flVMODdfPzimH +hvW9csqh8YFzcsqh8YZzc8qh8ZXzcsqh8YHeOeXQcL1PTjkYDasHRgzIiftw +vl9EX2vY39+5pHsGOEcv7B/kGcyu5t4+fob3nO8aGAs3L4wYl9M/t+QjhkQM +9jfDtzM04gJruD/MOTTcH+4cGu6PcA4N90c6h4bLo5xDw+rRzqHxhTHOofGF +sc7t7D2Pcw5Gw+qLIib6LHB+vM+Ehv0TnKvpnonOZX3mwb4T3h3eGd6V0zwb +b5jkNWA+7L84YnJOzIbTMyNm+BkcneIaNNyc6hwalk5zDo0PTHeOWXBzlmei +YeklzqHxgUudg9Nwd17E5Tl5AFyfGzHHtfjEbPfU855neM293TPHNXActl8R +MT8nD2jq2Zd5dhPruV57X9fOcy/ec6Vn1PadTfYdwmTYvCxiaU6+iS8sjFjg +Z3D8KtfwDC4ucg2cxBeWRCy2bu1ZS1zb0s/o4e8w/lk579+Z2XB7udeA6bCd +v4VuyInJsPm6iGtz4jw+cU3E1e5tZ73czw5y7TU+e3OfhTvo4DWYfb1nd7Bm +DTwDn7gpp7/J0PjEzc6h8YGVzsFwuH1bxJqcGH+09a2uhbP/cw8a9t7iHBrO +rnKOWXjB7Z6JxlfucA5Gw+r1EXfnxPSu1uty4goceiHi+Zw8A5+4K+JOrwXb +V3tNZuMza73GCZ65zj0wHtbfG3GPa4/3rLVeC85t8JpH+Q5u9Rr04h33eQZM +h+OPRTyaE/PPsH4kJw/BNx6IuN8a33jQOTQceMg5ZuEDj3smGh94wjkYDmef +i3g2J8b3tn7Gz/r6rqjBI/CFpyOe8l1389nXeza+8qTXONczn3HPyT7D/T5z +L898yj3sHZY97DNwd3jFi75DGAWXPo/YnJMnwMFXIl52LT7yknsGuuZl56iF +na+6B0/ABzZGvBHxbsR7EYfk4zvI6xm+8KZr8AR84O2It3LiOtz+MOKDnDxg +jGe861p84h33sDZe9Zr3MMo977pmuNd4y2uO9RrMft+zx1q/57uAy1/4Ti7w +mTjL6zl5CL7xccRHOTEbjn4V8aV7p1kzA8+Ae59GbLKGhZ85N9m/wWbnmAWb +v/ZMND6wxTk4DXd/jPghJ2bPsf7ee8PbPvEe8Qi4/13Et77b8d77h56Nr3zj +NS71zO/dM9Fn2OSZszzzW/fwbvFN8S097L3hDT95j2i842fnYDJs/itia06+ +gU/8FvGrNdz83Tk0LP3DOTQ+8KdzzIL7f3smGi/4xzk0PvKvc3Aa7ibjXUzk +xXBYvj3iP9fiC9vcs9B73uo1ebbctdTAcdiejlmpvDyANZjN/2fBzCaHZo15 +PjNn/cV7YQa97AkGwsKaoWvkxWi4WQ5dyssj8IVc6GxeGl/I55VDw/1CXjk0 +3C/mlWMWnKzkNRMNO/nvKZND4yX8d5bJwV32xF52ycsT4PbOoXfKqxbf2NH/ +PWfuAi/N5HUnPKOHWmp4xhnYOzUwnTWYXT2v2eTQrMFd4DW18roTmAgba4fe +NS8GwaKWoffPi3G8h/VD18uL2eTQdfPqxRfq5DUDDTd3yyuHhqW755V72O/2 +nnnNROMde+WVg7NwuEnoxnkxlxy6UV7v/jz/1nwDeAQc3zueNcxrLXxmj7zW +ZDa+0iCvNahlJrPogft4yT6hm+ZVy0xm0cMszsxZmcne6KGWPdKL7+yb1wyY +DTdbh26V112SQ3OnPIOtbfKqwbfw3f1CN8vLQ/CFFqGb56X5TfgtyFFLDc/o +gbGwtmNengBTYesBodvmxXS43SF0+7x+e35zfmveARgOyw8KfWBetbAeb6GH +WfhKu7xmUstMZtHD2TkDe+cOqGUms+jhW+Gb41vjm2GveMWhee35sIjDqePb +yovhcLtz6KPyYipspa5TXhoOH+5eNBw9wnPQsPXIvHLMwguOzmsmGh86Jq8c +Gl/pklcOBsPirqFPzMsz8InjQx+XVy1edGxePazFntkra/KMHmqpgfmwv1vo +k/LyBNZg9gl5zSaHZg2YBtv6RvTJay/MoJc9wUlqeNY7L6bD8dND98zLI/CF +HqFPzkvznZySV+5nfzun5pVDw87T8soxCx84I6+ZaDh9Zl45NOw+K68czGZP +7OW8vDwCXzgndK+8avGRs/Pq4S7w1u553QnP6KGWGp5xBvZODZ7BGsw+N6/Z +5NCswd65A87OGbg7vKKf7xAGwZ2LIybn5Ql4w4CI/tZwdaBzMBSWnh8xKC/m +w/kLIob4Gb4w2DVp9wzyDLgCq+ZGzHEv7/1Qz+A7wCeGRwyzxidGOIfGJ0Y6 +l/MehnhNPAHuj87rfwcGjReMcQ4N58c6V/Saw7yHhO+gv++IWrxhnHvwDbxp +fMSFvjs4OsV3iGfAjYkRE6xhyUXOofGBSc7V9m8w2TlmwdGpnomGrdOcQ+Mt +052Dw3B6dsSleXkEHJ8VMdO1+MgM91T3GS70meq7Z6ZravgME3zGBl6D2Zd4 +dgPrWV67kX/L2f5t4f5l/o35303if+eI/545/91zuAynF0YsyMsn8IV5EZdb +w8n5zqFh5xXOoeH6lc4xC/Yv8kw0PrLYOTTessQ5uAuXr424Ji8PgNvLI65y +Lb6z1D2s1dx7vdLP8KZlroHz+MD1EdflxfQDPftqz25nzRpwDLati7jLe2nv +XvZ0vGt4dmdezIbTt+TlAXgYvnBjxAprvOIm59D4wM3OofGBlc4xCw6v8kw0 +bF7tHBofuNW547wn9rI2Lw+A67dH3OZafGKNe7gLvPEG38nR7rnNNQf7DCtc +c6zXYPYdnn2s9e2+K7h+t+8MDevXOwdTYMvLES/lxUTYeF/Eva6Fo/e4p5tr +7nWOWrh/v3tgPJx8KOLBvN5l3lHezcv8DJY+7Bo8AO4/GvFIXsyFvU9HPJUX +0+H2ExGPuxZfeMw9rI3PPOA9nOmex13T02s84jV7eQ1mP+nZvayf8KxTvfcH +vBe84RnvCY0XPescjIabr0a84rs83/plP4Otr7kGz4CLL0Q8nxcn8YUXIzZY +D/Rv8aJr+/sZPfxtw98svJeHejbcf91rwHTY/nbEW94rXv6c9wyn8YE3Iza6 +Fs6/4x5mwfE3PHO4Z77lnj4+w/OeOdQzN7qHWfjEu56Jxifecw6ND7zvHAyH +259GbMqLqbD1Q85qDUc/cg4NWz92Do0vfOIcs/CCzzwTja9sdg6NL3zuHNyG +w99EbMnLE+D8VxFfuhbf+MI9E73nTV5zqnu+dA1ch/XfRXyblyfM9OyvPXuG +NWvANVhXrRB/d3kvl7iXPS13Dc/+y4vhcPu3iF/z8g184seIH6z5zn5yDs23 +97NzaHzhF+eYBZd/90w0rP7DOTS+8KdzV3lP7GVbXp4A5/+O+Mu1+MZW93AX ++Nr3vpNF7vnLNbN9hh9cgyfgDf9G/OPZS63/9t7n++y/+O7gfqKgO4RLcGi3 +0HUK8gU4mgqdLEjDzXRBOTgK97OhMwUxHtYXQucLeoYP5AqqoZceaplRFbFD +xKiIkQX14jPFgmbgEfhCOXSpII0vVArKoeE+c8ixFntgbdaE+bB/R6+Dhus7 +FZRDw/qdC8qxNmuyFnvgbrgDzs4dUYtXVC+oB1/CK2uE3qWgu4Ojuxd0h3gG +PlErdM2CND6wa0E5ND5Qu6Acmt+AuyfHLDi6R0Ez0bC1bkE5ND5Qr6Ac3IbD +e4duWBC34fxeofcsqBaO1y+oh7NwBvbOmXhGD7XUcDbOwN45I57AGsxuUNBs +cmjWYG28oFFBe0DjFY0LyqHxgSYF5fAAuLpP6KYFabi4b0E5NKxsVlAODcf3 +KyiHhu3NC8qh4X6LgnJovGD/gnJofKVlQTk0XtOqoBwan2ldUA6NL7QpKAfD +YflBoQ8siPFw+4DQbQvSsLxdQTk0PdSSoxefaF/QDGYzg17W4Bnc71BQDYyF +m8eEProgD4D7h4Q+uCCNF3QsKIeG+4cWlEPD/U4F5dBw/7CCcmi4f3hBOTRc +PqKgHBpWH1lQDo0vHFVQDo0vdC4oh2bP7JUcjIbVx4c+rqCzwPkuBZ0JDfuP +LSiHpodactwFZ+as3AnvDu8M7wrvELPxhhMKWgPmw/6uoU8siNlw+pTQPQp6 +BkdPKqgGDTe7FZRDw9LuBeXQ+MDJBeWYBTdPLWgmGpaeVlAOjQ/0LCgHp+Fu +r9BnF+QBcP3M0GcUVItPnF5QD2uxZ/bKmjyjh1pq4DhsPzf0OQV5AGsw+6yC +ZpNDswZr00Mte8Bz8J7eoc8r6O64M+6KO4TJsHlQxMCCfBNf6BvRx8/g+Pmu +4Rlc7OcaOIkvDIjob532rAGuTfoZPbAbRsPmKs+G24O9RsV5vGFEQUyGzcMi +hhbEeXzigogh7s1bD/azomup4eycibNwB2Wvwezhnl22Zg3+1hrnb+p97xPf +GF2QZ+EZ+MDYiDEFMR2OXxQx0c/g6jjXoGHthc6h4ep459CwdoJzzMIHJnkm +Gm+Y7Bwan7nYOZgNu2dGzCjII/CFaRFTXYuPTHFPTe95otfc3T1TXQPTYfsl +EbMK8oz6nj3ds+tZswYcg3vLI67yXvZyL3tq4xqeLSuI8XB9fsS8gjwDn5gT +Mdsan5jrHJrv/jLn0LDgcueYhS9c4ZlofOFK59D4wgLnWntP7GVpQZ6ADyyO +WORafGOhe7gLvO9S30kL9yxyTUOfYbZrWnkNZi/x7FbWi31X+MLVvjM0XnGN +czAJDt0Tsb4gT4CD10dc51p85Fr3HOSa65yjFnbe4B48AR+4KeLGgt5l3mHe +3dF+hi/c7Bo8AR/4X8TKgrgOt2+LWFOQB8D91RGrXItP3OIe1saXVngPR7hn +lWs6eY2VXrOz12D2rZ7d2Xq1Z3X03ld4L/jG7d4TGt+4wzmYDUfvi7jXd9nd ++h4/g7X3uwYPgYN3RdxZEBfxibsj1lmf5N/ibtee6Gf08C7yTvMuX+7Z+MQD +XgOGw/JHIx7xXvG6td4znoEPPBzxkGvh+GPuYRY+86Bn9vTMR9xznM9wp2ee +6pkPuWeV77FSDM4VNRuveNxr4CP4xJMRTxTkG3jN0xFPFeQZcPPZiGesYelz +zqHxgeedQ8P5F5xDw/4NzqHxhRedQ+MVLzmHxmdedg6NL7ziHBrOv+oczIbd +b0ZsLMgH4P7rEa9Z4wVvODfUPRudoxcveMszBnvGa16DZ3jR266BuXB0c8Rn +BXkC39G7Ee9Y82295xwaH3jfOTQ+8IFzaHzgQ+fQ+MBHzqHh9MfOoWH3J86h +8YlNzqHxiU+dm+Q9f+YczIbdX0V86bPA/c99JjRe8IVzU93zpXMjfeZ3fCe8 +O7wzvCtPejZe8bXXwAPwgm8ithTEcLj9U8SPfgZXv3UNGo5+5xwatn7vHBpf ++ME5ZvHd/eyZaL7FX5xD4wu/Oge34fBfEVsL8gQ4/0fE767FN35zzxzv+Uev +eYV7fncNXIf1/0T8XZAnLPLsPz17ofUfXnuJa/9yL170r2fM8J1t8R3CdTie +im83WZSP4hv/RWzzMzibLqqGZ/jIdtfgEfhCIp5VK0ozk1nkrnYNz+iBDTAB +Fjzu2XA3U9QaMBymwJJSUQyH5YXQ+aI4jY/kQmeL6iWHZgbP6KGWGs5+lc/C +HeAhrMHsYlGzyaFZA5bhDVVFMQ2Nd+xQVA6NL+xYVA5Ow+1aoWsWxWxy6BpF +1eIbOxXVg4bLOxeVQ8PV6kXlmIUX7FrUTDS+UruoHMyFvfVC1y2K2eTQexTF +FTjUNnSbojwIn9g99G5FrQXLdylqTWbjXXWKWoNaZjKLHjwAL9gzdP2iapnJ +LHpYC84dUNSazOYOODtr0IvP7FXUDJgOx/cNvU9RzCeHblqUZ/BeNAzdoCjN +u7J3UTk0HGhUVI5Z+ECzomai8YH9isrBcDjbOnSrohhPDt2yqGfcGXdFDR6B +L+wfukVRd80dcHbunNn4SvOi1qCWmcyih7NyBvbOmallJrPoYe+wrHFRZ+Du +8Ip2Rd0hjIJLXUOfWJQnwMH2oQ8qqhYfObCoHjQ1PCNHLezsUFQPnoAPdAx9 +SMQREUdGrOJbK+oZvnBoUTV4Aj5wWOhORXEdbh8dunNRHgD3j/QsavGJw4vq +YW186eCi9sAzeqilhrVYg9msiWewBrOPKmo2uaO8T+4CLp9U1J0wmzNxFtbA +Q/CNLqGPKYrZcLR76G5F9ZJDMwPPgHvHhz6uKA0LTygqh+Y34O7JMQs2n1zU +TDQ+0KOoHJyGu2eEPr0oZpND9yxqb3jbsUXtEY+A+6eFPrWou+UM7J07Zja+ +ckpRa1DLTGbRwyzOwN6ZSS0zmUUP7xZ/WzUp6h1jb3jDmUXtEY13nFVUDibD +5r4RfYryDXzinNC9itJw89yicmhYel5ROTQ+0LuoHLPgfj/PROMF/Z1D4yMD +nIPTcPeCiCFFMRyWnx8xyLX4wkD3sBZ7Zq+9/SzjWmrgOGwfFjG0KA/Ie/Zg +z85ZswYeyZk569neS9G97AkGwsKpEVOKYjTcHBcxtiiPwBdGRoywxhdGOYeG ++6OdQ8P9Mc4xC05e6Jlo2DneOTReMsG53bwn9nJxUZ4AtydFXORafGOie7gL +vHS476SWey5yTdlnGOGaOl6D2ZM9u471JN8FXjPNdwITYeOMiOlFMQgWXRtx +TVGM4z2cGzGnKGY3sp7tXnxhpmeg4eYs59Cw9BLnmMW7fZln4hl4x7yIy4vi +LBxeFLGwKOa2sF5Q1LvPb85vzTeAR8DxKyOu8Fr4zKVek9n4ynyvsZ9nLnAP +3MdLlkQsdm0zz5rvWXv7rJd6by1du8i9+M5Sz4DZcPP6iOt8l+2tr/UzuHeD +a/AtfPeqiGVFeQi+cHXEcusD/Vtc7doD/IweGAtrVxflCcyGrSu8BkyH2/+L +WOnfvp5/a94BGA7Lb464ybWw/hb3MAtfudEzO3nmSve09hmW+Q46euZN7uFb +4ZvjWxvjveIVt3rPt0XcTl0pvq2SGA6374q4syimHuO6NdZd3HObNRy9wzk0 +bF3rHLPwgnWeicaH7nYOja+sdw4Gw+IHIx4oyjPwifsi7nUtXnSPe1jreO91 +rZ91dy01MB/2PxzxUFGecIpn3+/ZPaxZA6bBtlcjXvFeTnMvexrkGp69XBTT +4fjTEU8V5RH4wmMRj1rznTzuHJpv5wnn0LDzSeeYhQ8845loOP2sc2jY/Zxz +A70n9vJSUR6BL2yIeMG1+Mjz7uEu8NZHfCd93fOCa073GR51zQCvwewXPXuA +9Qbv/Ryf/UnfHV7xmu8QBsGdbyK2FOUJeMMbEa9bw9WNzsFQWPpWxJtFMR/O +vxvxjp/hC2+7Zph73vQMuAKr/oz4w7289+95Bt8BPvFBxPvW+MSHzqHxiY+c +G+k9vOM18QS4/0nEx9Z4wSbn0HD+U+fGeM33vYchvoPXfUfU4g2fuQffwJs+ +j9jsu4Oj3/oO8Qy48WXEF9aw5Cvn0PjA187N8G+wxTlmwdHvPBMNW793Do23 +/OAcHIbTv0f8VpRHwPFfIn52LT7yo3sm+wybfSae4TU/uWaKz/CFzzjfazD7 +V8+eb/2L117g3/J3/7Zwf6t/4+rl8PyICyPGlcVlOL094r+ifAJf+DviL2s4 ++Y9zaNj5r3NouL7NOWbB/molzUTjI4mScmi8JVlSDu7C5XzoXEkeALczodMl +1eI7qZJ6WGu597rNz+ihlho4jw8UQxdKYjprMDtb0mxyaNaAY7Btj9C7l7QX +ZtDLnmAcNTzbrSRmw+mdS/IAPAxfqIQul6TxiqqScmh8YIeScmh8AO8gxyw4 +XL2kmWjYvEtJOTQ+UKOkHJxmT+ylTkkeANd3DV2rpFp8omZJPdwF3lgq6U54 +Rg+11PCMM7B3avAA1mB27ZJmk0OzBncF1+uWdGdoWF+vpBxMgS0Hhm5XEhNh +416h9yypFo7WL6kHTQ3PyFEL9xuU1APj4WSj0HuX9C4v9ru51c9gaeOSavAA +uN80dJOSmAt7W4RuXhLT4Xaz0PuWVIsv7FNSD2vjMw1L2gPP6KGWGtZiDWaz +Jj7AGszer6TZ5NCswSzOwN6ZyV7whv1L2hMaL2pZUg5Gw832oQ8q6S7JoblT +nsHWDiXV4BlwsU3o1iVxEl84IHTbkjS/Cb8FOWqp4Rk9/G1ztN/RWz0b7h9c +0howHbYfFrpTSXvFy1uVtGc4jQ8cGrpjSbVw/vCSepgFxw8paSa1zGQWPczi +DOydmdQyk1n04C0TPPP/Z+MbR5S0Bp6BDxwV+siSmA27Twh9fElMJ4c+riTG +wtqjOXtJGq4eU1IODWu7lJRjFj5yYkkz0fhA15JyMB3unhr6lJKYDbt7hD65 +JM7AnsER55fkEXC/e+huJa2FDx1b0prMxldOKmkNapnJLHrgPOzvGfq0kmqZ +yayTvBbcGuI1mc0dcHbWoBdfOL2kGTAbjp4X+tySmE4OfU5JPoJvnBn6jJI0 +391ZJeW2+ls8u6Qcs2Bz75JmovGBPiXl4DTcHRQxsCRmp60H+FnWd0UNHgH3 ++0f0K+muuQPOzp0zG1/pW9IaSc8c4B7OyhnYO2eu5pn93MPe8bVeJZ2Bu4P9 +F/gOYRJsmhkxoyRGwsrhEcNcC1eHuqfommHOUYsPjHAPzIf9oyNG8X5HTIj4 +OOIjP8NXxrgGT8AHxkWMLYnBsHhSxEUlMb6mZ4x3LT5xoXtYG98Z6T3s4p7x +rtnJa4z1mrW8BrMnenYt6wm+C7g8y3dS5TON8hr4Bt50ccTkkhgK9y6NuMS9 +DayZgYfgG9MiplrjC9Odq+ffYIZzzIKlsz0TDffnOAen4e4VEfNLYva+1vO8 +N7x8ivcIx+H+5RGX+W7reO+TPBvOz/UaTT1znnt29xmmemZjz7zMPbxbfFN8 +S728N7zhSu8RjXcscA4uw+nlEVeV5AlwdnHEIms4ucQ5NOxc6hwari9zjlmw +/2rPROMj1ziHxluudQ7uwuWbIm4syQPg9g0R17sW37nOPW2856u8Znv3XO8a +OI8PrIy4uSSmd/TsFZ59iDVrtPCZOetC76WTe9kTDISF90XcWxKj4ebtEbeV +5Av4wKqIW6zxhtXOoeH+rc6h4f4a55gFJ+/wTDTsXOscGi+507lu3hN7uack +T4Dbd0escy2+cZd7uAt863++kxPcs841h/sMt7jmJK/B7PWefZL13b4LvOZ+ +3wlMhI0PRTxYEoNg0caIN0piHO/hkxFPlMTss60fdy++8LBnoOHmI86hYemj +zjGLd/spz0TjHU87B2fh8IaIF0pibj/r50t691v4t+YbwCPg+HMRz3otfOYx +r8lsfOUZr9HHM593D9zHS16KeNG1vT3rGc86y2d9zHsb4NoN7sV3XvYMmA03 +34p403c53Hqjn8G9t12Db+G7r0a8UpKH4AuvR7xmPdS/xeuuHeJn9PC3DH+j +8F4e5dmw9R2vAdPh9ocRH5T0N8ep/q0fKInhsPz9iPdcC+s/cg+z8JV3PXOs +Z37gnkE+wyu+g9Ge+Z57+NuLv7n4rnhP8QS84ZOSPOyziM0RR8c/W3Yui9mw +++uIr0pi+nTrL0ti7GT3fWp9sWd8Zg1XP3eOWfjOFs9E4yPfOAdzYe9PET+W +xOy51j+UxBhYk4m9pcvyEHzj+4jvvBYs/8JrMhuv+tZrzPbMH9yDB+AFv0T8 +7NpLPetbrwXrsmWtOdV38KXXoBdv+tUzYDjc/ifi75IYv8T6r5I8A5/4PeI3 +a76bP5xD8y396Ryz4PK/nomG1ducg9GwOhV7S5bFdHLoRFnPuDPuiho8A5+o +Fnq77/pyn/0nz8Zn/vMa1DKTWfTM9xl+85mpZeZ297B32L/VZ+Du4H6urDuE +SXCofuh6ZTEUThZDF8qqxSvyZfWgqeEZOWpha6msHjwA7leFrpT1LvO3z6aS +3mme4Q07lFWDx+ALO4XesSxuw+FaoWuW5Qlwnn/Hwr9roRbf2LmsHtbGh8pl +7YFn9FBLDWuxBrNZE09gDWbXKGs2uRr+9zjcBRzes6w7YTZn4iysgU/gHbVD +71oWQ+Feg9B7ldVLDs0MPAQv2T30bmUxBbbUDb1HWZrfgLsnxyxY2rCsmWi8 +ZO+ycnAXLu8bep+yGEwO3bSsveGddcraI54B15uEblzW3XIG9s4dMxufaVTW +GtQyk1n0MIszsHdmUstMZtHDu8U39ZffMfaGdzQra49ovGm/snJwGU63Dd2m +LJ/AF/YP3aIsDSdblpVDw85WZeXQcL11WTlmwf4DypqJxkfalZVD4y0HlpWD +u3C5Y+hDyvIAuN0hdPuyavGdg8rqYS32zF5Zk2f0UEsNnMcHOoU+tCymswaz +Dy5rNjk0a+CJnJmzNi9rL8yglz3BQFjYPXS3shgNN48pywM+9nd0ROjDy9J8 +W0eWlUPD/aPKyqHhPt5BjllwsktZM9Gw89iycmi85LiycnCXPbGXk8ryBLh9 +YugTyqrFN44vq4e7wBsPK+tOeEYPtdTwjDOwd2pgOmswu2tZs8mhWYO7wGtO +LutOYCJsPCV0j7IYBIsuiBhSFuN4D88KfWZZzCaHPqOsXnzh1LJmoOHmaWXl +0LC0Z1m5rX63zy5rJhrv6FVWDs7C4b4RfcpiLjl077LefX5zfmu+ATwCjp8X ++tyy1sJnTi9rTWbjK+eUtQa1zGQWPXAfL+kf0a+sWmYyix5mcWbOysxq7unn +PdKL7wzwDJgNN4dFDPVdFq0v8DO4N9w1+Ba+OyhiYFkegi8MjjjfOu/fYrBr +s35GD14wye8o7yqzYesIrwHT4fbYiDFl/fb85vzWvAMwHJaPjhjlWlg/zj3M +wldGeuaOnjnGPSmfYaDvoMozR7nnlOrxHkQ8GPFAdXkC3jC+rH/Hj2/AzYkR +E8piNuyeHjGtLKbXtZ7qWlh7kXvQcHmSc2i4Otk5ZuE7MzwTjY/MdA7mwt65 +EXPKYnYj69llMQbW3BBxfVkegm9cGnGJ14LlF3tNZuNVs7xGQ8+c7R48AC+4 +POIy1zbwrFleC9at8JrMxuumeA168aZ5ngHD4faSiMVlMb6l9aKyPAOfuCJi +vjXfzZXOofmWFjjHLLi81DPRsHqZczAaVl8XcW1ZTD/I+ho/6+C7ogbPwCeu +jljuu27is8/1bHzmKq/RzjOvcc8+PsN8n7mtZy53D3uH/Qt9Bu4O7t/oO4RJ +cOjBiAfKYiicXBlxs2vxipvcc6hrbnaOWtj6P/fgAXB/dcSqiDsi1kZUr8S3 +U9EzvOFW1+Ax+MJtEWvK4jYcXhdxV1mecJxn3OFafON297A2PnSL99DFPXe4 +prPXWOM1j/cazL7Ts4+3Xuu7gMMP+U6O8JlWeQ18Au9YH3F3WQyFe49EPOze +ntbMwEPgyH0R91rDlvud6+Hf4AHnmAVLH/VMNF7ymHNwFy4/E/F0WQw+1/op +7w3vvMd7xDPg+pMRT/huu3rv6zwbn3nca/TyzKfc081nuNczz/LMJ9zDu8U3 +xbe00HvDO571HtF403POwWU4/WrEK2X5BL6wIeIFazj5onNo2PmSc2i4/rJz +zIL9r3kmGh953Tk03vKGc3AXLr8b8U5ZHgC334p407X4zkb3DPKeX/Gaw9zz +pmvgPD7wfsR7ZTF9lGe/7dkjrVmjr8/MWZ/3Xsa4lz3BQFj4fcR3ZTEabn4W +8WlZnoA3fBTxoTW+8LFzaLj/iXNouL/JOWbByc2eiYadnzuHh+AlX0Z8URZ3 +L/Vevi3LE+D2loivXYtvfOUe7gJv/MB3Mt09X7tmnM/woWtmeQ1mf+PZs6y3 ++C7wmh98JzARNv4U8WNZDIJF+WBLriLG8R7+GfFHWcxeYP27e/GFnz0DDTd/ +cQ4NS391jlm821s9E413/OUcnIXD2yP+K4u5y623lfXu9/VvzTeAR8DxfyP+ +8Vr4zG9ek9n4yt9eY5lnbnMP3MdLEnHWahXVLvWsvz3rSp/1N++NHmq3uxff +SVY0A2bDzWLoQkV3SQ7NnfIM7pUqqsG38N106FRFHoIvZENnKtL8JvwW5Kil +hmf0wFhYu0tFnsBs2FquaA2YDrd3Cr1jRb/9XP/WvAMwHJbvEM+qKqqF9XgL +PczCVyoVzaSWmcyih7NzBvbOHaz2TGbRw7fCN8e3tsl7xStqVLTnWhG7Uhcx +sSKGw+3dQ+9WEVNhK3U1K9JweFf3ouFobc9Bw9Y6FeWYhRfsUdFMND5Ut6Ic +Gl+pV1EOBsPivUM3rMgz8Im9Qu9ZUS1eVL+iHtZiz+yVNXlGD7XUwHzY3zh0 +o4o8gTWY3aCi2eTQrAHTYFv70AdVtBdm0Mue4CQ1PDuwIqbD8Rahm1fkEfjC +PqGbVqT5TvatKPecv51mFeXQsHO/inLMwgf2r2gmGk63rCiHht2tKsrBbPbE +XtpV5BH4QtvQbSqqxUdaV9TDXeCtTSq6E57RQy01POMM7J0aPIM1mH1ARbPJ +oVmDvXMHnJ0zcHd4RYeK7hAGwZ1TQ59SkSfgDYeEPrgiDVc7VpSDobC0U+hD +K2I+nD8i9OEVPcMXDquohl56qGUGXIFVvUOfV1Ev7/2RFc3gO8AnOoc+qiKN +TxxdUQ6NdxxTUY612ANrsyaeAPePC31sRRovOL6iHBrOn1BRbpO/PdZiD9wN +d8DZuSNq8YYTK+rBN/Cmk0J3reju4OhpFd0hngE3uofuVpGGJSdXlEPjAz0q +yqH5Dbh7csyCoz0rmomGradXlEPjLWdUlIPDcPrc0OdU5BFw/OzQZ1VUi4+c +WVEPZ+EM7J0z8YweaqnhbJyBvXNGGM8azO5V0WxyaNZgbX5Tfkv2wG8L9/tU +9Bvzz5b8MyV/J/C3A1yG0+dHDKrIJ/CFfhF9reFkf+fQsHOAc2i4PtA5ZsH+ +wZ6JxkeGOIfGWy5wDu7C5VERIyvyALg9PGKYa/Gdoe5hrYz3OtDPSq6lBs7j +A2MiRlfE9CrPHuHZFWvWgGOw7dKIS7yXHd3LnvZyDc9mVcRsOD2pIg/Aw/CF +CyPGWeMV451D4wMTnEPjAxOdYxYcnuyZaNh8sXNofGCKc3t6T+xlZkUeANen +R0xzLT4x1T3cBd441neyh3umuWZnn2Gca+p7DWbP8Oz61tN9V3B9tu8MDevn +OAdTYMtNETdWxETYeHnEZa6Fo3Pd09g1lzlHLdyf5x4YDyevjLiioneZd5R3 +s4+fwdIFrsED4P6iiIUVMRf2Lo+4qiKmw+2lEUtciy8sdg9r4zPzvYeW7lni +muZeY6HXbOM1mL3Ms9tYL/WsZt77fO8Fb7jae0LjRdc4B6Ph5sqIm32Xnaxv +8jPY+j/X4Blw8fqI6yriJL6wIuIG647+LVa49mA/o4e/bfibhfeyhmfD/Vu8 +BkyH7bdFrPFe8fJrvWc4jQ/cGrE6oktFnL8j4nbPguOrPLOzZ65xz0E+w3We +eaRnrnYPs/CJtZ6JxifudA6ND9zlHAyH2/dH3FcRU2Hr3RHrrOHoeufQsPUe +59D4wr3OMQsveMAz0fjKg86h8YWHnIPbcPiJiMcr8gQ4/2jEI67FNx52T3fv ++T6v2dM9j7gGrsP6pyKerMgTzvLsxzz7TGvWgGuw7u2It7yXXu5lT8Ndw7M3 +K2I43H4xYkNFvoFPPBvxjDXf2XPOofn2nncOjS+84Byz4PJLnomG1S87h8YX +XnFumPfEXjZW5Alw/vWI11yLb7zqHu4CX3vadzLYPa+55lyf4RnXDPUazH7D +s4dav+699/fZX/Ddwf13fIdwCQ79HPFTRb4AR9+LeNcabr7vHByF+x9GfFAR +42H9JxEf+xk+8JFrxrrnA8/4POKLiC5V8d1VqRef2eQZeAS+8FnEp9b4wmbn +0FM8Z7PXmuC1WRPmw/4vvQ4arn/lHBrWf+3cRV7zU+9hlO/gXd8RtXjFFvfg +S3jltxHf+O7g6C++QzwDn/g+4jtrfOAH59D4wI/OzfVv8JNzzIKjv3omGrb+ +5hwaH/jdObgNh/+J+LsibsP5rRF/uhaO/+GemT7DNz7TAvf86ZpLfIbvfMbF +XoPZf3n2YuutXhsv+Nd7QOMV25xD4wP/OYcHwNVq8dtvt4aLiSrl0LAyWaUc +Go6nqpRDw/Z0lXJouJ+pUg6NF2SrlEPjK7kq5dB4Tb5KOTQ+U6hSDo0vFKuU +g+GwfIfQVVViPNwuhy5VScPySpVyaHqoJUcv3rJjlWYwmxn0sgYeAvd3Dr1T +lRgLN+uFrlslD4D7u4SuXiWNF9SoUg4N92tWKYeG+7WqlEPD/V2rlEPD/dpV +yqHhcp0q5dCwercq5dD4wu5VyqHxhT2qlEOzZ/ZKDkbD6gah96rSWeB8/Sqd +CQ3796xSDk0PteS4C87MWbmT5X5ntvsdYjbe0LBKa8B82N8o9N5VYjacbhZ6 +3yo9g6ONq1SDhptNqpRDw9KmVcqh8YF9qpRjFtzcr0oz0bC0eZVyaHygRZVy +cBrutg3dpkoeANdbhW5ZpVp8Yv8q9bAWe2avrMkzeqilBo7D9nahD6iSB7AG +s1tXaTY5NGuwNj3Usgd68Z4DqzSDu+POuCvuECbD5kNDd6ySb+IL7UMfVKVn +cLxTlWp4Bhc7VKkGTuILh4Q+uEqamcwiRy01PKMHdsNo2Py5Z8Ptw6q0xv/R +dNbhWtXbFkYRRdD9dW+QEBEFxUKPGIgYIIIioqKEKI2EgBIiIN0lIRaKhQEG +Kord3YmFhYXdyZ3vM8b9Yz533LnmnL/41nrH9txz7vnUebzhuCoxGTYfE7pd +lTiPTxwdum2VesmhmcEzeqilhrNzJs7CHeAhrMHsY6s0mxyaNfhbq5O/qTu8 +T3yjQ5U8C8/ABzqGPqFKTIfjXUKfXKVncPXEKtWgYW2nKuXQcLVzlXJoWHtS +lXLMwgdOqdJMNN7QtUo5ND5zapVyMBt2nxm6e5U8Al84PfRpVarFR7pVqYe1 +2DN7ZU2e0UMtNTAdtvcIfVaVPIM1mH1GlWaTQ7MGHIN7wyOGVWkvzKCXPdVx +Dc+GVonxcL1vxLlV8gx8onfoXlXS+MTZVcr96+++T5VyaFhwTpVyzMIX+nkm +Gl/o7xwaXxjg3M7eE3s5r0qegA8MjhjkWnxjoHu4C7yvZ5XupJZ7BrmGZ5yB +vVNT22swe4hn17Ye7LvCF0b4ztB4xfnOwSQ4NDdiTpU8AQ5eEDHatfjISPeg +8ZZRzlELOy90D56AD4yLGFuld5l3mHe3g5/hC+NdgyfgAxMiLqoS1+H2lIhL +quQBcH9SxETX4hMXu4e18aUx3kPZPRNdU/AaF3nNel6D2ZM9u571JM/Kee9j +vBd8Y6r3hMY3pjkHs+Ho/Ih5vstm1nP9DNYucA0eAgdnRsyoEhfxidkRs6yb ++reY7domfkYP7yLvNO/yOZ6NTyz0GjAcli+NuNR7xeume894Bj6wJGKxa+H4 +MvcwC59Z5Jn7eual7mnkM8zwzBaeudg9/HvH+feg8+/Z49+7x2y8YrnXwEfw +icsiVlTJN/CJyyNWWsPNK5xDw9IrnUPjA1c5h4bzVzuHhv2rnEPjC9c4h8Yr +rnUOjc+sdg6NL1znHBrOX+8czIbdN0esqZIPwP0bI26wxgtucq6de9Y4Ry9e +cItnHOUZN3gNnuFFt7oG5sLR+yI2VMkT+I7WRtxmzbe1zjk0PnC7c2h84A7n +0PjAnc6h8YG7nEPD6fXOoWH33c6h8Yl7nEPjE/c6d4r3vME5mA27H4x4wGeB ++/f7TGi8YKNzp7nnAeeO95lv853w7vDO8K5c5tl4xUNeAw/ACx6JeLhKDIfb +T0U86Wdw9VHXoOHoY86hYevjzqHxhSecYxbf3dOeieZbfMY5NL7wrHNwGw6/ +HPFSlTwBzr8Q8bxr8Y3n3NPbe37Sa/Zzz/Ougeuw/tWIV6rkCYM8+0XPHmj9 +gtce4tqX3YsXveYZ3X1nD/sOYT4c3xTxbpV8FN94I+J1P4Oz77mGZ/jIm67B +I/CFtyPessYr3nFuhGvecg9sgAmwYLlnw933vQYMh+WfRnxSJYbD8s0RH1WJ +0/jIhxEfuHes9ft+Nt61H/rsw3wW7mCC12D2x549wXqz18YXPvMe0HjF586h +8ZItzsFpuP1dxLdVYvYs661V8hB848uIL6zh8lfOoeHq184xCy/43jPR+MoP +zsFc2PtbxK9VYvYi61+qxBU4VDcRf4ck5EH4xM8RP3ktWP6N12Q23vWj11jg +mb+4Bw/AC/6I+N218z3rR68F53ZJaM0ZvoOtXoNefOZPz4DpcHy7qK2REPPJ +obdVyTN4L/6O+Muad+Uf59Bw4F/nmIUPbJ/QTDQ+UDOhHAyHszuHrp0Q48mh +d0roGXfGXVGDR+ALO4auldBdL/HZf/NsfGWHhNaglpnMomepz/CXz0wtM5lF +D3uHZf/5DNwdXrFrQncIo+BSk9C7J+QJcDAZOpFQLT5SlVAPmhqekaMWdqYS +6sET8IFs6ExEKaIccXHEhISe4Qu5hGrwBHygEDqfENfhdr3Q1Ql5ANwvexa1 ++EQxoR7WxpfSCe2BZ/RQSw1rsQazWRPPYA1mVxKaTa7ifXIXcHmPhO6E2ZyJ +s7AGHoJv7Ba6fkLMhqN7hm6aUC85NDPwDLjXKHTDhDQsbJxQDs1vwN2TYxZs +bpbQTDQ+sFdCOTgNd1uG3jchZpND75PQ3vC2BgntEY+A+y1CN0/objkDe+eO +mY2v7J3QGtQyk1n0MIszsHdmUstMZtHDu8U3tc3vGHvDG/ZLaI9ovGP/hHIw +GTYfGvp/CfkGPnFQ6AMT0nCzVUI5NCw9OKEcGh84JKEcs+B+64RmovGCwxLK +4TH4yBGhD0+I03C3XeijE2I4LD8qdJuEavGFIxPqYS32zF5Zk2f0UEsNHIft +x4Y+JiEPYA1mt01oNjk0a+CRnJmzHpDQXphBL3uCgbDw9NCnJcRouNkp9IkJ +eQI+0D708QlpvKFDQjk03D8hoRwa7ndMKMcsONk5oZlo2HlSQjk0XnJyQjm4 +y57YS7eEPAFudw19SkK1+EaXhHq4C3zsuITuhGf0UEsNzzgDe6cGprMGs09N +aDY5NGtwF3jNGQndCUyEjWeG7p4Qg2DRyIjzE2Ic72Gf0GcnxGxy6N4J9eIL +ZyU0Aw03eySUQ8PSngnl/vO7fU5CM9F4x7kJ5eAsHB4UMTAh5tayHpDQu89v +zm/NN4BHwPH+Ef0SWguf6ZXQmszGV/p6jZqeOcA9cB8vGRIx2LXbexY9zOLM +nLWX97aTawe5F985zzNgNtwcHTHKd5m0HulncO8C1+Bb+O6wiKEJeQi+MCJi +uHWVf4sRrt3Fz+i5O+KeiHIyGJ3UbNh6odeA6XD7oojxCf32/Ob81rwDMByW +j4sY61pYP8E9zMJXxnhm3jPHu2dnn2Go7yDrmWPdw7fCN8e3xjeDJ+ANExPy +sEsipkR8EbElIWbD7pkRMxJiemPr6Qkxtr77Jlvv5hmXWMPVqc4xC9+Z5Zlo +fGS2czAX9i6MWJAQs/e2np8QY2DNDRHXJ+Qh+Ma8iLleC5ZP85rMxqvmeI1m +njnfPXgAXrA4YpFr9/SsOV4L1t3oNRv6DqZ7DXrxpiWeAcPh9uURKxNifCvr +yxLyDHxiacSl1nw3y5xD8y0td45ZcPkKz0TD6iudg9Gw+rqI1Qkx/Ujra/3s +KN8VNXgG3rEq4mrfdQuffaFn4zNXeY3DPZNZ1/isLb33Ja5t7VlXee+wf4XP +wN3B/Zt8hzAJDj0S8XBCDIWTt0Tc7Fq8Yo17jnHNzc5RC1tvdQ8eAPfXRaxN +6F3mb59JCb3TPOM9v901vPf4wp0RdyTEbTi8IeLehDwBzvMdr3ctvnGXe1gb +H7rNe+jsnvWu6eg17vCaXbwGs+/x7C7Wd/su4PCjvpP2PtNar4FP4B33R9yX +EEPh3uMRj7m3pzUz8BA48mDEA9aw5SHnuvs3eNg5ZsHSJzwTjZc86RzchcvP +RzyXEIP7WT/rveGdG71HPAOuPxPxtO/2VO99g2fjM095jXM981n3nOYzPOCZ +fTzzaffwbvFN8S2t8N7wjhe8RzTe9KJzcBlOvxnxRkI+gS+8EvGyNZx81Tk0 +7HzNOTRcf905ZsH+tzwTjY+87Rwab3nHObgLlz+M+CAhD4Db70Vsci2+8657 +hnnPb3jN0e7Z5Bo4jw9sjvgoIaaP9ez3PXuMNWsM8pk560vey3j3sicYCAt/ +ivgxIUbDzS8T8gA8ge/o04hPrPm2PnMODfc/dw4N97c4xyw4+ZVnomHn186h +8ZJvnJvrPbGXHxLyBLj9XcS3rsU3trqHu8AbP/adzHTPt66Z4DN84po5XoPZ +33v2HOvvfBd4zc++E5gIG3+N+CUhBsGiqvD2XZNiHO/hPxF/J8Ts5dZ/uRdf ++M0z0HDzd+fQsPQP55jFu/2vZ6Lxjv+cg7Owe4dYu2ZSzCWH3j6pd3+Qf2u+ +ATwCjm8Xz2oktRY+86fXZDa+ss1rUMtMZtED9/GSnULvmFQtM5m1zbOW+azM +xCPoobZWUr34Tu2kZsBsuJkMnUjqLsmhuVOewb1UUjX4Fr5bJ/TOSXkIvrBL +6LpJaX4Tfgty1FLDM3rwAv5G4b2c5NmwNZ3UGjAdbhdC55P67Rf6t+YdgOGw +PBfPsknVwvpiUj3MwlcySc2klpnMooezcwb2zh2s80xm0cMsfIC/FZmJL+AV +laT+hvwq4uuITqnwlZQYDrcbRa5hUkyFrfU4a1IaDtdPKoeGo7sllUPD1gZJ +5ZiFFzROaiYaH9o9qRwaX2mSVA4Gw+K9Q++VlGfgE3uGbppULV60R1I9rMWe +2Str8oweaqmB+bC/RejmSXkCazC7WVKzyaFZA6bBtjahj0xqL8yglz3BSWp4 +dkRSTIfjB4U+MCmPwBdaht43Kc13sl9SuRf97eyfVA4NOw9IKscsfKBVUjPR +cPrgpHJo2H1IUjmYzZ7Yy+FJeQS+0Dr0oUnV4iP/S6qHu8Bb90nqTnhGD7XU +8IwzsHdq8AzWYPZhSc0mh2YN9s4dcHbOwN3hFUcldYcwCO6cGbp7Up6ANxwd +um1SGq62SyoHQ2HpsaGPSYr5cL596OOTeoYvHJdUDb30UMuMThGd+Y749pLq +xSs6JDUDz8EnOoY+ISmNT5yYVA6NTzCHHGuxB9ZmTTwB7p/kddB4wclJ5dBw +vktSOdZmTdZiD9wNd8DZuSNq8YZTkurBN/CmU0N3Teru4OhZSd0hngE3Tgvd +LSkNS05PKofGB85IKofmN+DuyTELjvZIaiYatvZMKofGW3ollYPDcLpvxLlJ +eQQc7xP67KRq8ZHeSfVwFs7A3jkTz+ihlhrOxhnYO2eE8TU8+5ykZpND9/Ha +eEU/7wGNF/V3Do1XDXAOX8AHBkcMsoaLQ5xDw8rznEPD8aHOoWH7MOfQcH+4 +c2i8YIRzaHzlfOfQeM1I59D4zCjn0PjCaOdgOCwfFzE2KcbD7QsjLrCG5WOc +y7pnrHP04hPjPSPlGRd4DZ7B/YtcA2Ph5qyImUn5Aj5wccQEa7xhonNouD/J +OTTcn+wcGu5f4hwa7k9xDg2XpzqHhtXTnEPjC9OdQ+MLM5xr7D3PdA5Gw+p5 +EXN9Fjg/22dCw/45zjV1z1znij7zBN8Jf0PwzvCuDPRsvGG+14D5sH9hxIKk +mA2nl0Us9TM4usg1aLi52Dk0LF3iHBofuNQ5ZsHN5Z6JhqUrnEPjA5c5B6fh +7tURVyXlAXD9iojLXYtPrHRPS+95qdds5Z7LXQPHYfs1EauS8oBDPftKz/6f +9RVe+zDXXu1evOdaz9jLd7bAdwiTYfOaiJuS8k184bqI1X4Gx292Dc/g4vWu +gZP4wo0RN1i386wbXdvWz+iB3TAaNnfybLh9i9c40Xm84Y6kmAyb10WsTYrz ++MRtEbe693jrW/ysg2tv89mP9Fm4g45eg9m3e3ZHa9bgby/+puI74ttin/jG ++qQ8C8/AB+6JuDsppsPxByI2+hlcvdc1aFi7wTk0XL3POTSsvd85ZuEDD3om +Gm94yDk0PvOwczAbdj8Z8URSHoEvPBbxqGvxkUfc08173ug1z3LPo66B6bD9 +6YinkvKM3p79uGf3smYNOAb33ovY5L30cS97Gu0anr2bFOPh+isRLyflGfjE +cxHPWuMTzzuHxjtecA4GwIKXIl70LHzhVc9E4wuvOYfGF153bpT3xF7eScoT +8IG3It50Lb7xhnu4C7zvGd/JcPe86ZpzfYZnXTPSazD7bc8eaf2W7wpfeN93 +hsYrPnAOJsGh3yJ+TcoT4ODmiI9ci4986J5xrvnIOWph58fuwRPwgc8iPk3q +XeYd5t1d72f4wueuwRPwgS8itiTFdbj9bcTWpDwA7vPPJF+5Fp/40j2sjS99 +4j1Mdc9XrpnsNbZ4zRleg9nfePYM6689a6L3/on3gm985z2h8Y3vnYPZcPSP +iN99l0usf/MzWPuna/AQOPhTxI9JcRGf+CXiZ+tF/i1+ce0CP6OHd5F3mnf5 +Jc/GJ/7yGjAclm+L+M97xet+8J7xDHzg34h/XAvHa6TUwyx85m/PvMwz/3PP +XJ/hR89c7pn/uOdr32XnlP4Zktl4xXYprYGP4BM1Q2+fkm/gE7VC75CShps7 +ppRDw9KdUsqh8YHaKeXQcH7nlHJo2F8npRwaX6ibUg6NV+ySUg6Nz+yaUg6N +L1SllEPD+URKOZgNu7OhMyn5ANxPhU6mpPGCdEo5ND3UkqMXL8ilNIPZzKCX +NXiGF+VTqoG5cLRx6EYpeQLfUTF0ISXNt1VKKYfGB8op5dD4QCWlHBofqE4p +h8YH6qWUQ8Pp+inl0LB7t5RyaHyiQUo5ND7RMKUcmj2zV3IwG3Y3Db1HSmeB ++7undCY0XtAkpRyaHmrJcRecmbNyJ7w7vDO8K7xDzMYr9kxpDTwAL9grdLOU +GA7LW4beN6VncHXvlGrQcLR5Sjk0bG2RUg6NL+yTUg4P4LvbP/R+KWm+xQNS +yqHxhQNTysFtOHxo6P+l5Alw/uDQrVKqxTcOSqmHtdgze2VNntFDLTVwHdYf +Frp1Sp7AGsw+JKXZ5NCswdr0UMse6MWLDk9pBnfHnXFX3CFch+PtQh+dko/i +G0eGPiKlZ3D2mJRqeIaPtEmpBo/AF9qGPiolzUxmkaOWGp7RAxtgAiyAEcyG +u8emtAYMh+X8a1EdU2I4LO8Qun1KnMZHjg99XEq95NDM4Bk91FLD2TkTZ+EO +8BDWYPYJKc0mh2aNrhn9/yjj/zfZhozYhneclBLjZqbDIyK+jdiaFrNh9xnx +7PSUuE0OfVpKDCeH7paSp+AjXUKfnJKG06eklGMWPtI9pZkwFtb2Dt0rJUaT +Q/dMidGwuk/os1NiENy5IGJ0SpxJWY9KaS043jWlNfEofKRH6LNS8jFy6DNT +esaarEUNe6OGZ929Fqy70GsyG684NaU12Dt7ZG+cAYbD7SERg1Ni/E7Wg1Ly +gB2tB6bkIbwnfSPOtebd6eccs+D+eZ4Js+HqyIjzU2J6lfWIlDyvhmedk9Ld +kUP3cW/Sd8UMzsJvyG/HmfAQfGN4xLCUPKau9VA/29VrDffe6vjZed47HOvv +M8AguLMwYkFK3yWcmh8xL2JKxNSIL3l3U/IIuDguYqzvHl8Z498g65qxzlEL +S8e7B0/AByZGXJySB8D9yRGTUuI4nJ4RMT0lpjeynua98D+/8p7whAbOs9eS +12D2BM/GRy7xGg088/9nVbyHSd5Tfc+c4p69fEcLfCecBd+7yGdir/jITO8Z +hsLSJRGLU2L4PtaLfNctrLlzPAQOzo2YY93Mdz/Xs/CSSz0TLsPZyyNWpsTo +VtaXpeRjTT1rtveGr83yHpu4ZrZzRd/ZBJ8JD8EXVkQsT8ljDrBe5mcHeS1q +9nMNz5am9G7hlQNSesfQfFMDnWPveMUVPgNchtM3RFyfEqOPsr4uJR/BN1ZF +XG0NR69xDg1br3WOWbD/Rs9E4xs3OQeX4ezaiNtSYnR761tT8qzWXuuqlJgO +22+JuNlr4TurvSaz8Y01XuM4z7zVPXAd1t8esc61x3oWPXANlj4e8Zhnt/HZ +V7sXL7rDM2AaHLgvYkNKDO1qfW9KfwPjE3dF3GmNd6x3Dg3373aOWbDlfs9E +4xUbnYPDcPfRiEdS4u5Z1g/7WU/vnRo8Ay4/FPGg7/oEn32tZ+NND3iN7p75 +sHtO9Bnu9JlP98wH3QPDYfmTEU+kxBhY80HE+ykxaIz1eym9c7x7L0a8kNI7 +OcD6+ZQY2N/6Oc+Gw095DTRsfto5ZuENL3km3IWzb0S8nhJnh1m/lhKHYf9b +EW+m9O7zt9OVKX0DaN65q5xjLbzhGa+Jp8DtVyNeScmnhli/7GdDvdar3ttg +P3vJs/CpZz2zr8/8nHPDvcc3fQaYBgc2R3yUErPHW3/oZ7DiY9eMdc2H/g3w +eXx4U8S71hf6tyA3yjU8eyclDsP2r1NiOsyFvXjNlpQ8cJRr3/ZdjrTmTvl2 +eCd4F/iGJnsGvZ+nxHTY/lnEpyn5wkTrT/xssms/89ku9jPOyLfC30X3pPTN +sFc4/433zP/cGnFS/C3WOS1u4xM/RfyYEsPnWf/g3pnu+cYaDn/rHBo2f+cc +s/Cenz0TjW/84hzchc1/R/yVEoOXWf+ZEoNgUTL2lkjLh/CR3yN+81p4xfde +k9l4069eg1q85w/3wHzY/2/EP65d7Fm/ei1YmkprzTm+gx+8Br141X+eAbPh +6I5RWystppND75CWR/Cd1Ai9zZrvZLu0cmi+ne3TyjELbu6U1kw0LK2dVg7m +wt6q0LumxWxy6F3SesadcVfU4CH4Rt3QddK66xU++9+ejVftnNYa1DKTWfRw +Vs6wzWemlpnMooe94zU10zoDdwcn02ndIQyCO3uFbpaWB8DFXOhsWrWwNZNW +D5oanpGjFpbm0+qB+bzHpdDFtLgBqw4O3SqtZ7zr5bRq8BS8pTp0JS0Ow+lG +oRum5RFwfLfQ9dOqxUfqpdXD2vhOIa098IweaqlhLdZgNmvCeNZgdoO0ZpND +swZ3ARf3TutOmM2ZOAtr4CN40+6hG6fFPDjXInTztHrJoZmBb8GNpqH3SEvD +kj3TyqH5Dbh7csyCnfukNRONd+ybVg4uw+GDQh+YFofJoQ9Ia2/4ZJO09oiH +wOn9Q++X1t1yBvbOHTMbr2qZ1hrUMpNZ9DCLM7B3ZlLLTGbRw7vFN8W3xDvG +3vjN+a3ZI7893D8krXcATuOdbUIfmRazyaGPSMsn4O6hof+XlobFrdPKoWH1 +YWnlmIUvHJXWTDRe0TatHByGu8eHPi4t7pJDH5sWw2BZz9A90vIcuHxM6HZp +rYWXHJ7WmszGq45Oaw1qmckseuA83tAhdPu0apnJLHr+8nfdK601mc0dcHbW +oBdvOiGtGTATrp0SuktazCaHPjmtf0bB104M3TEtjW90Siv3/x6Cd5BjFqzs +mtZMNN5xalo5OAuHzwzdPS3mkkOfkdYzWH1WWjV4BBw/PfRpad01d8DZuXNm +4yvd0lqDWmYyix7OyhnYO2emlpnMooe7goW907ozuANnxkaMSYtBWesL03rX +eId4d3jnYCJsPCd0n7Rm4QtnpzUTTQ3PyFEL989Nq4d3mnd7QET/tHwD7g+K +GJgWg2Hx8IhhaXG3rvXQtP6zeVP8n7ngP3sBw+HyeRFD0mJyTc/u59n4yGCv +sbNnDnXPDt7DQO+J2tqeRQ97x6f6+gzbeY1+zrFXvGiE9wzTYNtFEePTYmjB +epyfwekJrsm5Zpx/AzwEX7ggYrR1xr8FuaRreDYqrb9BeId5d/mbBk7D3Snc +VVq+mHTtSO8Vnzzfe65yzUjn6nkGvZPT4jy+MCliYlrMr1hf7Gf1XDvJZyv7 +GWfkbzH+mQfPwDvYG94w1XtE4x3TnIPb+MT8iHlpMbyZ9dy0OAuH+dfVZljD +0VnOoWHrbOeYhW8s8Ew0XrLQObgLl5dFLE2LwftZX5oWh2DbLRE3p+UZcH1J +xGKvhe/M8ZrMxmcWeY19PfNS9+ADeMuKiOWu3cezFnktvvNbvWZT38Fcr0Ev +PnCZZ8BQOHdNxKq0GH6Y9dVp+QY+cXnESmu+4yucQ/NtX+kcs2DntZ6JxktW +Owd34fKaiJvSYnA76xv97FjfFTV4Bly/IeJ63/UBPvsyz8ZnrvMabT3zRvcc +5DOs9JnbeOb17mHv+NhVPgN3Bytv8x3CIFj0VMSTaTERNt4esc61+MJa93Rw +zTrnqIX7d7gH7vOdrY+4K+K+iPsj6mfiO8joGd/i3a7BV/CFeyPuSYvRsPrB +iAfSYng3z7jPtfjGBvewNj5zp/fQ1T33ueZkr3GP1zzNazB7o2efZn2/7wIO +P+076eQz3eU18CV86OGIh9JiHpx7NuIZ955rzQw8Fx95POIxa3zgCed6+zd4 +0jlmwc7nPBMNq593Du7C5VcjXkmLwUOsX/be8MpHvEe4DddfinjRd9vde3/Q +s+H6C15jkGe+7J4ePgN7f9S1Az2LHt4tvim+pau8N7zjNe8RjTe97hyMhtXv +RWxKi7Fw9a2IN61h7dvOoWHzO86hYfu7zjELL37fM9H4xAfOofGOD52Dy3D4 +s4hP0/IYOPxxxGbX4kUfuWe097zJa453z2bXwHW8YEvE52lxfaJnf+LZF1uz +xnCfmbO+4b1Mdi97goGw8I+I39NiNNz8Lq3/GwkegBd8FfGl9fSIr51Dw/1v +nEPD/a3OMQtOfu+ZaNj5g3NovORH5xZ7T+zlt7Q8AW7/EvGza/GNn9zDXeBb +X/hO5rvnZ9dM8Rm+dM0ir8HsXz17kfUvvgu85k/fCUyEjX9H/JUWg2BRJtiS +zohxvIfbh94uI2aTQ9fIqBdf+Mcz0HDzX+fQsPQ/55jFu10zo5lovGOHjHJw +Fg7XCb1zRswlh66d0bs/3L813wAeAcd3imc7ZrQWPrPNazIbX6mV0RrUMpNZ +9MB9vGSX0HUzqmUms+hhFmfmrNu8N3qoZY/04ju7ZjQDZsPNHP/dQRndJTk0 +d8ozuJfPqAbfwncToasy8hB8IRU6mZHmN+G3IEctNTyjh38GbOz3crpnw9ZC +RmvAdLhdHbqS0W+/zL817wAMh+XleFbKqBbW4y30MAtfKWY0k1pmMosezs4Z +2Dt3sN4zmUUPHoAX7JaRZ+ETcLJh6AbsP6JxxIyI6RkxG3Y3C71nRkwnh26a +US+spY8ZaLjc2LPQsHp3z2UWvrNXRjPR+MjeGeVgLuzdL3TLjJhNDr1vRoyB +NceGPiYjD8E39gndIiOGw/I9QjfJaDZe1TyjNahlJrPowQPwggNC759RLTOZ +RQ9rwbrjMlqT2dwBZ2cNevGmAzOaAcPh9mGhW2fEeHLoQzPyDHyiVeiDMtJ8 +NwdnlHvd39IhGeWYBZcPz2gmGlYfkVEORsPqdqGPzojp5NBtM3rGnXFX1OAZ ++MRRodtkdNfcAWfnzpmNzxyZ0RrUMpNZ9HBWzsDeOTO1zGQWPdwVnD8+ozuD +OXDm7NC9M2ISOXSvjN5F3kHePd5JmAo3TwjdIaNZeEf7jGaiqeEZOWphbceM +evAAvOCk0J0z8hR8oEvokzPiNNw9PfRpGTGbHJr/njH+WaSRv128B4+A+/zf ++Pm/9eM5rMHsThnNxldOyWgNapnJLHrYC3tgbfb0vWcyix72jq+dmNEZ0KzB +bHLsFa84I6M9wzzYd27EORkxlRy6T0bP8IK+ruHuqeEZvwGMgTU9Q/fISPOb +8FuQw4Oo4dlZGb2LePn/Mnon4TCcHhwxKCPfpIfaMzPaK17XPaM9o6nhGbla +nkHvwIw8Bh8YENE/Iw+qad3Pz2q5doDPtr2fcUb+vRb8eyT41/35v5WxV745 +vjX2jK/gVedFDMmI03B7dMSojHwDnxgeMcwabo5wDg1Lz3cODedHOscsvOAC +z0TjKxc6h8ZrxjgHh+H0xRETMvIEOD4+Ypxr8aGx7kl4z6O8Zs4941wD9/GF +SRETM2J8ybMv8uyiNWvU8Zk561DvpeJe9gQTYeOSiMUZMRt2z8zIE/AMvtMp +EZdY8+1OdQ6ND0xzDo0PTHcOJsPN2RGzrGHpHOfQeMtc51p4T+xlUUYeAccX +RMx3LT4yzz3cBV452XfSzD3zXVPPZ7jENc29BrMXenZz6wW+C7znUt8JjISV +yyKWZsQgWLQ24raMvhHeuysjrsiI4YdYX+5efGK5Z6Dh6Arn0LD1MueYxbt8 +lWei8ZKrnYO7cPn6iOsyYnAb69UZvft1/FvzDeAZcP3aiGu8Fr6z0msyG59Z +5TWO8MzV7sEH8JYbI25w7eGetcqzDvZZV3pvbV17vXvxoZs8A2bDvdsj1vku +T7Be62dw8A7X4GP48M0RazLyGHzh1ohbrNv7t7jVtcf5GT2wCybAgsGeDWvv +9BowHC7fG3GPf/v9/FvzDsBwWH53xHrXwvIN7mEW3nCXZ57smfe4p53PsMZ3 +0Nkz17uH/04x/jth+P/rz39XAD6CN23M6L8Ds3WDuPOIVRFXNxCn4fZjEY9m +5BFw98GIB6zh7kPOoWHxw86hYfcjzjELL3jcM9F49RPOofGRJ52Dw7D6+Yjn +MvIhfOeZiKddi/c85R7W6uG9PuJn57iWGhgP61+MeCEjxvfz7Gc9u681a8A0 +2LY54iPvZYB72dM41/Dsw4yYDsffjHjD7wDvwisRL1vznbzqHJpv5zXn0LDz +deeYhQ+85ZloOP22c2jY/Y5zY70n9vJBRh6BL7wXscm1+Mi77uEu8MqXfCej +3bPJNYN8hpddM8ZrMPt9zx5j/Z73Ptxnf913h1d87DuEQXDnn4i/M/IEvOHT +iE+s4epnzsFQWLol4vOMmA/nv4r40s/whS9cM8k9n3vG9xE/RHTNxreUVS9e +8bVn4Dl4z9aIbzLyDHziu4hvred4zndea6rXZk08Ae7/6HXQeMFPzqHh/M/O +Tfea33gPE3wHn/iOqMUbfnEPvoE3/Rbxq+8Ojv7rO8Qz4MYfEb9bw5I/nUPj +A385t8y/wd/OMQuO/ueZaNi6zTk03lIjqxwchtM7xv9eKyuPgOM1Q2+fVS0+ +sl1WPQt9hl99Jp7RQy01i32G333GVV6D2TtkNZscmjVYG6/YKas9oPGi2lnl +0PjAzlnl8AV8oG7oOllpuLhLVjk0rNw1qxwajldllUPD9kRWOTTcT2aVQ+MF +qaxyaHwlnVUOjddkssqh8ZlsVjk0vpDLKgfDYXk5dCkrxsPtQuh8VhqWF7PK +oemhlhy9+EQlqxnMZga9rMEzvKQ6qxoYCzebhd4zq3+fMT5QP3S9rDTesFtW +OTTcb5BVDg33G2aVQ8P9Rlnl0HC/cVY5NFzePascGlY3ySqHxhf2yCqHxhea +ZpVDs2f2Sg5Gw+oWoZtndRY4v1dWZ0LD/r2zyqHpoZYcd8GZOSt3wrvDO8O7 +wjvEbLxhn6zWgPmwv2XofbNiNpxuFfqgrJ7B0f2yqkHDzf2zyqFh6QFZ5dD4 +wIFZ5ZgFNw/OaiYalh6SVQ6ND/wvqxychrtHhj4iKw+A64eFbp1VLT5xaFY9 +rMWe2Str8oweaqmB47D9qNBtsvIA1mD24VnNJodmDdamh1r2QC/e0zarGdwd +d8ZdcYcwGTa3D318Vr6JL7QLfXRWz+B4h6xqeAYXj8mqBk7iC8eFPjYrzUxm +kaOWGp7RA7thNGz+3rPh9glZrfGd83hDl6yYDJs7h+6UFefxiRNDd8yqlxya +GTyjh1pqODtn4izcAR7CGsw+OavZeM1JWa0xK2I2+6DWek7E987hGfjAaaG7 +ZcV0ON4j9FlZPYOrp2dVg4a1Z2SVQ8PV7lnl0LD2zKxyzMIHemY1E4039Moq +h8ZnemeVg9mwu19E36w8Al84J3SfrGrxkbOz6mEt9sxeWZNn9FBLDUyH7QMi ++mflGdt59rlZza5hzRpwDO6NjxjnvdR0L3vKuYZnY7NiPFwfHjEsK8/AJwZH +DLLGJ4Y4h+a7P885NCwY6hyz8IURnonGF853Do0vjHQu6z2xlzFZeQI+cEHE +aNfiG6Pcw13gfQN9J0n3jHZNLZ9hkGsyXoPZF3p2xvoC772uzz7Ud4dPXOQ7 +hElwaFnE0qx8BN+4OGKCNT4x0TkYCSsnR0zKygPg/tSIKX6GT1zimop7JnkG +fxPxTvMun+pefGKaZ+AR+MKMiOnW+MJM59D4wizn6nsPU7wmHoEv8A3NtsYr +5jqHxhfmOdfQa073Hoq+gwm+I2rxjfnuwTPwjoURC3x3cHu57xCPgHOLIxZZ +w74lzqHxhUuda+nfYKlzzILLKzwTDasvcw6NL6x0Dm7D4VURV2flCXD+yogr +XItvXO6evXyGBT7Twe65wjXNfYZFPuOhXoPZV3n2odZXem284BrvAY1XXOsc +Gl9Y7Rw+gS9cH3GdNZy8wTk07LzROTTcv8k5NFxf4xwa1t/sHBofuMU5NN5w +q3NofOU259D4wFrn0HB9nXMwGlavj7grK+7D+TsibreG/Xc618k9dzkH82H/ +PRF3e3ZH967zM7znXtfAWLj5RMTjWf1zC9/JfREbrPl27ncODfc3OoeG+w84 +h4b7DzqHhvsPOYeGyw87h4bVjziHxhcedQ6NLzzmXE/v+XHnYDSsfibiaZ8F +zj/pM6Fh/1PO9XHP086d4jNv8J3w7vDO8K6s9my84VmvAfNh//MRz2XFbDj9 +asQrfgZHX3ANGm6+6Bwalr7kHBofeNk5ZsHN1zwTDUtfdw6ND7zhHJyGu5si +3s3KA+D62xFvuRafeNM9g73nV7zmCPe85Ro4Dtvfj3gvKw8Y7dnvePYo67e9 +9oWu3eRevOcDz+jrO3vOdwiTYfNnEZ9m5Zv4wkcRH/oZHP/cNTyDi5tdAyfx +hU8iPrae6FmfuHaCn9HD32F4wKn+nZkNt7d4DZgO2/lbaGtWTIbNX0d8lRXn +8YkvI75w7xTrLX42zbVf+uzjfBbuYIbXYPY3nj3DmjXwFjxktveBZ+ATP2T1 +N1n3XHwjEQ9HPJQT0+H47xG/ZeURcPWniB+tYe3PzqHh6i/OoWHtr84xCx/4 +wzPReMOfzqHxmb+cg9mwu0bsZVtWHoEv/Bvxj2vxkb/dw1qLvddf/WyFa6mB +6bB9+5i3XU6ewRrM/s+zV1qzBhyDe7mozea0F2bQy57WuYZnmZwYD9frhN45 +J8/AJ2qF3iEnjU/smFMOzXe/U045NCyonVOOWfhC3ZxmovGFXXLKofGFXXPK +wXT2xF7SOXkCPpAMncipFt+oyqmHu8D7auZ0Jzyjh1pqeMYZ2Ds1eAhrMDuV +02xyaNZg79wBZ+cM3B0+kc/pDmESHNovdMucfATvKYYu5OQh+EQ5dCknRsLK +6tCVnDwA7u8Wun5Oz/CJejnV0EsPtczYPaJJxKyImTn14hMNcpqBR+ALjUI3 +zEnjC41zyqHxBeaQYy32wNqsiUfgC3t4HTRe0TSnHBpf2DOnHGuzJmuxB+6G +O+Ds3BG1+EaznHrwDLxj79B75XR3cHv/nO4Qj4BzLUI3z0nDvn1yyqHxhX1z +yqH5Dbh7csyCywfkNBMNqw/MKYfGFw7KKQe34XDr0Ifm5Alw/pDQB+dUi2+0 +yqmHs3AG9s6ZeEYPtdRwNs7A3jkjnsAazP5fTrPJoVmDtfGCw3LaAxqvODyn +HBpfOCKnHD6BL7QJfWROGk4elVMODTvb5pRDw/2jc8qh4Xq7nHJoWH9MTjk0 +PnBsTjk03nBcTjk0vnJ8Tjk0PtA+pxwarnfIKQejYXXn0J1y4j6c7xj6hJw0 +7D8xpxyaHmrJ0Qv7T8ppBrOZQS9r8AzvOTmnGhgLN3uG7pHTP5PjA6eE7pKT +xhu65pRDw/1Tc8qh4X63nHJouH9aTjk03D89pxwaLp+RUw4Nq/Edcmh8AQ8i +h8YXzsoph2bP7JUcjIbVfUKfndNZ4HyvnM6Ehv29c8qh6aGWHHfBmTkrd8K7 +wzvDu8I7xGy84Zyc1oD5sL9vxLk5MRtOD44Y5GdwtJ9r0HCzv3NoWDrAOTQ+ +MNA5ZsHNIZ6JhqXnOYfGB4Y6B6fh7qiIkTl5AFwfETHctfjEMPfU8p4Hec26 +7hnuGjgO2y+IGJ2TByQ8+3zPrrIe4bVTrh3lXrznQs/g7mr4rrhDmAybJ0Zc +nJNv4gtjI8b4GRyf5BqewcVxroGTeMVFEeNz8oiyZ01wbcHP6IHdMBo27+7Z +cHuy12jsPN4wIycmw+ZpEVNz4jw+MSXiEvfWt57sZw1cO8Vnz/os3EEjr8Hs +6Z7dyJo1+BuLv7Ua5yOf199i8/yN8a3hGfjA3Ig5OTEdji+OWORncHWea9Cw +dr5zaLi6wDk0rF3oHLPwgSWeicYbLnUOjc8sdQ5mw+7LI1bm5BH4woqI5a7F +R5a5p7n3vMhr7u+e5a6B6bD9yogrcvKMVp59mWcfZM0acAzu3R6xzns5xL3s +qYNreLY2J8bD9esjrsvJM/CJVRFXW+MT1ziH5ru/1jk0LFjtHLPwhRs8E40v +3OgcGl+4ybn23hN7uS0nT8AHbom42bX4xhr3cBd431W+k2Pcc7NrDvUZrnbN +8V6D2bd69vHWt3jvbXz21b47fOIO3yFMgkPPRzyXk4/gG3dF3GmNT6x3DkbC +ynsi7s7JA+D+fREb/AyfuNc1J7nnbs/gbyLead7l2e7FJ+73DDwCX3ggYqM1 +vvCgc2h84SHnTvEeNnhNPAJfeCSn7wqNVzzqHBpfeMy5bl5zo/dwou/gTt8R +tfjG4+7BM/COJyOe8N3B7Rd8h3gEnHs64ilr2PeMc2h84Vnn+vo3eM45ZsHl +Fz0TDatfcg6NL7zsHNyGw29GvJGTJ8D51yJedS2+8Yp7evkMT/hMQ9zzqmvO +9hme8hmHeQ1mv+7Zw6xf89p4wVveAxqveNs5NL7wjnP4BL6wKeJdazj5nnNo +2Pm+c2i4/4FzaLj+oXNoWP+Rc2i8YbNzeALe8EnEx9b4yqfOofGBz5xDw/XP +nYPRsPrriK9y4j6c/yJiizXs/9K5qe75yjl6Yf83njHZM7Z4DZ7hPVtdA2Ph +5p8Rf+T0zy18J99FfGvNt/O9c2i4/4NzaLj/o3NouP+Tc2i4/7NzaLj8i3No +WP2rc2h84Tfn0PjC784t8Z7/cA5Gw+p/I/7xWeD8Xz4TGvb/7dxy9/zj3Eyf ++VvfCe8O7wzvyjuejTf85zVgPuyvEd65LSdmw+kd43+vldczOLpdXjVouLl9 +Xjk0LK2ZVw6ND+yQV45ZcHOnvGaiYWntvHJofGDnvHJwGu4mQlfl5QFwfZfQ +dfOqxSfq5NWzyntmr6zJM3qopQaOw/ZU6GReHsAazN41r9nk0KzB2vRQyx7o +xXvSec1Y6Tvb5juEybC5FM+KefkmvpANncnrGRwv51XDM7iYy6sGTuILhdD5 +vDQzmUWOWmp4Rg9/h+3h93iWZ8PtSl5rwHTYzt9CDfNiMmzeLXT9vDiPT9QL +XZ1XLzk0M3hGD7XUcHbOxFm4AzyENZjdIK/Z5NCsgWfgE7vn9TcZGp9oklcO +jQ/skVcOhsPtFqGb58V4cui986qFs03z6kHD3j3zyqHhbLO8cszCC/bJayYa +X9k3rxyMhtUHhT4wL6aTQx+QF1fg0AmhO+TlGfjE/qH3y2st2L5XXmsyG59p +mdca1DKTWfTAeFh/cOhWedUyk1n0sBac65jXmszmDjg7a9CLdxyS1wyYDsfb +hD4yL+aTQx+Rl4fgG4eG/l9eGt9onVcODQcOyyvHLHzgqLxmovGBtnnlYDic +bR/6+LwYTw59XF7PuDPuiho8Al84NvQxed01d8DZuXNm4ytH57UGtcxkFj2c +lTOwd85MLV7ULq8e9g7LDs/rDNwdXnFiXncIo+BS34hz8/IEOHhS6M551eIj +nfLqQVPDM3LUws6T8+rBE/CBrqFPiTgjonvEQ3xreT3DF07NqwZPwAdOC90t +L67D7R6hz8rLA+B+d8+iFp84Pa8e1saXuuS1B57RQy01rMUazGZNPIM1mH1m +XrPJnel9chdwuZ/vhNmcibOwBh6Cb/QK3TMvZsPRARH93VvTmhl4BtzrE/rs +vDQsPCevHLqG7/4cz4LNAz0TjQ8Mcg5Ow93hEcPyYnZd66F57Q1v653XHvEI +uH9exJC87pYzsPceno2vDPYaO3vmUPcwizOw996ure1Z9PBu8U3xLR3uveEN +I7xHNN5xvnMwGTaPixibl2/gE6MjRlnDzQucQ8PSC51D4wNjnGMW3B/vmWi8 +4CLn0PjIBOfgNNydEnFJXgyH5ZMiJroWX7jYPVnveazXLLtnomvgOGyfFjE1 +Lw+o79mTPbueNWskfGbOOtJ7aeBe9gQDYeHyiGV5MRpuzouYm5dH4AszI2ZY +4wuznEPD/dnOoeH+HOeYBSfneyYadi5wDo2XLHRuP++JvSzNyxPg9pKIxa7F +Nxa5h7vAS6f7Tlq4Z7FrGvkMM1zT0msw+1LPbmm9xHeB16zwncBE2Lgy4rK8 +GASL7oq4My/G8R5eE7EqL2YfZn21e/GFyz0DDTevcA4NS690jlm829d6Jhrv +WO0czIbDN0esyYu5sPemiBvzevcT/q35BvAIOH5DxPVeC5+5ymsyG1+5zmu0 +9cwb3QP38ZJbI25x7VGedZ1ntfZZr/LejnPtze7Fd27zDJgNN++OWO+7PMn6 +Lj+De/e4Bt/Cd9dFrM3LQ/CFOyJut+7k3+IO13b0M3pgLKx9OC9PYDZsvddr +wHS4/UDERv/2B/m35h2A4bD8/oj7XAvrH3QPs/CVDZ7ZzTM3uqe9z7DWd9DV +M+9zD98K3xzf2hzvFa94xHt+LOJx6grxbRXEcLj9dMRTeTG1p+sete7lnses +4egTzqFh65POMQsveMYz0fjQs86h8ZXnnIPBsPiViJfz8gx84sWIF1yLFz3v +Htbq470+6WcDXEsNzIf9r0W8mpcnDPbslzx7kDVrwDTY9nnEZ97Lee5lTxNd +w7NP82I6HN8U8W5eHoEvvBnxhjXfyVvOofl23nYODTvfcY5Z+MB7nomG0+87 +h4bdHzh3sffEXj7JyyPwhc0RH7kWH/nQPdwF3vq672Scez5yzTCf4Q3XTPAa +zP7YsydYb/beR/vs7/ju8IotvkMYBHdqxLu1LS9PwBu+jPjCGq5+5RwMhaXf +RHydF/Ph/HcR3/oZvrDVNdPc87VnwBVYtUusV7egXt777z2D7wCf+DHiB2t8 +4ifn0PjEz87N9B6+9Zp4Atz/NeIXa7zgN+fQcP535+Z4zR+8h0t8B1/4jqjF +G/5wD76BN/0V8afvDo5uV9Ad4hlw45+Iv61hyb/OofGB/5xb6d9gm3PMgqPb +FzQTDVtrFpRD4y07FJSDw3C6TuidC/IIOL5T6B0LqsVHahXUc6nP8KfPxDN6 +qKWGsy333jkjjGcNZtcuaDY5NGvc4N+U35I94Elwvyr0rhF7FcPjIxZEzC+K +y3A6F8+yBfkEvpAMnShIw8lUQTk07EwXlEPD9UxBOWbB/nxBM9H4SKGgHBpv +KRaUg7twuX7oegV5ANyuhC4XVIvvlArqYS32zF5Zk2f0UEsNnMcHGoTerSCm +swazqwuaTQ7NGnAMth0Qev+C9sIMetkTjKOGZ/sVxGw4vWdBHoCH4QuNQzcq +SOMVuxeUQ+MDTQrKofEBvIMcs+Bws4JmomHzXgXl0PjA3gXl4DR7Yi8tC/IA +uL5P6BYF1eITzQvq4S7wxoYF3QnP6KGWGp5xBvZODR7AGszet6DZ5NCswV3B +9QMLujM0rD+ooBxMgS2dQp9YEBNh4yGhDy6oFo62KqgHTQ3PyFEL9/9XUA+M +h5OHhW5d0LvMO8q7yTvNM1h6eEE1eADcPzL0EQUxF/YeE7pdQUyH221DH1VQ +Lb7QpqAe1sZnDi1oDzyjh1pqWIs1mM2a+ABrMPvogmaTQ7MGszgDe2cme8Eb +ji1oT2i86LiCcjAabp4UunNBd0kOzZ3yDLaeXFANngEXO4RuXxAn8YWOoU8o +SPOb8FuQo5YantHD3zY9/I4+4tlwv0tBa8B02H5a6G4F7RUvP76gPcNpfODU +0F0LqoXzpxfUwyw4fkpBM6llJrPoYRZnYO/MpJaZzKKHWfjEGQXNROMT3QvK +ofGBMwvKwXC43Sf02QUxFbb24KwFaTjas6AcGrb2KiiHxhd6F5RjFl5wTkEz +0fjKuQXl0PhCX+fgNhweHDGoIE+A8wMi+rsW3+jnHtZiz+y1t5/VdC01cB3W +nxcxpCBP2NGzB3p2LWvWgGuwbnLEJO+ltnvZU8U1PJtYEMPh9gURowvyDf4W +GB4xrCDP4Ds7P2KENd/eSOfQ+MIo55gFly/0TDSsHuMcGl8Y61zZe2IvFxfk +CXD+oojxrsU3xrmHu8DXhvpO8u4Z75o6PsMw15S8BrMneHbJ+iLvPeWzj/Ld +wf1LfIdwCQ5dEXF5Qb4AR6dGTLGGm9Ocg6Nwf0bE9IIYD+tnR8zyM3xgpmsa +ume6ZyyIWBjxS8TP7sVn5ngGHoEvzIuYa40vzHcOvbfnzPdaTbw2a8J82L/I +66Dh+mLn0LB+iXNNveZc76G+72CK74havOJS9+BLeOWyiKW+Ozh6pe8Qz8An +VkQst8YHLnMOjQ+sdK6Vf4PLnWMWHL3KM9Gw9Wrn0PjAKufgNhy+IeL6grgN +51dHXOtaOH6Ne1r6DEt9psPdc61r9vcZlvuMbbwGs6/z7DbWq702XnCj94DG +K25yDo0PrHEOD4Crt0TcbA0Xb3UODStvcw4Nx9c6h4bt65xDw/3bnUPjBXc4 +h8ZX7nQOjdfc5Rwan1nvHBpfuNs5GA7L74+4ryDGw+17I+6xhuUbnOvqnvuc +oxef2OgZJ3vGPV6DZ3D/AdfAWLj5bMQzBXkA3H8o4kFrvOBh59Bw/xHn0HD/ +UefQcP8x59Bw/3Hn0HD5CefQsPpJ59D4wlPOofGFp507x3t+xjkYDatfjHjB +Z4Hzz/lMaNj/vHP93fOCc6f7zA/6Tnh3eGd4V9Z4Nt7wkteA+bD/lYiXC2I2 +nH474i0/g6OvugYNN19zDg1LX3cOjTe84Ryz4OY7nomGpe86h8YHNjkHp+Hu +5oiPCvIAuP5BxPuuxSfec88I75m9vulnY1xLDRyH7Z9EfFyQB4z37A89e5z1 +B157gms3uxfv+dQzBvnOXvYdwmTY/HXEVwX5Jr7wecRnfgbHv3ENz+DiFtfA +SXzhy4gvrKd51peuneJn9MBuGA2bF3g23N7qNeY7jzf8VBCTYfMPEd8XxHl8 +4ruIb907y3qrn81x7Xc++ySfhTuY5zWY/aNnz7NmDf7W4m8qvqMzvU9849eC +PAvPwAd+j/itIKbD8X8j/vEzuPqHa9Cw9k/n0HD1L+fQsPZv55iFD/znmWi8 +YZtzaHymRlE5mA27d4z/vVZRHoEv1Ay9fVG1+Mh2RfUs957/8Zo8o4daamA6 +bK8deqeiPIM1mL1DUbPJoVkDjsG9SuhyUXthBr3sCcZRw7NSUYyH68nQiaI8 +A5+oG7pOURqf2KWoHJrvfteicmhYUFVUjln4QqqomWh8IV1UDo0vZIrKwXT2 +xF6KRXkCPpAPnSuqFt/IFtXDXeB9Oxd1Jzyjh1pqeMYZ2Ds1eAhrMLtQ1Gxy +aNbgrvCF6qLuDI1X1CsqB5PgUKvQBxXlCXCwQejdiqrFR+oX1YOmhmfkqIWd +DYvqwRPwgd1DNy7qXV7sd/dXP8MXmhRVgyfgA01D71EU1+F2i9DNi/IAuM+/ +ztKsqFp8Ys+ielgbX2pU1B54Rg+11LAWazCbNfEM1mjuf92G2eTQrMEszsDe +mcle8I19itoTGt/Yt6gczIbdh4Q+uKi7JIfmTmEsrD009P+K8hA4uH/o/Yri +Ij5xYOgDitL8JvwW5Kilhmf08C7yTvMu804yG59oXdQaMByWtwl9ZFF7xeta +FrVnPAMfOCL04UXVwvGjiuphFj5zWFEzqWUms+hhFmdg78yklpnMOsy/EffI +vxY2r6jZeEXbotbAR/CJdqGPLso38IljQx9TlIabxxWVQ8PS44vKofGB9kXl +0HC+Q1E5NOw/oagcGl/oWFQOjVecWFQOjc90KiqHxhc6F5VDw/mTisrBbNh9 +auiuRfkA3O8S+uSiNF5wSlE5ND3UkqMXL+hW1AxmM4Ne1uAZXnRaUTUwF46e +G3FOUZ7Ad3RG6NOL0nxb3YvKofGBM4vKofGBs4rKofGBHkXl0PhAz6JyaDjd +q6gcGnb3LiqHxifOLiqHxif6FJVDs2f2Sg5mw+4BEf2LOgvc7+szofGCfs5t +757+znEXnJmzcie8O7wzvCvtPBuvGOg18AC8YHDEoKIYDrdHRAz3M7g6xDVo +OHqec2jYOtQ5NL4wzDlm8d2d75lovsWRzqHxhVHOwW04PC5ibFGeAOcvjLjA +tfjGaPfU9Z6He82Uey5wDVyH9RdFjC/KE3KePcazs9YXeu2Ca8e5Fy+a4Bm1 +fGeDfIdwHY5PjZhSlI/iGxMjLvYzODvNNTzDRya5Bo/AFy6JmGy9m2dd4tp6 +fkYPbIAJsKCtZ8Pd6V4DhsMUWDK3KIbD8tkRs4riND4yM2KGextbT/ezJq6d +6bOXfRbuoKnXYPYcz25qzRr832IW+l+D41+LwyfwjoVF/Wv+fSvxnkY8F/Fs +RYyG1csjlhXlGfjE4ohF1nB4iXNoOHqpc2jYutQ5ZuEDKzwTjTdc5hwan1np +HAyGxddErCrKc/CFqyKudC3edLl7WGt/73Wpn+FlV7gG5sP+1RHXFuUJh3n2 +1Z7d2po14Bjcuyfibu/lCPeyp5Ncw7P1RTEert8ScXNRHsF7cEPE9da8Gzc6 +h+a7v8k5NCxY4xyz8IVbPRONL9zmHBpfWOtcZ++JvdxVlCfgA3dE3O5afGOd +e7gLfPI638kJ7rndNW18hutd08lrMPtOz+5kfYf3fqzPvsZ3h0/c6zuESXDo +1YhXivIRfOO+iA3W+MT9zsFIWPlAxMaiPADuPxzxkJ/hEw+6ppt7NnrGExFP +RjQrxXdRUi8+8Yhn4BH4wmMRj1rjC487h+7tOY97re5emzXxCHzhKa+Dxiue +dg6NLzzj3Fle81Hv4RTfwQbfEbX4xrPuwTPwjucjnvPdwe3XfId4BJx7MeIF +a9j3knNofOFl5wb7N3jFOWbB5dc9Ew2r33AOjS+86RzchsPvRWwqyhPg/DsR +b7sW33jLPX19hud8pvPd87Zr+vsML/iMo70Gs9/17NHW73htvOB97wGNV3zg +HBpf+NA5fAJf2BzxkTWc/Ng5NOz8xDk03P/UOTRc/8w5NKz/3Dk0PrDFOTTe +8IVzaHzlS+fQ+MBXzqHh+tfOwWhY/X3Ed0VxH85vjfjGGvZ/69ws93znHL2w +/wfPmO4Z33gNnuE9P7oGxsLN/yL+LervXHzg54ifrPGGX5xDw/1fnUPD/d+c +Q8P9351Dw/0/nEPD5T+dQ8Pqv5xD4wt/O4fGF/5xboX3/K9zMBpW14xve/uS +zgLnt/lMaNhfo6Tcle6hdruS7mK+z8qd8O7wzvCufOjZeMMOJa0B82H/jqFr +lcRsOL1L6LolPYOjO5VUg4abtUvKoWHpziXl0PhAnZJyzIKbu5Y0Ew1Lq0rK +ofGBREk5OA13c6GzJXkAXE+HTpVUi08kS+phLfbMXlmTZ/RQSw0ch+2F0PmS +PIA1mJ0paTY5NGuwNj3Usgd68Z5iSTO4O+6Mu+IOYTJs3i10/ZJ8E18ohy6V +9AyONyiphmdwsVJSDZzEF+qFri5JM5NZ5Kilhmf0wG4YDZuf8Gy43bCkNR53 +Hm9oWhKTYXOT0LuXxHl8onHoRiX1kkMzg2f0UEsNZ+dMnIU7wENYg9l7lDSb +HJo1ekb0Yh/UWveOeMI5PAMfaB5675KYDsf3D71fSc/gaouSatCwdp+Scmi4 +um9JOTSsbVlSjln4wAElzUTjDQeWlEPjMweVlIPZsLt16ENL8gh84ZDQB5dU +i4+0KqmHtdgze2VNntFDLTUwHbYfHvqwkjyDNZj9v5Jmk0OzBhyDeyeF7lzS +XphBL3uCcdTwrFNJjIfrx4RuV5Jn4BNtQh9ZksYnjiop94G/+7Yl5dCw4OiS +cszCF44taSYaXziupBwaXzi+pBxMZ0/s5cSSPAEfOCF0h5Jq8Y32JfVwF3jf +ESXdCc/ooZYannEG9k4NHsIazO5Y0mxyaNZg79wBZ+cM3B0+cXJJdwiT4NCQ +iMEl+Qi+cUroLiVpfKJrSTkYCSu7hT61JA+A+2eEPr2kZ/jEaSXV0EsPtczg +byLead7lvUrqxSe6lzQDj8AXzgp9ZkkaX+hRUg6NL/DdkGMt9sDarIlH4Au9 +/S2h8Yqz/V2h8YU+JeVYmzVZiz1wN9wBZ+eOqMU3zimpB8/AO/pF9PXdwe3z +fId4BJwbENHfGvYNdA6NLwxybkf/BoOdYxZcHuqZaFg9zDk0vjDcObgNhy+I +GF2SJ8D5kRHnuxbfGOEezoIXnlvSmXZ1z/mu2d5n6O8zJr0Gs0d5dtJ6pNfG +Cy70HtB4xRjn0PjCWOfwCXxhfMQ4azh5kXNo2DnBOTTcv9g5NFyf6Bwa1k9y +Do0PTHYOjTdc4hwaX5niHBofmOocGq5Pcw5Gw+rZEbNK4j6cnxEx3Rr2z3Ru +d/fMco5e2D/HMxp6xnSvwTO8Z65rYCzcvCxiRUn/3MJ3Mj9injXfzgLn0HB/ +oXNouL/IOTTcX+wcGu4vcQ4Nly91Dg2rlzqHxheWOYfGF5Y7d4D3vMI5GA2r +r4y4wmeB8yt9JjTsv9y5g91zhXN7+szzfCe8O7wzvCtjPRtvuMprwHzYvyri +6pKYDadviLjez+DoNa5Bw81rnUPD0tXOofGB65xjFty80TPRsPQm59D4wBrn +4DTcXRextiQPgOu3RtziWnziZve08Z6v95rHuucW18Bx2H5HxO0leUAHz77N +s9tb3+q1O7p2nXvxnjs941Df2dW+Q5gMm++L2FCSb+IL6yPu8jM4fr9reAYX +73YNnMQX7o24x7qrZ93r2i5+Rg9/h+EBe/l3Zjbc3ug1YDps52+hR0tiMmx+ +OOKhkjiPTzwY8YB7T7fe6GfdXfugz97ZZ+EOzvIazH7Es8+yZg28BQ/p5X3g +GfjEkyX9TdayHN9ExNKIS8tiOhx/MeKFkjwCrj4d8ZQ1rH3GOTwDrj4X8aw1 +rH3eOWbhAy95JhpveNk5ND7zinMwG3a/FfFmSR6BL7we8Zpr8ZFX3cNaA7zX +5/1sqGupgemw/Z2It0vyjBGe/YZnD7dmDTgG976J+Np7Gele9jTNNTz7qiTG +w/XNER+V5Bn4xHsRm6zxifedQ/Pdf+AcGhZ86Byz8IWPPRONL3ziHBpf+NS5 +qd4Te/myJE/AB7ZEfO5afOMz93AXeN+7vpNJ7vncNaN9hk2umeI1mP2FZ0+x +3uK9j/fZP/Td4RNbfYcwCQ7tGO9WrbJ8BN/4LuJba3zie+dgJKz8MeKHkjwA +7v8S8bOf4RM/uWaOe37wjD8j/oroGWv1KKsXn/jVM/AIfOH3iN+s8YU/nEMv +9Zw/vNYCr82aeAS+8LfXQeMV/ziHxhf+dW6R1/zNe+BuZvnsW12Lb/znHjwD +76gRe9/mu4PbO5V1h3gEnNs+9HZladhXs6wcGl/YoazcKv8G3D05ZsHl2mXN +RMPqncvKofGFOmXl4DYcToZOlOUJcH7X0LuUVYtv1C2rZ6XPsM1n4hk91FLD +2TgDe+eMeAJrMLuqrNnk0KzB2nhBqqw9oPGKdFk5NL6QKSuHT+ALudDZsjSc +zJeVQ8POQlk5NNwvlpVDw/VSWTk0rC+XlUPjA5Wycmi8obqsHBpfqVdWDo0P +1C8rh4bru5WVg9GwevfQjcviPpxvGLpBWRr2Nyorh6aHWnL0wv4mZc1gNjPo +ZQ2e4T17lFUDY+HmgaEPKOufyfGBPUM3LUvjDc3KyqHh/l5l5dBwf++ycmi8 +oHlZOTwA7u8TukVZGi7vW1YODavxHXJofAEPIofGF/a3L6HZM3slB6Nh9SGh +Dy7rLHD+oLLOhIb9rcrKoemhlhx3wZk5K3fCu8M7w7vCO8RsvOF/Za0B82F/ +69CHlsVsOH1U6DZlPYOjh5VVg4abh5eVQ8PSI8rKofGBI8vKMQtuti1rJhqW +Hl1WDo0PtCsrB6fhbofQ7cvyALh+XOhjy6rFJ44pq4e12DN7ZU2e0UMtNXAc +tncMfUJZHsAazD6+rNnk0KzB2vRQyx7oxXtOLGsGd8edcVfcIUyGzV1Dn1KW +b+ILnUN3KusZHD+1rBqewcWTyqqBk/hCl9Anl6WZySxy1FLDM3pgN4yGzX96 +NtzuVtYafziPN5xVFpNhc/fQZ5TFeXzi9NCnldVLDs0MntFDLTWcnTNxFu4A +D2ENZp9Z1mxyaNbgbyz+1vqTvZT1t1gff2N8a3gGPnB2POtdFtPheP+IfmU9 +g6t9yqpBw9pzysqh4eq5ZeXQsLavc8zCBwZ4JhpvGOgcGp8Z5BzMht3DI4aV +5RH4wnkRQ1yLjwx2z3becz+vuZN7hrgGpsP28yNGlOUZdT17qGfXsWYNOAb3 +pkVM9V52dS972s01PJtSFuPh+riIsWV5Bj4xOmKUNT5xgXNovvsLnUPDgjHO +MQtfGO+ZaHzhIufQ+MIE5+p7T+zlkrI8AR+YFDHRtfjGxe7hLvC+kb6Tsnsm +uibhM4xyTT2vwezJnl3PepL3nvPZx/ju8InpvkOYBIeuiVhVlo/gGzMjZljj +E7Ocg5Gwck7E7LI8AO7Pj5jnZ/jEXNc0cc9sz/jb7zTvci/34hMLPAOPwBcW +RyyyxheWOIfGFy51bk/vYZ7XxCPwhWVlfVdovGK5c2h8YYVzrI1XLfQeGvkO +ZviOqMU3LnMPnoF3XB6x0ncHt6/1HeIRcO7KiCusYd9VzqHxhauda+3fYJVz +zILLqz0TDauvcw6NL1zvHNyGw7dE3FyWJ8D5myJudC2+cYN7DvIZVvpMbd1z +o2sO9hmu8BmP8RrMXuPZx1jf5LXxglu9BzRecZtzaHxhrXP4BL5we8Q6azh5 +h3No2Hmnc2i4f5dzaLi+3jk0rL/bOTQ+cI9zaLzhXufQ+MoG59D4wH3OoeH6 +/c7BaFj9cMRDZXEfzj8QsdEa9j/o3Bnuecg5emH/I57RzTM2eg2e4T2PugbG +ws2XIl4s659b+E4ej3jMmm/nCefQcP9J59Bw/ynn0HD/aefQcP8Z59Bw+Vnn +0LD6OefQ+MLzzqHxhRecG+A9v+gcjIbVr0W86rPA+Zd9JjTsf8W5Ie551bke +PvNjvhPeHd4Z3pW1no03vO41YD7sfzPijbKYDaffi9jkZ3D0Ldeg4ebbzqFh +6TvOofGBd51jFtx83zPRsPQD59D4wIfOwWm4+1nEp2V5AFz/OGKza/GJj9wz +2nve5DXHu2eza+A4bN8S8XlZHjDRsz/x7IutP/bak137mXvxni88Y5jv7A3f +IUyGzd9FfFuWb+ILX0V86Wdw/HvX8Awufu0aOIkvbI34xnqWZ2117Qw/o4e/ +w/CAXv6dmQ23f/AaMB2287fQ72UxGTb/EvFzWZzHJ36K+NG986x/8LMFrv3J +Z5/qs3AHi70Gs38ry2MWW/9almfgE3+V9TcZGp/42zk0PvCPczAcbtesxN85 +FTGeHHq7imrh7L/uQcPe/5xDw9ltzjELL9ihoplofKVWRTkYDavrhq5TEdPJ +oXeuiCtwqEHo3SryDHyiduidKloLtteoaE1m4zM7VrQGtcxkFj0wHtbvGnqX +imqZySx6WAvONaxoTWZzB5ydNejFO6oqmgHT4Xg+dK4i5pNDZyvyEHwjGTpR +kcY3UhXl0HAgXVGOWfhAoaKZaHygWFEOhsPZ+qHrVcR4cujqip5xZ9wVNXgE +vlAJXa7orrkDzs6dMxtfKVW0BrXMZBY9nJUzsHfOTC0zmUUPe4dlmYrOwN3h +FY0qukMYBZcODf2/ijwBDjYJvXtFtfhI44p60NTwjBy1sHOPinrwBHygWeg9 +I/aJ2DdiScTiip7hC3tVVIMn4APNQ+9dEdfh9v6h96vIA+D+vp5FLT7RoqIe +1saXmla0B57RQy01rMUazGZNPIM1mN2yotnkWnqf3AVcbl3RnTCbM3EW1sBD +8I0DQx9QEbPh6OGhD6uolxyaGXgG3Ds4dKuKNCw8pKIcmt+AuyfHLNh8REUz +0fjAkRXl4DTcPSZ0u4qYTQ59dEV7w9sOqmiPeATcbxv6qIruljOwd+6Y2fhK +m4rWoJaZzKKHWZyBvTOTWmYyix7eLb4pviXeMfaGNxxb0R7ReMdxFeVgMmzu +HLpTRb6BT3QI3b4iDTdPqCiHhqUdK8qh8YETK8oxC+6fVNFMNF5wckU5ND7S +paIcnIbtp4c+rSKGw/JTQ3etqBZfOKWiHtZiz+yVNXlGD7XUwHHYfmbo7hV5 +AGswu1tFs8mhWQOP5Myc9fiKPIAZ9J5REQNh4XkRQypiNNw8J3SfijwCX+gZ +ukdFGl/oVVEODfd7V5RDw/2zK8oxC06eW9FMNOzs6xwaL+nn3E7eE3sZXJEn +wO2BEQNci2/0dw93gZeeVdGd1HTPANfwjDOwd2p29BrMHuTZO1oP9F3gNUN9 +JzARNg6PGFYRg2DRzIgZFTGO9/DCiAsqYnbaerR78YURnoGGm+c7h4alI51j +Fu/2GM9E4x1jnYOzcHhixMUVMbdsPaGid5/fnN+abwCPgOMXRYz3WvjMKK/J +bHxlnNcoeuYE98B9vGRyxCTXFjxrnGelfNZR3lu1aye6F9+5xDNgNtycHTHL +d9nEeqafwb05rsG38N2pEVMq8hB8YXrENOvG/i2mu7ahn9EDY2HtpRV5ArNh +61yvAdPh9qKIhf7t6/q35h2A4bB8QcR818L6xe5hFr4yzzP39syF7qnvM0zx +HTTzzPnu4Vvhm+NbO9t7xSuWes/LI1ZE/BPxd0UMh9tXRFxeEVMPcN0y6wPd +s9wajl7mHBq2rnSOWXjBlZ6Jxoeucg6Nr1ztHAyGxddHXFeRZ+AT10Zc41q8 +aJV7WnnPl3vNw9xzjWtgPuy/MeKGijyhjWev9uwjrVkDpsG2+yPu817aupc9 +dXUNzzZUxHQ4vi5ibUUegS/cHLHGmu/kFufQfDu3OoeGnbc5xyx84HbPRMPp +O5xDw+47nTvFe2Iv91bkEfjC3RHrXYuP3OUe7gJvvcl30tk9613TzmdY45ou +XoPZ93h2F+u7vfcOPvttvju8YqPvEAbBnbci3qzIE/CGhyIetIarDzsHQ2Hp +oxGPVMR8OP9ExON+hi885poz3fOIZ8AVWPVJxMfu5b1/0jP4DvCJpyOessYn +nnEOjU8861xP7+Fxr4knwP3nK/rPwKDxghecQ8P5F50722s+5T1wN3j1A74j +avGGl9yDb+BNr0S87LuDo2/7DvEMuPFaxKvWsOR159D4wBvODfdv8KZzzIKj +73gmGra+6xwab9nkHByG05sjPqrII+D4BxHvuxYfec89g3yGl32mC93zvmuG ++Ayv+ozjvAazP/TscdYfeO0J/i03+7eF+5/6N+Y/m8R/5oh/nzn/3nO4DKe/ +jviqIp/AFz6P+MwaTm5xDg07v3AODde/dI5ZsP8bz0TjI1udQ+Mt3zoHd+Hy +zxE/VeQBcPuHiO9di+985x7Wmua9fulnc1xLDZzHB36N+KUips/37B89e541 +a8Ax2Fa7Ov4OqdZeFrqXPV3rGp7tWC1mw+l/K/IAPAxf+CPid2u84k/n0PjA +X86h8YG/nWMWHP7PM9GweZtzaHygRrVy13hP7KVWtTwArtcMvX21avGJ7arV +w13gjb/5TnhGD7XULPYZfnfNKq/B7B2qNZscmjW4K7i+c7XuDA3r61QrB1Ng +y+6hG1eLibBx19C7VKsWjtatVg+aGp6RoxbuV1WrB8bDyVToZLXeZd5R3s1P +/QyWpqtVgwfA/WzoTLWYC3vLoUvVYjrcLoTOV6sWX8hVq4e18ZlEtfbAM3qo +pYa1WIPZrIkPsAazi9WaTQ7NGsziDOydmewFb6hUa09ovKi6WjkYDTf3CN2k +WndJDs2d8gy2Nq1WDZ4BF3cLXb9aHoEvNArdsFqa34Tfghy1sLRBtXr422Z/ +v5dLPRvu71mtNWA6bG8Runm19oqX16vWnv+vafOO/3Ju338hRTJK5nu/r+uK +ImUlZBQlSilSoUSDVCpp0VDapdIm2Xtl772JbLdNyR5ZkdXvfD6O4/t4/P44 +H9/je17neb7G+7qex8d9u+E0PtAkdOOcauF805x6mAXH98xpJrXMZBY9zOIM +7J2Z1DKTWfQwC5/YJ6eZaHyiWU45ND7QPKccDIfbB4VukRNTYet+offNScPR +/XPKoWHrATnl0PjCgTnlmIUXtMxpJhpfOTinHBpfOCSnHNyGw0eGPiInT4Dz +h4VulVMtvnFoTj2sxZ7ZK2vyjB5qqYHrsL5N6NY5eQJrMPvwnGaTQ7MGXIN1 +3UKflNNemEEve4J51PDsxJwYDrePC31sTr6BT7QNfXROmu+sXU65tf72jskp +h8YX2ueUYxZc7pDTTDSs7phTDo0vHJ9TDm6zJ/bSNSdPgPMnhO6cUy2+0Smn +Hu4CXzsqpzvhGT3UUsMzzsDeqcETWIPZXXKaTQ7NGuydO+DsnIG7g/sn53SH +cAkODYsYmpMvwNEeobvnpOFmz5xycBTunxr6lJwYD+t7h+6V0zN84LScauil +h1pm9I3ox3fEt5dTLz5zek4z8Ah84YzQfXLS+MKZOeXQNTyHHGuxB9ZmTZgP ++/t7HTRcH+AcGtaf5RxrsyZrsQfuhjvg7Ce7Fq842z34El55TsRA3x0cHe47 +xDPwicERg6zxgSHOofGBc52r699gqHPMgqPneSYato5wDo0PnO8c3IbDF0SM +zYnbcH50xCjXwvGR7qnlMwz0meq7Z5RravsMg3zGhl6D2WM8u6H1aK+NF1zo +PaDxinHOofGB8c7hAXB1YsQEa7h4kXNoWDnJOTQcn+wcGrZf7BweAPenRkyx +xgumOYfGV6Y7h8ZrZjiHxmdmOofGF2Y5B8Nh+byIuTkxHm7PiZhtDcsvca6x +e+Y6Ry8+Md8zGnnGbK/BM7h/qWtgLNy8MmJFTh4A9xdGLLDGCxY5h4b7i51D +w/0lzqHh/lLn0HB/mXNouHyZc2hYfblzaHxhuXNofOEK51p6zyucg9Gw+tqI +a3wWOH+Vz4SG/Vc718o91zjX1Gde4Dvh3eGd4V0Z79l4w3VeA+bD/hsirs+J +2XD6tohb/QyO3ugaNNy8yTk0LL3ZOTQ+cItzzIKbt3smGpbe4RwaH7jTOTgN +d++LuDcnD4Drd0fc5Vp8YqV72nrPt3rNDu65yzVwHLY/EHF/Th7Q2bPv8exO +1nd77S6uvc+9eM+DnnGE7+x63yFMhs1PRDyek2/iCw9HPORncPxJ1/AMLj7i +GjiJLzwW8ah1T896zLXd/Ywe2A2jYXNfz4bbT3mNM53HG17Iicmw+bmIZ3Pi +PD7xTMTT7u1l/ZSfne7aZ3z2k3wW7uAMr8Hs5z37DGvW4G8t/qbiO2rufeIb +L+fkWXgGPrAq4pWcmA7H34x4w8/g6quuQcPa15xDw9XVzqFh7evOMQsfeMsz +0XjD286h8Zl3nIPZsPvDiA9y8gh84X8R77kWH3nXPYO85ze85nD3vOcamA7b +P474KCfPGOnZ73v2+dasAcfg3s8R672X0e5lT7Ncw7OfcmI8XF8X8UVOnoFP +fBbxqTU+8blzaL77Nc6hYcFa55iFL3zpmWh84Svn0HjF187N9J7Yy485eQI+ +8H3Edzl5CL7xbcQ3vgu87xPfyVT3fOeasT7Dp66Z4TWY/YNnz7D+3neFL/zi +O0PjFb86B5Pg0Db5+NsgL0+AgxsifnctPvKbe9Dz/ew318LOP9yDJ+ADf0Vs +zOld5h3m3X3Zz/CFv12DJ+AD/0b8kxPX4fbmsZfN8vIAuF8j9CbX4hP/uYe1 +8aU/vQee0bPJNUu8xj9e8wqvweyaec0mh2aNhT7DRs9kL/jGFnntCY1v1Mor +B7Ph6Lah6+V1l+TQ3CnPYO12edXgIXCwTujaeXERn9g69FZ5aX4Tfgty1FLD +M3p4F3mneZfXejY+sX1ea8BwWN4w9I557RWv2zKvPeMZ+ECD0PXzqoXjO+XV +wyx8Zoe8ZlLLTGbRwyzOwN6ZSS0zmbWDfyPusW/EmXnNxit2zmsNfASf2DX0 +Lnn5Bj6xe+jd8tJwM5dXDg1L83nl0PhAIa8cGs4X88qhYX8prxwaXyjnlUPj +FZW8cmh8pppXDo0vJHnl0HA+zSsHs2F349B75uUDcL9R6CwvjRfskVcOTQ+1 +5OjFC5rkNYPZzKCXNXiGF+2VVw3MhaMtQx+UlyfwHTUNvXdemm9rn7xyaHyg +WV45ND7QPK8cGh/YN68cGh/YL68cGk7vn1cODbsPyCuHxicOzCuHxida5JVD +s2f2Sg5mw+5WoQ/N6yxw/+C8zoTGCw7JK4emh1py3AVn5qzcCe8O7wzvCu8Q +s/GKw/JaAw/AC44IfXheDIfbbUMfndczuHpkXjVoONo6rxwatrbJK4fGF47K +K7fG3127vGau9bd4TF45NL7QPq8c3IbDnUN3yssT8IYOoY/LqxbfODavHtZi +z+yVNXlGD7XUwHVY3yX0CXl5Amsw+/i8PIQcumNea9NDLXugFy/qmtcM7o47 +4664Q7gOx3uG7pGXj+IbJ4U+Ma9ncPaUvGp4ho90y6sGj8AXuoc+OS/NTGaR +o5YantEDG2ACLIARzIa7p+a1BgyHKbDkjLwYDstPD907L07jI71Cn5ZXLzk0 +M3hGD7XUcHbOxFm4AzyENZjdJ6/Z5NCsAcvwhn55MQ2Nd/R3Do0vDHAOTsPt +IRGD82L2VtaDXItvnOUeNFw+2zk0XB3oHLPwgnM9E42vDHUO5sLekRHn58Xs +HaxH5MUVODQrYmZeHoRPnBcx3GvB8nO8JrPxrmFeYzvPHOEePAAvGB0xyrXb +etYwrwXnZnvN2r6DQV6DXnxmjGfAdDh+UcTEvJifs56Ql2fwXlwQMdaad+VC +59BwYJxzzMIHJnkmGh+Y7BwMh7MzIqbnxfjEepqfZb4ravAIfGFqxBTfdQOf +faRn4ysXe42KZ05zT0OfYazPXPLMKe5h77BsvM/A3eEVc3yHMAouXR9xXV6e +AAfnRcx1LT5yiXsau2auc9TCzvnuwRPwgYURCyKWRVwW8V/Ev36GLyxyDZ6A +DyyJWJwX1+H2FRHL8/KAAz1jmWvxiaXuYW186VLvYX/3LHNNc6+x2Gu28BrM +vtyzW1hf5ruAyzf4Tpr6TAu8Bh6Cb1wZsSIvZsPRmyJudG8ba2bgGXDvmoir +rWHhtc4d7t/gOueYBZtv9kw0PnCLc3Aatq+MuDMvZh9nfYf3hrdd5T3iEXD/ +9ojbfLcHe+9XeDa+cqvXaO+Zd7jnUJ/has9s55m3uYd3i2+Kb4l3DE/AG+6O +uMsa77jHOZgMmx+JeDgv38An7o+4zxpuPuAcGpY+6BwaH3jIOWbB/Uc9E40X +POYcGh953Dk4DXefjXgmL4bD8qcinnQtvvCEe07ynh/2mqe450nXwHHY/nzE +c3l5QG/Pftqze1mzRmefmbPe6730cS97goGw8H8R7+XFaLj5WsSreXkEvvBS +xIvW+MLLzqHh/ivOoeH+KueYBSdXeyYadr7uHBovecO54d4Te3k3L0+A229H +vOVafONN93AXeOkLvpMh7nnLNWf6DC+6ZpjXYPY7nj3M+m3fBV7zvu8EJsLG +DyM+yItBsOjXiF/yYhzv4ZqIz/Ni9jjrz9yLL3zkGWi4+bFzaFj6iXPM4t1e +65lovOML5+AsHP424pu8mDvF+uu83v3O/q35BvAIOP5VxJdeC5/51GsyG19Z +5zUme+bX7oH7eMn3Ed+5dpJnrfOsC33WT723aa791r34zg+eAbPh5u8Rv/ku +51n/6mdwb4Nr8C1896eIH/PyEHzh54j11pf4t/jZtbP9jB4YC2s35eUJzIat +f3gNmA63/4n427/9SP/WvAMwHJb/FbHRtbD+X/cwC1/50zMXe+bf7pnhM/zo +O1jomRvdw7fCN8e3tsp7xStqFLTnzeL/bh4xIKJ/QQyH27VDb1kQU1e4rmZB +Gg7TQw4NR7fwHDRsrVVQjll4QZ2CZqLxoa0KyqHxla0LysFgWLx96O0K8gx8 +ol7obQqqxYvqFtTDWuyZvbImz+ihlhqYD/vrh96hIE9gDWZvW9BscmjWgGmw +LQudFrQXZtDLnuAkNTxLCmI6HN899G4FeQS+sFPohgVpvpOdC8qh+XZ2KSiH +hp27FpRjFj6QK2gmGk7nC8qhYXehoBzMZk/spVqQR+AL5dClgmrxkWJBPdwF +3tqgoDvhGT3UUsMzvHjHgmrwDNZgdqWg2eTQrMHeuQPOzhm4O7yiUUF3CIPg +zpGhjyjIE/CGPUPvUZCGq40LysFQWLpX6CYFMR/O7xO6aUHP8IW9C6qhlx5q +mQFXYFXH0B0K6uW9b1bQDL4DfGLf0M0L0vjEfgXl0PjE/gXlWIs9sDZr4glw +/8DQBxSk8YIWBeXQcP6ggnKr/O2xFnvgbrgDzs4dUYs3tCyoB9/Amw4JfXBB +dwdHWxd0h3gG3GgV+tCCNCw5rKAcGh84vKAcmt+AuyfHLDjapqCZaNh6VEE5 +NN5ydEE5OAynjwt9bEEeAcePCd2uoFp8pG1BPZyFM7B3zsQzeqilhrNxBvbO +GWE8azC7fUGzyaFZg7X5Tfkt2QO/Ldw/vqDfeGAxPD9idcRrRXEZTp8Uz04s +yCfwhc6hOxWk4eQJBeXQsLNLQTk0XO9aUI5ZsL9bQTPR+MjJBeXQeEv3gnJw +Fy73Cn1aQR4At08J3bOgWnynR0E9rMWe2Str8oweaqmB8/jA6aF7F8R01mD2 +qQXNJodmDTgG286LGF7QXphBL3uq5xqeDSuI2XD6rII8AA/DF84MfUZBGq/o +W1AOjQ/0cw6ND/R3jllw+GzPRMPmgc6h8YFznNvGe2IvQwvyALg+JGKwa/GJ +Qe7hLvDGPgXdSR33DHYNzzgDe6emrtdg9rmeXdd6iO8Kro/wnaFh/fnOwRTY +MjfikoKYCBtHR4xyLRwd6Z76rhnlHB4A98dGjCmI8XByXMSFBb3LvKO8m8f7 +GSwd7xo8AO5PjJhQEHNh79SIKQUxHW5PjpjkWnzhIvewNj5zgfeQd88k1+zm +NSZ4zZLXYPbFnl2ynuxZu3jvF3gveMM07wmNF013DkbDzfkR83yXe1nP9TPY +eqlr8Ay4OCtiZkGcxBfmRMy2buzfYo5r9/Azevjbhr9ZeC9reDbcX+A1YDps +XxqxxHvFy2d4z3AaH1gcsci1cH6Ze5gFxxd65r6eucQ9qc8w0zObeeYi9+At +eMgB/99sfOMyr4Fn4APLIy4viNmw+7qIawti+uHW1xTEWFi7IuIKa7h6pXNo +WHuVc8zCR673TDQ+cINzcBru3hZxa0HMbmd9S0GcgT1PRTxZkEfA/ZsjbvJa ++NDVXpPZ+MqNXuNoz7zFPXAe9t8Rcbtrj/KsG70W3Hraa7byHVzjNejFF+70 +DJgNRx+IuL8gpnexvq8gH8E37opYac13d7dzaL7Fe5xjFmx+0DPR+MBDzsFp +uPtExOMFMbun9WN+dqrviho8Au4/GvGI77q9z36bZ+MrD3uN7p75mHuO8xlW ++szdPPMR97B3fO1en4G7g/3P+A5hEmz6MOKDghgJK5+PeM61cPVZ95zumuec +oxYfeME9MB/2vxzxUsTqiNcj9g8v3q+oZ/jKK67BE/CBVyNWFcRgWPxWxJsF +MX6QZ6x2LT7xmntYG9950XsY6J7VrhngNVZ5zcFeg9lvePZg69d9F7D8I99J +X5/pJa+Bb+BN70S8XRBD4d6nEZ8UxPSx1h8X5CH4xv8i3rPGF9537nz/Bh84 +xyxY+plnouH+587Babj7VcSXBTF7kvU67w0vf9d7hONw/4uItb7bod77W54N +59d4jYmeuc49w32G9zxzvGeudQ/vFt8U39K93hve8LX3iMY7vnEOLsPpnyPW +F+QJcPb7iO+s4eQPzqFh54/OoeH6T84xC/b/4plofORX59B4y2/OwV24/FfE +xoI8AG7/EbHBtfjO7+6Z5T2v95rz3bPBNXAeH/gn4u+CmL7Is//07IXWrDHV +Z+as33ovS9zLnmAgLNw2vpV6RTEabm4RevOifAEf2BTxnzXeUKOoHBru1ywq +h4b7mxWVYxacrFXUTDTs3LKoHBovqV1U7ibvib1sU5QnwO2tQ29VVC2+Uaeo +Hu4C3/rXd8IzeqilhmeXee/UwHTWYHbdomaTQ7MGd4HXbFfUncBE2LhD6O2L +YhAs2jP0HkUxjvdwl9A7F8VscuidiurFF+oXNQMNNxsUlUPD0h2LyjGLd3vX +omai8Y7disrBWThcCl0sirnk0IWi3v2p/q35BvAIOJ6PZ7mi1sJnGha1JrPx +ld2LWoNaZjKLHriPl1RCl4uqZSaz6GEWZ+aszGRv9FDLHunFd6pFzYDZcLNJ +6MZF3SU5NHfKM7i3V1E1+Ba+m4ZOivIQfKFR6KwozW/Cb0GOWmp4Rg9/y7T0 +O7rcs2Hr3kWtAdPh9r6hmxf12/Ob81vzDsBwWN4s9D5F1cJ6vIUeZuErTYua +SS0zmUUPZ+cM7J07oJaZzKKHv71a+LviPcUT8IYDivKwgyJa8l1FLC+K2bD7 +sNCtimI6OfShRTEW1tLXoigNl1t6FhquHuy5zMJ3Di9qJhovOqKoHMyFve1C +ty2K2eTQRxfFGFhzauhTivIQfOOo0G2KWguWH1LUmngUXtU69JFF1TKTWfTg +AXhB+9DHFFXLTGbRw1qw7rSi1mQ2d8DZWYNevOnYombAcLjdJfQJRTGeHLpz +UZ6BT3QIfVxRmu+mY1G5b/wtHV9UjllwuWtRM9Gw+sSicjAaVvcM3aMoppND +dy/qGXfGXVGDZ+ATJ4fuVtRdcwecnTtnNj5zUlFrUMtMZtHDWTkDe+fM1DKT +WfSwd9jfqagzcHdwv1dRdwiT4NDIiPOLYiic7BP69KJq8YreRfWgqeEZOWph +6xlF9eABcL9fRN+i3mX+9jmwqHeaZ3hDf9fgMfjCWREDiuI2HB4SMbgoT4Dz +/GcsA12Lb5ztHtbGh84sag+13DPQNZt5jQFes47XYPYgz65jfY7vAg6P8p0w +u4bPwhr4BN4xNOLcohgK98ZEjHbvjtbMwEPgyHkRw61hywjntvdvcL5zzIKl +Yz0TjZdc4BzchcsXRUwsisE56wneG945zHvEM+D6+Ihxvtu63vsQz8ZnLvQa +u3nmBPfU8xmGe+YunjnOPbxbfFN8S528N7xjkveIxpsmOweX4fSsiJlF+QS+ +MDViijWcnOYcGnZOdw4N12c4xyzYP9sz0fjIHOfQeMslzsFduLwwYkFRHgC3 +50fMcy2+M9c9qfc802s2cc8818B5fGBxxKKimL6PZ1/q2U2tWaPkM3PWi72X +5u5lTzAQFt4ccVNRjIabK4ryADyB72hZxFJrvq3LnEPD/cudQ8P95c4xC05e +6Zlo2HmVc2i85Grn2nhP7OXGojwBb7gu4lrX4hvXuIe7wBuX+E4Oc8+1rtnP +Z1jqmtZeg9k3FOUJra2v913gNbf4TmAibLwt4taiGASLno14pijG8R7eE3F3 +Ucw+3vou9+ILt3sGGm7e4Rwalt7pHLN4t+/1TDTecZ9zcBYOPxLxcFHM7Wb9 +UFHvfsm/Nd8AHgHHH4x4wGvhMyu9JrPxlfu9xome+ZB74D5e8ljEo67t6ln3 +e1ZHn3Wl99bdtY+4F9953DNgNtx8PuI532Uf62f9DO694Bp8C999MuKJojwE +X3g64inr3v4tnnbtaX5GD17A3yi8lwd6Nmx90WvAdLj9asQq//bt/FvzDsBw +WP5KxMuuhfWvuYdZ+MpLnjnAM1e5p6fP8ITvoJ9nvuyekxvEfUU8GvFIA3kC +3vB6Uf8ZP74BN9+MeKMoZsPu9yP+VxTTR1i/51pY+5Z70HD5befQcPUd55iF +73zgmWh85EPnYC7s/Tzis6KYfaH1p0UxBtZsiPi9KA/BNz6J+NhrwfJ3vSaz +8aqPvMZYz/zUPXgAXrA2Yo1rx3jWR14L1v3hNYf7Dt7zGvTiTV94BgyH299H +fFcU46dZf1uUZ+ATX0ass+a7+co5NN/S184xCy7/4JloWP2jczAaVv8W8WtR +TJ9r/YufzfddUYNn4BM/R6z3XY/32T/3bHzmJ68xxzN/cc9En2GdzzzLM9e7 +h73D/m98Bu4O7v/pO4RJcGiHUvxtUBJD4eTfEX+5Fq/Y6B70Yj/b6FrY+o97 +8AC4vyniv4gtYmatiLMjzirpGd5Qo6QaPAZf2Cz+/5olcRvW1wlduyRPgPPM +YBa1+MbmJfWwNj70r/fAs6u8LjXLvQazWRNPYA1mb1nSbHJbep/cBRyuX9Kd +LPOZ/vMa+ATeUTeebV0SQ+HejqEblNRLDs0MPASObBu6XkkatmxXUu5W/wbc +PTlmwdKGJc1E4yU7lZSDu3A5F3r3khhMDr1bSXvDO7cpaY94BlzfNfQuJXkc +Z2DvW5U0G5/ZuaQ1qGUms+hhFmdg78yklpnMood3i2/qW79j7A3vyJe0RzTe +VCgpB5fhdBY6Lckn8IVy6FJJGk5WSsqhYWe1pBwaricl5ZgF+xuVNBONj+xR +Ug6Nt+xZUg7uwuV9QjctyQPg9l6hm5RUi+80LqmHtdgze2VNntFDLTVwHh9o +HrpZSUxnDWbvXdJscmjWwBM5M2ctlrQXZtDLnmAgLGwTunVJjIabLUMfVJIn +4A37h96vJI0vHFBSDg33Dywph4b7LUrKMQtOHlzSTDTsPKSkHBovObSkHNxl +T+zlyJI8AW4fHvqwkmrxjVYl9XAXeOO+Jd0Jz+ihlhqecQb2Tg1MZw1mH1HS +bHJo1uAu8JqjSroTmAgb24Y+uiQGwaJeoU8riXG8hx1DdyiJ2eTQx5XUiy+0 +K2kGGm4eU1IODUvbl5T7xu/28SXNROMdnUrKwVk4fFLoE0tiLjl015LefX5z +fmu+ATwCjncJfUJJa+Ezx5a0JrPxlc4lrUEtM5lFD9zHS04O3a2kWmYyix5m +cWbOykz2Rg+17JFefKd7STNgNtw8PXTvku6SHJo75Rnc61NSDb6F7/YM3aMk +D8EXTg19Skma34Tfghy11PCMHhgLaweW5AnMhq1nlLQGTIfbAyL6l/Tb85vz +W/MOwPCaEf0i+roW1p/lHmbhK2eWNLOmZ/Z3D2fnDOydO6C2hmfRw7fCN8e3 +1sJ7xSvO8Z6HRJxLXcSbJTEcbp8XMbwkpm7tusHWdd0zxBqODnUODVuHOccs +vGCEZ6LxofOdQ+MrI52DwbD4wogLSvIMfGJMxGjX4kWj3FPPex7uNRu4Z7Rr +YD7sHx8xriRP2Nmzx3r2TtasAdNg2/yIed7Lru5lT01cw7O5JTEdjk+NmFKS +R+ALF0VMtOY7meQcmm9nsnNo2Hmxc8zCB6Z5JhpOT3cODbtnONfYe2Ivl5Tk +EfjC7IhZrsVHZrqHu8BbJ/hOMvfMcs3uPsNE1+zpNZg9x7P3tJ7tvZd99ot9 +d3jFpb5DGAR3bou4tSRPwBsWRiywhquLnIOhsHRJxOKSmA/nL4tY5mf4wlLX +NHfPYs+AK7DqwYgH3Mt7f7ln8B3gE1dELLfGJ1Y4h8YnrnRuf+9hmdfEE+D+ +1RFXWeMF1ziHhvPXOtfCay73Hpr6Dhb4jqjFG65zz5EledMNEdf77uDo7b5D +PANu3BRxozUsudk5ND5wi3Nt/Rvc6hyz4OgdnomGrXc6h8ZbVjoHh+H0/RH3 +leQRcPyeiLtdi4/c5Z4jfIbrfaaO7rnbNa19hht9xs5eg9n3enZn63u8dlf/ +lvf7t4X7D/k35p8tB/tvhtfNZTj9ZMQTJfkEvvBIxMPWcPJR59Cw8zHn0HD9 +ceeYBfuf8kw0PvK0c2i85Rnn4C5cfinixZI8AG4/H/Gca/GdZ93DWqd4r4/7 +WR/XUgPn8YFXIl4uiel9PfsFzz7TmjXgGGz7NOIT76W/e9nTGNfw7OOSmA2n +3y7JA/AwfOG1iFet8YrVzqEHRbzuHJ6AD+Adb3gWHH7HM9Gw+V3n0PjAe86N +9p7Yy0cleQBc/yDifdfiE/9zD3eBN67ynYxwz/uuOctneNU1o7wGsz/07FHW +H/iu4PpnvjM0rP/cOZgCW/6K2FgSE2HjFxFrXQtH17hnvGvWOkftRRHr3APj +4eTXEV+V9C7zjvJuPuRnsPQb1+ABcP+7iG9LYi7s/TlifUlMh9s/RvzgWnzh +e/ewNj7zpfcw3T0/uGaK1/jWa87yGsz+ybNnWf/oWZO99y+9F7zhF+8JjRf9 +6hyMhpv/RPztu1xi/ZefwdZ/XYNnwMUNEb+XxEl84c+IP6wX+bf407UL/Iwe +/rbhn7V5R8/xbLj/n9eA6bB983L8HVXWXvHy37xnOI0P1IxnNcqqhfNblNXD +LDi+yTOv8Exm0TPPZ/jdMy/3TGZt8qyrI2qVNRONT2xZVg6ND9QuKwfD4fa2 +oeuVxVTYulXoOmVpOLp1WTk0bK1bVg6NL2xTVo5ZeMF2Zc1E4yvbl5VD4ws7 +lJWD23B459A7leUJcH7H0A3KqsU36pfVw1rsmb2yJs/ooZYauA7rdw29S1me +wBrMbljWbHJo1oBrsG6v0E3K2gsz6GVPMI8anjUui+Fwuxy6VJZv4BO50LuX +pfnO8mXl0Hx7hbJyaHyhWFaOWXC5UtZMNKyulpVD4wtJWTm4zZ7Yy55leQKc +bxQ6K6v2qYi0rB7uAl/braw74Rk91FLDM87A3qnBE1iD2XuUNZscmjXYO3fA +2TkDdwf39y7rDuESHDomdLuyfAGO7hO6aVkabjYrKwdH4f6+oZuXxXhYf2Do +A8p6hg/sV1YNvfRQy4xDIg6NuIpvqaxefKZFWTPwCHyhZeiDytL4wsFl5dBw +nznkWAvv2b+sNWE+7G/lddBw/bCycmhYf3hZOdZmTdZiD9wNd8DZuSNq8Yoj +yurBl/DK1qGPLOvu4Gj7su4Qz/gs4qjQbcrSn0ccXVYOjQ+0LSuH5jfg7skx +C44eW9ZMNGw9rqwcGh/oUFYObsPhLqFPKIvbcL5T6OPLqoXjHcvq4Sycgb1z +Jp7RQy01nI0zsHfOiCewBrM7lzWbHJo1WBsv6FrWHtB4xYll5dD4wEll5fAA +uHpy6G5labjYvawcGlb2KCuHhuM9y8qhYfspZeXQcP/UsnJovOC0snJofKVX +WTk0XtO7rBwanzm9rBwaX+hTVg6Gw/L+Ef3KYjzcPjP0GWVpWN63rFwN9/Rz +jl58YoBnMJsZ9PbxM7h/lmtgLNw8P2JEWR4A9wdGnG2NF5zjHBruD3IODfcH +O4eG+0OcQ8P9c51Dw+WhzqFh9TDn0PjCcOfQ+MJ5zm3nPY9wDkbD6jERo30W +OD/SZ0LD/lHONXDPaOe28JnP9p3w7vDO8K6c5Nl4w1ivAfNh/4URF5TFbDg9 +KeIiP4Oj41yDhpvjnUPD0gnOofGBic4xC25O9kw0LL3YOTQ+MMU5OA13Z0XM +LMsD4Pr0iGmuxSemuifnPV/kNSvumeYaOA7b50TMLssDMs+e4dmp9XSvvYdr +Z7kX77nEM3bynV3gO4TJsHlRxMKyfBNfmBcx18/g+GLX8AwuzncNnMQXFkRc +at3Msxa4tqmf0QO7YTRsPsSz4fYSr3Gw83jDirKYDJuXR1xelsfgE5dFLHMv +7F/qGQe653LXNPaZ5voOWnoNZl/h2S2tWYO/tfibiu+Ib4t94htXl+VZeAY+ +cG3ENWUxHY7fHHGTn8HV61yDhrXXO4eGqzc4h4a1NzrHLHzgFs9E4w23OofG +Z25zDmbD7rsj7irLI/CFOyPucC0+crt72njPN3nN9u65wzUwHbbfG3FPWZ7R +0bNXenYHa9aAY3DvhYjnvZdO7mVPfVzDs+fKYjxcfzTikbI8A594IOJ+a3zi +QefQfPcPOYeGBQ87xyx84THPROMLjzuHxheecO5074m9PFuWJ+ADT0c85Vp8 +40n3cBd4332+k1Pd85RrTvAZ7ndNb6/B7Gc8u7f1074rfOFF3xkar3jJOZgE +h9ZEfF6WJ8DBVRGvuBYfedk9/V3zinPUws5X3YMn4AOvR6wu613mHebdvdrP +8IU3XIMn4ANvRbxZFtfh9vsR/yvLA+D+uxHvuBafeNs9rI0vveY9DHXPO64Z +7DXe9JrneQ1mv+fZ51m/61nneO+veS/4xgfeExrf+NA5mA1Hv4hY67ucYL3G +z2DtOtfgIXDwk4iPy+IiPvFZxKfW4/xbfObaC/yMHt5F3mne5Yc9G5/40mvA +cFj+XcS33ite95H3jGfgA99EfO1aOP69e5iFz3zlmVM881v3jPYZPvbMyZ75 +tXv4d8f5d9D5d/b4d/eYjVf84DXwEXzip4gfy/INfOLniPXWcPMX59Cw9Ffn +0PjAb86h4fzvzqFh/wbn0PjCH86h8Yo/nUPjMxudQ+MLfzmHhvN/OwezYXfN +SvztVZEnwP3/Iv61xgs2OXe5e6jd5F68YLOKZjAbL/nHa/AML9q8ohqYC0e3 +D71dRZ7Ad1Qr9BYVab6tLSvKofGB2hXl0PhAnYpyaHxgq4pyaHxg64pyaDhd +t6IcGnZvU1EOjU/UqyiHxie2rSiHZs/slRzMht07hm5Q0Vng/g4VnQmNF9Sv +KIemh1py3AVn5qzcySy/M+v9DjEbr2hY0Rp4AF6wc+idKmI43M6HzlX0DK7u +UlENGo7uWlEODVt3qyiHxhd2ryjHLL67QkUz0XyLxYpyaHyhVFEObsPhLHRa +kSfA+WroSkW1+Ea5oh7WYs/slTV5Rg+11MB1WL9H6EYVeQJrMDupaDY5NGuw +Nj3Usgd68aI9K5rB3XFn3BV3CNfheLPQ+1Tko/hGk9CNK3oGZ5tXVMMzfGSv +imrwCHyhaei9K9LMZBY5aqnhGT2wASbAgh88G+7uW9EaMByWtwx9UEUMh+UH +hj6gIk7jI/uH3q+iXnJoZvCMHmqp4eycibNwB3gIazC7RUWzyaFZg7XxhYMr +2gMarzikohwaLzm0ohychttHhW5TEbPJoVtX5CH4xmGhW1Wk4fLhFeXQcPWI +inLMwguOrmgmGl9pW1EO5sLeDqGPq4jZ5NDHVsQkOHRG6D4VeRA+0T70MRWt +BcuPrGhNZuNd7Spag1pmMosePAAvOD50x4pqmckselgLzp1Z0ZrM5g44O2vQ +i890qmgGTIfjJ4fuVhHzyaFPqsgzeC9OCN25Is270qWiHBoOdK0oxyx8oHtF +M9H4QI+KcjAczvYO3asixpNDn1bRM1h8ekU1eAS+cGroUyq6a+6As3PnzMZX +ela0BrXMZBY9nJUzsHfOTC0zmUUPe4dlJ1Z0Bu4Or+hb0R3CKLh0YcQFFXkC +HBwQ0b+iWnykn3tquqa/c9TCzrPcgyfgA+dEDIwYGjGM95xvzc/whUGuwRPw +gSERgyviOtweEXFeRR5QzzOGuhafONc9rI0vne091HXPUNfU8RqDvea2XoPZ +wz17W+thvgu4PM53UstnGug18BB8Y2TE+RUxG45OiBjv3t2smYFnwL0xEaOt +YeFY53byb3CBc8yCzRM9E40PXOQcnIa70yKmVsTsivUU7w1vG+U94hFw/+KI +yb7bHbz3EZ6Nr0zyGiXPnOKeBj7DaM8seOZk9/Bu8U3xLZ3oveEN071HNN4x +wzmYDJvnR8yryDfwidkRs6zh5hzn0LD0EufQ+MBc55gF9y/1TDResMA5ND6y +0Dk4DXcvi1hWEcNh+ZKIxa7FFxa5p4n3PM9rNnfPYtfAcdi+POLyijzgAM9e +6tn7W7NG5jNz1pneSwv3sicYCAvviLi9IkbDzesirq3IE/CBKyNWWOMNVzmH +hvtXO4eG+9c4xyw4eb1nomHnDc6h8ZIbnTvGe2Ivt1XkCXD7loibXYtv3OQe +7gIfu8J3cpR7bnZNS59hhWvaeQ1m3+rZ7axv8V3gNXf6TmAibLwrYmVFDIJF +L0e8VBHjeA8fjHigImZ3tb7fvfjC3Z6Bhpv3OIeGpfc6xyze7Yc8E413POwc +nIXDT0Y8URFzT7F+vKJ3P/NvzTeAR8DxxyIe9Vr4zH1ek9n4yiNeo4dnPu4e +uI+XPB3xlGu7e9YjntXFZ73PezvNtU+6F995xjNgNtxcFfGK73KA9ct+Bvde +dQ0ej+8+H/FcRR6CL7wY8YJ1P/8WL7r2TD+jp241+BwxNOLcqmbD1te8BkyH +229FvOnfvoN/a94BGA7L34h43bWw/m33MAtfWe2Zgz3zTfdwdrz3Wd/BOZ75 +unv4Vvjm+Nb4ZvAEvOHdijzs/YgPqIu9t6qK2bD704hPKmL6WOuPK2Ls+e77 +n/VIz3jfGq5+6Byz8J3PPBONj3zuHMyFvV9FfFkRsydZr6uIMbDmn4i/K/IQ +fOOLiLVeC5Z/5DWZjVet8RoTPXOde/AAvOCbiK9dO8Gz1ngtWPev1xztO/jY +a9CLN33rGTAcbv8S8XNFjJ9jvb4iz8Anvo/4zprv5gfn0HxLPzrHLLj8q2ei +YfVvzsFoWP1XxMaKmL7I+k8/W+K7ogbPwCf+iNjgu77YZ//Ks/GZ373GAs/8 +0z1TfYbvfOb5nrnBPewd9v/kM3B3cP8/3yFMgkM7xbvVsCqGwsmaoWtUVYtX +bHIPmhqebXItbN2sqh48AO7XCr1FVe8yf/u8V9E7zTPe8y2rquG9xxfqhK5d +Fbfh8Lah61XlCXCe73jrqmrxja2q6mFtfGjzqvbAM3qopYa1WIPZrIknsEY9 +c4HZ5NCswV3A4Z2ruhNmcybOwhr4BN6xfejtqmIo3Ns19C5V9ZJDMwMPgSMN +QtevSsOWHavKrfRvwN2TYxYs3a2qmWi8ZPeqcnAXLpdDl6piMDl0saq94Z07 +VLVHPAOuF0Lnq7pbzsDeuWNm4zO5qtaglpnMoodZnIG9M5NaZjKLHt4tvqn1 +fsfYG95RqWqPaLypWlUOLsPpvUI3qcon8IUsdFqVhpONqsqhYeceVeXwALje +OPSeVc2C/XtXNRONjzStKofGW/apKgd34fIBofevygPg9r6hm1dVi+80q6qH +tdgze2VNntFDLTVwHh9oEfrAqpjOGszer6rZ5NCsgSdyZs6aVLUXZtDLnmAg +LGwf+piqGA03D6/KA97xd3Rw6JZVab6tQ6rKoeH+oVXl0HAf7yDHLDh5RFUz +0bDzyKpyaLykdVU5uMue2Eu7qjwBbh8d+qiqavGNNlX1cBd440FV3QnP6KGW +Gp5xBvZODUxnDWa3rWo2OTRrcBd4zbFV3QlMhI0dQh9XFYNgUd+IM6tiHO9h +19BdqmI2OfQJVfXiCx2rmoGGm8dXlUPD0k5V5X7yu31iVTPReMdJVeXgLBw+ +JXTPqphLDt2jqnef35zfmm8Aj4Dj3UOfXNVa+EznqtZkNr7Srao1qGUms+iB ++3jJaaFPraqWmcyih1mcmbMyk73RQy17pBff6VXVDJgNN/tH9KvqLmta9/Uz +uDfANfgWvnt66N5VeQi+cEboPlVpfhN+C3LUUsMzevCCEX5HeVeZDVvP8how +HW4PjhhU1W/Pb85vzTsAw2H5OREDXQvrh7iHWfjK2Z5Z2zMHuYezcwb23su1 +W3rW2Z6FD5zrmfgCXjGsqr8hD0/iO4m4LuLaRAyH26MjRlXFVNh6XsRwazg8 +wjk0HD3fOTRsHekcs/CCMZ6JxofGOofGVy5wDgbD4osiJlblGfjE+IhxrsWL +LnQPazXwXkf62a6upQbmw/7JEZOq8oScZ0/w7N2tWQOmwbYlEYu9l4J72VNz +1/BsUVVMh+OzImZW5RH4wtSIKdZ8J9OcQ/PtTHcODTtnOMcsvGG2Z+IJcPqS +iDnWsHuuc828J/aysCqPwBcujZjvWnxknnu4C7z1Yt/JXu6Z75qSzzDFNft4 +DWYv8Ox9rC/13jOffYbvDq9Y6juEQXDn7oi7qvIEvOGyiGXWcPVy52AoLL0i +YnlVzIfzV0Vc6Wf4wgrXtHDPcs+4PuKGiK3jXd4qUS9ecbVn4Dn4xLUR11jj +E9c5hz7Sc67zWod4bdbEE+D+jV4HjRfc5Bwazt/sXCuveY33sL/vYJnviFq8 +4Rb34Bt4020Rt/ru4Og9vkM8A27cEXG7NSy50zk0PrDSuQ7+De5yjllw9F7P +RMPW+5xD4y33OweH4fSjEY9U5RFw/KGIB12LjzzgnrY+w60+U1f3POiaY3yG +233Gbl6D2Q97djfrh7w2XvGY94DGix53Do0PPOEcvoAPPBXxpDVcfNo5NKx8 +xjk0HH/WOTRsf845NNx/3jk0XvCCc2h85UXn0HjNS86h8ZmXnUPjC684B8Nh ++esRq6tiPNx+NWKVNSx/zbmB7lntHL34xBueMcAzVnkNnsH9N10DY+HmpxGf +VOUL+MDbEW9Z4w3vOIeG++86h4b77zmHhvv/cw4N9993Dg2XP3AODas/dA6N +L3zkHBpf+Ni5Md7zJ87BaFi9NmKNzwLnP/OZ0LD/c+fGuWeNc0N85rd8J7w7 +vDO8K094Nt7whdeA+bD/y4h1VTEbTn8f8Z2fwdGvXIOGm187h4al3ziHxge+ +dY5ZcPMHz0TD0h+dQ+MDPzkHp+Huhojfq/IAuP5rxC+uxTvWu2eq9/yd15zj +Hmp/rorjsP3PiD+q8oD5nv2bZ8+z/tVrL3DtBvfiPRs9Y6LvbJ3vECbD5hrB +4k1V+Sa+8HfEX34Gx2smquEZXPzHNXASX/gv4l9rZm5ybqlr/nUP7IbRsPl6 +z4bbmyVa4zrn8YY6iZgMm7cMXSsR5/GJLUJvnqiXHJoZPKOHWmoW+Ux/+Q7w +ENZgdu1Es8mhWYO/vfibiu9omPeJb9RN5Fl4Bj5QL/Q2iZgOxxuErp/oGVzd +NlENGtZulyiHhqvbJ8qhYe0OiXLMwgd2TDQTjTc0TJRD4zM7JcrBbNidC717 +Io/AF3YNvUuiWnxk50Q9rMWe2Str8oweaqmB6bC9EDqfyDNYg9m7JZpNDs0a +cAzuNQ/dLNFemEEve4Jx1PBsn0SMh+tZ6DSRZ+AT5dClRBqfqCTKofnuq4ly +aFiQJMoxC19olGgmGl/YI1EOjS/smSgH09kTe2mayBPwgb1CN0lUi280TtTD +XeB9xUR3wjN6qKWGZ5yBvVODh7AGs/dONJscmjW4K3xh30R3hsYr9kuUg0lw +qEPo4xJ5Ahw8MPQBiWrxkf0T9aCp4Rk5amFni0Q9eAI+cHDoloneZd5h3l3e +aZ7hC4ckqsET8IFW/G2WiOtwu03o1ok8AO7zzyT8swm1+MRhiXpYG186KNEe +eEYPtdSwFmswmzXxDNZg9pGJZpNDswazOAN7ZyZ7wTeOSrQnNL5xdKIczIaj +x4fumOguyaG5U57B2k6JavAQOHhM6HaJuIhPHBu6fSLNb8JvQY5aanhGD+8i +7zTvMu8ks/GJzonWgOGwvFvokxLtFa9rm2jPeAY+0DV0l0S1cPzkRD3MwmdO +SDSTWrzjxEQ9zOIM7J2Z1DKTWSf4DrnL6xP9MySz8YruidbAR/CJnqF7JPIN +fOLU0Kck0nDztEQ5NCztlSiHxgd6J8qh4fzpiXJo2N8nUQ6NL5yRKIfGK85M +lEPjM30T5dD4Qj/n0HC+v3MwG3afEzEwkQ/A/bMiBljjBWc7V8s9A52jFy8Y +5BmbecYAr8EzvGiwa2AuHB0bMSaRJ/AdnRsxxJpva6hzaHxgmHNofGC4c2h8 +4Dzn0PjACOfQcPp859Cwe6RzaHxilHNofGK0czt6z2Ocg9mwe3zEOJ8F7l/g +M6Hxggud28U945zbymce4jvh3eGd4V3p6dl4xQSvgQfgBRdFTEzEcLg9LWKq +n8HVSa5Bw9HJzqFh68XOofGFKc4xi+9uumei+RZnOIfGF2Y6B7fh8LyIuYk8 +Ac7PiZjtWnxjlnvK3vNUr9nIPbNdA9dh/aUR8xN5QhPPvsSzG1vP8dp7u3ae +e/GiBZ6xu+9sou8QrsPxyyKWJfJRfGNRxEI/g7OXu4Zn+Mhi1+AR+MLSiCXW +B3jWUtfu52f0wAaYAAu6ezbcXe41YDgs5z+LuiYRw2H5VRFXJuI0PrIi4gr3 +trRe7meHuHaFz97MZ+EOWnkNZl/t2a2sWeOWLP6GitiuUXw7jcQ2vOOGRIz7 +JA2PiGgTNa0zMRt23xlxRyJuH2t9eyKGt7e+LZGn4CM3RdxoDadvdo5Z+MhK +z4SxsPb+iPsSMfoE63sTMRpWPxjxQCIGwZ1XI1Yl4sxZ1q94LTh+i9fEo/CR +eyLuTuRjx1vf5WedvdY93ltHP1vptWDda16T2XjFrV6ji/f4gM8Aw+H2MxFP +J2J8L+unEnnAadZPJvIQ3pNHIx6x5t15zDlmwf1nPRNmw9WXI15KxPR+1i8m +8ryTPevhRJ7Zzfoh9w7wXb3ssxzj344z4SH4xgsRzyfymDOsn/Ozvl7rBe+t +j589673Dscd9BhgEd76K+DLRdwmn1kV8EfFBxIcRh8c7d1gqj4CLb0S87rvH +V1b7N0AP8rPVroWlb7oHT8AH3o14J5EHwP3/RbyXiONw+pOIjxMxfYz1R94L +//eIVHvCE0Y5z16Heg1mv+3Z+Mj7XmOUZ/7frOHew3veE7UjPet938Uk3806 +nwXfe8tnYq/4yKfeMwyFpd9GfJOI4VOsv/ZdX2zNneMhcHBtxBrrib77tZ4F +97/zTLgMZ3+OWJ+I0bOtf0rkY+M963PvDV/7zHu80DWfO3eu7+xtnwkPwRd+ +jPghkcfMsP7ez2Z5rR+9t+l+xh55t/DKJxK9Y2i+qSedY+94xS8+A1yG0/9E +/J2I0Uus/0rkI/jGhojfreHoH86hYeufzjEL9v/rmWh84z/n4DKc3SLenc1T +MZocerNUnjXfa/2WiOmwvWY8q5FqLXxno9dkNr6xyWtQy0xm0QPXYf2WoWul +qmUms+iBa7B01/j/d0k1e7HPvtG9eFHtVDNgGhzYLvS2qRhKDl0v1d/A+MRW +oeuk0njH1qlyaLhfN1WOWbBl+1Qz0XjFDqlycBju7hx6p1TcJYdumOoZZ2Dv +1OAZcHnH0A1S3TV3wNm5c2bjTfVTrUEtM5lFD2flDOydM1PLTGbRA8Nh+e6h +d0vFGFizf+j9UjGIHHrfVO8c714SuprqnSSHrqRiIDl0OdVsvCyXag2YDJsL +ofOpZuENaaqZcBfONgndOBVnyaH3TMVh2L936L1Svfv87fSrvwH0PL9rv3ot +vKGYak08BW7vEbpRKp8ih85SPWNN1qKGvVHDM/bILHyqlGommjNzVnLsnT2y +N84A0+BAi9AHpmI2OfQBqZ7BioNS1XD31PCM3wCfx4ebh26WSvOb8FuQw9eo +4dk+qTgM249MxXSYC3vxmlapPJAeapumuktyaO6Ub4d3gneBbwjGM4PeQ1Mx +HbYfEvrgVL5ADt0y1TN6qKWGs1HDM87It8LfRduk+mbYK5xvnWrP/N821PFt +peI2PtE+9DGpGE4O3S5VLxxu4140HD7Kc9Cw+ehUOWbhPcemmonGN45LlYO7 +sPmE0J1TMZgculMqBsGi/hH9UvkQPnJ86I6p1sIr2qZak9l4U4dUa1DLTGbR +A/Nhf9fQXVLVMpNZHbwWLB3gNZnNHXB21qAXrzox1QyYDUdPDX1KKqaTQ/dM +5RF8J91Cn5RK852cnCr3q7+d7qlyzIKbp6WaiYalvVLlYC7s7RtxZipmk0Of +kepZTd8VNXgIvtEn9Omp7po74OzcObPxqt6p1qCWmcyih7NyBvbOmallJrPo +Ye94TY9UZ+Du4ORZvkMYBIsmRkxI5QFw8ZyIga6FrWe7p5ZrBjpHLSwd5J6t +/R6fGzEkFTdg1SURc/yMd32oa/AUvGV4xLBUHIbToyNGpfIIOH5+xAjX4iPn +uYe18Z3B3sN27hnhmm28xjCvWd9rMHukZ9e3Zg0YDBcnRVzk2Vv7LKyBj+BN +YyPGpGIenLs4YrJ7i9bMwLfgxriIC61hyXjndvNvMME5ZsHOKZ6JxjumOgeX +4fDsiFmpONzIeqb3hk9e4D3iIXB6RsR0321D7320Z+NV07xG6pkz3bOzz3Ch +Z1Y9c7p7eLf4pviWenhve/q3nu3fHu7P9TsAp/HOJRGLUzF7X+tFqXwC7s6P +mGcNiy91Dg2rFzjHLHxhqWei8YplzsFhuHtlxIpU3D3Y+opU3IFl90bck8pz +4PLyiMu9Fl6y0GsyG6+6zGsc5JlXuAfO4w1XR1zl2haedZnX4ru+z2s28x0s +8hr04k3XeAbMhGu3RNycitlHW9+U6p9R8LXrIq61xjeud+7/POQG55gFK2/1 +TDTecZtzcBYO3x1xVyrmdrRe6WedfFfU4BFw/M6IO3zXh/rsV3o2vnK71zjO +M1e65zCf4Vqfub1n3uEe7goW3u87gztwZnXEa6kYNND61VTvGu8Q7w7vHEyE +jQ9FPOhZ+MIDntnVNQ86Ry3cf9g9vNO8249HPJbKN+D+kxFPpGIwLH4+4rlU +3O1j/Wyq/20e/5s7/ncW/G8vYDhcfibi6VRM7uHZj3o2PvKU1+jtmc+6p6f3 +8IT3RG0vz3rKe8enHvEZTvYajzrHXvGiF7xnmAbb3ox4IxVDB1u/7mdw+i3X +nOOa1/0b4CH4wqqIV6zP9m9Brr9rePZyqr9BeId5d/mbBk7D3fe5q1S+2N+1 +L3mv+OSL3nNf17zk3HmeQe97qTiPL7wb8U4q5g+zftvPznPtuz7bUD/jjPwt +xj/z4BnbeG94wwfeIxrv+NA5uI13fhGxNhXDJ1ivScVZOMx/rvaxNRz91Dk0 +bP3MOTwH3/gyYp01XvKVc3AXLv8Q8X0qBk+3/i4Vh2DbZln8nZHJM+D6txHf +eC1853OvyWx85muvMdUzv3MPPoC3/BTxo2uneNbXXovvfPNMa47zHazxGvTi +A+s9A4bCuT8j/kjF8AXWG1L5Bj7xS8TP1nzHvzqH5tv+zTlmwc6NnonGS/5y +Du7C5Rqxt02pGEwO/Z+fcWfcFTV4Blz/N+If3/VMn/0Hz8Zn/vYayzzzP/fM +9hl+9pmpXepZf3vv+NjvPgN3Byu3yHSHMAgW5ULvnomJsLF26C0z1eILtTL1 +oKnhGTlq4X6dTD1wn++sbuitI7aP2CHi/IgRmZ7xLW6TqQZfwRe2DV0vE6Nh +9Y6hG2RiOFzewbOoxTe2y9TD2vjMVpn2wDN6qKWGtViD2awJ51mD2fUzzSZX +3/vkLuBwPtOdMJszcRbWwJfwoZ1CN8zEPDhXDF3I1EsOzQw8Fx/ZNfQumTQ+ +sFumHJrfgLsnxyzYWco0Ew2ry5lycBcuNwqdZWIwOXSaaW945c6Z9gi34XoS +uprpbjkDe+eOmQ3XK5nWoJaZzKKHWZyBvTOTWmYyix7eLb6pDX7H2BvesUem +PaLxpj0z5WA0rG4eulkmxsLVvUI3yaRh7d6ZcmjY3DRTDg3b98mUYxZevG+m +mWh8Yr9MOTTesX+mHFyGwweHbpnJY+Bwi9AHZqrFiw7I1MNa7Jm9sibP6KGW +GriOFxwa+pBMXGcNZh+UaTY5NGvggZyZszbOtBdm0MueYCAs7BT6+EyMhptH +ZfrvSPAAvODw0Idl0h9FHJEph4b7R2bKoeE+/90KOWbByaMzzUTDzraZcmi8 +pF2mHNxlT+ylYyZPgNvHhT42Uy1edEymHu4C32qV6U7wFHqobZ/pGWdg79TA +dNZgdodMs8mhWYO7wGs6Z7oTmAgbu4Q+IRODYNHAiLMzMY73sEfo7pmYTQ59 +cqZefKFrphlouHliphwalp6UKfe73+2emWai8Y5TMuXgLBzuE/r0TMwlh+6d +6d3nN+e35hvAI+B4r9CnZVoLn+mWaU1m4yunZlqDWmYyix64j5ecGfqMTLXM +ZBY9zOLMnJWZ7I0eatkjvfhO30wzYDbcHBRxju+ytvVAP4N7g12Db+G7/SP6 +ZfIQfOGsiAHWtfxbnOXazf2MHv4ZcIzfUd5VZsPWIV4DpsPt8yKGZ/rt+c35 +rXkHYDgsHxYx1LWwfoR7mIWvnOuZ9TxzuHtq+Az9fAd1PXOoe/AAvGBkJs/C +J+Dk6IhREWMixkZ8EvFxJmbD7gkR4zMxfTfrce5t6L7R1jt5xhhruHqBc8zC +dyZ6Jhofucg5mAt7p0VMzcTsivWUTIyBNcsjLs/kIfjGxRGTvRYsv9BrMhuv +muQ1Sp45xT14AF4wI2K6a4ueNclrwborvOYuvoNxXoNevGmmZ8BwuH1pxPxM +jN/bel4mz8AnZkfMsua7meMcmm/pEueYBZcXeCYaVi90DkbD6ssilmVi+gHW +S/2she+KGjwDn1gSsdh3nfjs0zwbn1nkNfbzzKXuyXyGWT5zc89c7B7uCs6v +8J3BHDjzYMQDmZjU1fr+TO8i7yDvHu8kTIWbV0dc5Vl4x5WeeYhrrnKOWlh7 +jXvwALzghojrM3kKPnBTxI2ZOA1374y4IxOzj7W+PdM/i4z2t/uhfQPu89/x +89/1H+E1mH2dZ+MrN3sNavGK29zT2nu40Xuitq1n3ey942vX+gyHe43rnGOv +eMVK7xnmwb5HIh7OxNRu1g/5GV7wqGtOdM1D/g1gDKy5L+Je6y7+Lch1cg3P +7sn0LuLlczO9k3AYTj8d8VQm3+zk2ru9V7zuLu+5o2vudu5Uz6D3yUwegw88 +EfF4Jg/qaf2Yn53q2id8th5+xhn5dy34dyT4z/3578rYK9/cPO8ZX8Grno14 +JhOn4faqiFcy+QY+8ULE89Zw80Xn0LD0JefQcP5l55iFF7zqmWh85TXn0HjN +aufgMJx+J+LtTJ4Ax9+MeMO1+NDr7unvPb/iNQe55w3XwH184b2IdzMxfqhn +v+XZ51qzRh+fmbM+570Mdy97gomw8ZuIrzMxG45+mskT8Ay+0w8i3rfm2/3Q +OTQ+8JFzaHzgY+eYBTc/80w0LP3cOTTessa5yd4Te/kqk0fA8XURX7gWH1nr +Hu4Cr/yf72SCe75wzQif4X3XTPIazP7SsydZr/Nd4D3f+k5gJKz8PuK7TAyC +RVs0ir8DGukb4b37NeKXTAy/xPpn9+ITP3gGGo7+6Bwatv7kHLN4l3/zTDRe +8rtzcBcu/x3xVyYGL7bemOnd7+Pfmm8Az4Drf0b84bXwnfVek9n4zAavsdAz +N7oHH8Bb/o34x7ULPGuDZ83xWdd7b0td+7d78aH/PANmw70t4+5qNdJdkkNz +pzyDg7UbqQYfw4drhN6UyWPwhc3i/6/ZSJrfhN+C3HLX8Iwe2AUTYMHTng1r +6zTSGjAcLtcLvU0j/fbT/FvzDsBwWF43nm3dSLWwnH/3ix5m4Q1bNdJMapnJ +LHo4O2fY5Du43jOZRQ+egXds30j/Ttn/AzxmpQw= + "]], + Annotation[#, "Charting`Private`Tag$95330#1"]& ]]}, {}, {}, {}, {}}, + LineBox[CompressedData[" +1:eJwl1X9MFAQYBuBPBQUFxYJSgwLTgsLSgtTSglKDglKDgtICs602+7XVZltt +ttlWm2225WZbbrbZVpslmhYWlhYWlBaUlhaUlhSUFhSUFqQP+sdz3O027r69 +7/ddzpKHFz40JCKe9zDU38Hn99HMFC9eoI9qb+4gZ1jEM3RRnhCxmYzEiCdo +p3h4xKskj4h4kFYKkyJeYoDa5Ijd5I30mfRQOSpiO1kpEU/TQWlqxBuMHR3x +OAeZPSbiFRLTIh5gL9PGRqzhBIvPitjF5LMjnuMYC9IjtjE+I+IpDjP3nIjX +ST034lH2M3NcxDqGjDc/zUyZYH76qD7P/ORkmp8uyrPMT8b55qed4gvMT3K2 ++WmlMMf8DFA70fzkXWh+eqicZH6yJpufDkovMj9vsok6NrOFt9jKNt7mHerZ +zru8RwM7eJ8P2MkuPuQjGtnNx3xCE818ymfsYS+f8wUttPIlX7GP/XzNNxzg +IN/yHW208z0/cIjD/MhPHKGDn/mFTrr4ld84yjF+5w+66eFP/qKXPv7mH45z +gn/5j34G+J+TxMWyZSjDSCCR4YwgiWRGMooUUhnNGNLY6P+UDH53+awgk3q5 +VdAtx1Xk0ijfGvrlvZYCWvRgGUls0I8i2vRlOenU6VEZnXq1kmwa9K2KXv1b +TT5NermU4GV9ncE+/X2EFF7T6zkc0vMnGcdW/Z/PUfvwLJPYaU8WcdzevMhU +9tin+0lgvT2bxQF79xhpbLSPJRyxnyvIpN7eVtBtj1eRS6P9rqHfvq+lgBZ3 +YBlJbHAfimhzL5aTTp07Ukanu7KSbBrcmyp63Z/V5NNk9KXcyxJqqeEe7mYx +i7iLO6mmiju4nUoquI2FLGA+t3IL5ZRxMzdRSgk3Mo+5zOEGrqeYIq7jWmYz +i2u4mpnMYDpXUUgBV3IF05jK5Vw2eHPJ51IuIY/cM/WNwRqrXKhbqFmoWKhW +qFSoU6hRqFCoTqhNTDhTk1CRUI1Qi1CHUIVQgRB/iD3EHaIOEYd4Q6wh0hBl +iDHEF2ILkYWoQkwhntO/F6cAw6Tb5Q== + "]]}, + VertexTextureCoordinates->CompressedData[" +1:eJxk3X+Itv2fkPULQYooiiXQwNg1IrEWYUEWwWXbfqwsmvBlUVvUpWVRW8x0 +jcU0llITUQpZaALBwogC948YkBG5Qpi62pgka7Lf5tTorDnWZCNIEEHQ89wd +x/F+3h/3n+HAy+s6z8/nfV+v53uf533OL/zR3/GDv/nnXC6Xv+cXXS5f//xb +/u/xB/+d//7+D/yju++mv/0n/8Z//Lf98fr7vvy//3vTv+Of/YE/9xd/+nj9 +ff17v/5//vv+ZP0jX/7/P0z/vB/+iT///X/6eL/r9NPv/AVPv/PP1N/x5f0f +65/65f/Ej/4v/+V/dHzebfrf+NXf/Rd+039S//iXz/+Z6Y9/+u/4b/+f//Q4 +nqfpr9/+p/6z6S/H92frb/2x3/Yn/+w/9J9PfznePzfH/zd/1x/8mT/zX0x/ +Of7n+o/++9/3H/wzv/i/mv5yPtM/9ee//+sjmv5yfv91/Yf+31/5+3794zf6 +y/n+N3P+v/hbX5/h9Jfzn/7dv/br//vvpr+sx/SPf/XuX/3/mP6yPv9D/WNf +Hf23Pr7RX9brf5z1+gu/6esjOtZv+od+7m/96gz+p+kv6/kXZz2/68v/h2N9 +X+of+Ppwft7/PP1lvae/7w//nq9X9Fj/1/qX/al/+asdmP63vuzHX5r9+OrV +3/6Tf+nYn+m7rz7t3/6Ff3n6y35N/9Qv+PqA//Kxf2/1v/7V2f6x3/aN/rKf +03/o65d/288e+zv9B75a7T/6p7/RX/b7r8x+f708P/xXjv2f/smvdvvf/Dn/ +6/SXeZj+3V+9+u/9E9/oL/Mx/RPf/fUG/9XpL/My/eNfH/7f/EZ/mZ/3+rd9 +PS5/9Bv9ZZ6mf+z//kv/6t/9vX/tmK/p3/RHfvaX/ZGf/UZ/mbf/bebtH/yr +f/3v/MPf6C/jNP0b/8O/9u/+a7/kf5/+Mo/TP/Tlj883+st8ftS/5uu3/z3f +6C/zOv2tr8ft2/+PY36n/6lv+3pgv9Ff5vmvzzz/if/rH/m5v/Ub/WW+p//J +7/16gf7P6S/zPv19X2/vn/pGf5n/z/p7vj7dX/+N/vLnYfr//7+/cbRz9JUb +/Nx9N40ftn7U+LFff1/rh60fNX7s97tO44etH7Z+7M+7TeOHrR81fuzjeZrG +jxo/bP2o8aPjx48aP2z9qPGj88OPGj9s/ajxo/PHjxo/bP2o8aP1wY8aP2z9 +qPGj9cKPvX7T+lHjR+uJH3t9X2r9qPGj9caPvf6vtX7Y+tF+4Mfen2n9qPGj +/cKPvX9vtX7U+NF+4sfe32n9qPGj/caPvf/T+lHjR/OAH3s+pvWjxo/mBT/2 +/LzX+lHjR/OEH3u+pvWjxo/mDT/2/E3rR40fzSN+7Pn8qPWjxo/mFT/2/E7r +R40fzTN+7Pme1o8aP5p3/Njz/1nrR40ffZ/jx27/O/wuP3bfTeOHrR81fuzX +39f6YetHjR/7/a7T+GHrh60f+/Nu0/jx4+u8fmYaP/bxPE3jR40ftn7U+NHx +40eNH7Z+1PjR+eFHjR+2ftT40fnjR40ftn7U+NH64EeNH7Z+1PjReuHHXr9p +/ajxo/XEj72+L7V+1PjReuPHXv/XWj9s/Wg/8GPvz7R+1PjRfuHH3r+3Wj9q +/Gg/8WPv77R+1PjRfuPH3v9p/ajxo3nAjz0f0/pR40fzgh97ft5r/ajxo3nC +jz1f0/pR40fzhh97/qb1o8aP5hE/9nx+1PpR40fzih97fqf1o8aP5hk/9nxP +60eNH807fuz5/6z1o8aPvs/xY7d/D/TH82P33TQ/bf2o8WO//r7WD1s/avzY +73edxo/vWMfxWOvH/rzbNH58x3LwZ6bxYx/P0zR+1Phh60eNHx0/ftT4YetH +jR+dH37U+GHrR40fnT9+1Phh60eNH60PftT4YetHjR+tF37s9ZvWjxo/Wk/8 +2Ov7UutHjR+tN37s9X+t9cPWj/YDP/b+TOtHjR/tF37s/Xur9aPGj/YTP/b+ +TutHjR/tN37s/Z/Wjxo/mgf82PMxrR81fjQv+LHn573Wjxo/mif82PM1rR81 +fjRv+LHnb1o/avxoHvFjz+dHrR81fjSv+LHnd1o/avxonvFjz/e0ftT40bzj +x57/z1o/avzo+xw/dl8uP4YD+rH7btp9o/Wjxo/9+vtaP2z9qPFjv991Gj9+ +bLn1WOvH/rzbNH7Y+lHjxz6ep2n8qPHD1o8aPzp+/Kjxw9aPGj86P/yo8cPW +jxo/On/8qPHD1o8aP1of/Kjxw9aPGj9aL/zY6zetHzV+tJ74sdf3pdaPGj9a +b/zY6/9a64etH+0Hfuz9mdaPGj/aL/zY+/dW60eNH+0nfuz9ndaPGj/ab/zY ++z+tHzV+NA/4sedjWj9q/Ghe8GPPz3utHzV+NE/4sedrWj9q/Gje8GPP37R+ +1PjRPOLHns+PWj9q/Ghe8WPP77R+1PjRPOPHnu9p/ajxo3nHjz3/n7V+1PjR +9zl+7P7GdW/82D1/L6Uftn7Ux0/96LoIftj6UePHfp/rNH7s/53zWOvH/rzb +NH7sv2f7mWn82MfzNI0fNX7Y+lHjR8ePHzV+2PpR40fnhx81ftj6UeNH548f ++zrVtH7U+NH64EeNH/13A37U+NF64cdev2n9qPGj9cSPvb4vtX7U+NF648de +/9daP2z9aD/wY+/PtH7U+NF+4cfev7daP2r8aD/xY+/vtH7U+NF+48fe/2n9 +qPGjecCPPR/T+lHjR/OCH3t+3mv9qPGjecKPPV/T+lHjR/OGH3v+pvWjxo/m +ET/2fH7U+lHjR/OKH3t+p/Wjxo/mGT/2fE/rR40fzTt+7Pn/rPWjxo99X9Tf +OPpy+fl8z+vH7rtp/LD14+cfTuzX39f6YetHjR/7/a7T+GHrh60f+/Nu0/hh +60eNH/t4nqbxo8YPWz9q/Oj48aPGD1s/avzo/PCjxg9bP2r86Pzxo8YPWz9q +/Gh98KPGD1s/avxovfBjr9+0ftT40Xrix17fl1o/avxovfFjr/9rrR+2frQf ++LH3Z1o/avxov/Bj799brR81frSf+LH3d1o/avxov/Fj7/+0ftT40Tzgx56P +af2o8aN5wY89P++1ftT40Tzhx56vaf2o8aN5w489f9P6UeNH84gfez4/av2o +8aN5xY89v9P6UeNH84wfe76n9aPGj+YdP/b8f9b6UeNH3+f4sfty+d18r+vH +7rtp/LD1o/a/S9br72v9sPWjxo/9ftdp/LD1w9aP/Xm3afyw9aPGj308T9P4 +UeOHrR81fnT8+FHjh60fNX50fvhR44etHzV+dP74UeOHrR81frQ++FHjh60f +NX60Xvix129aP2r8aD3xY6/vS60fNX603vix1/+11g9bP9oP/Nj7M60fNX60 +X/ix9++t1o8aP9pP/Nj7O60fNX603/ix939aP2r8aB7wY8/HtH7U+NG84Mee +n/daP2r8aJ7wY8/XtH7U+NG84ceev2n9qPGjecSPPZ8ftX7U+NG84see32n9 +qPGjecaPPd/T+lHjR/OOH3v+P2v9qPGj73P82O31j4fj+sfDcf3j4bj+8XBc +/5i/h9qvv6/39Y+H4/rHw3H94yE/6nX94+G4/vFwXP94OK5/PBzXPx6O6x8P +x/WPh+P6x8Nx/ePhuP7xcFz/eDiufzwc1z8ejusfD8f1j4fj+sfDcf3j4bj+ +8XBc/3g4rn88HNc/Ho7rHw/H9Y+H4/rHw3H94+G4/vFwXP94OK5/PBzXPx6O +6x8Px/WPh+P6x8Nx/ePhuP7xcFz/eDiufzwc1z8ejusfD8f1j4fj+sfDcf3j +4bj+8XBc/3g4rn88HNc/Ho7rHw/H9Y+H4/rHw3H94+G4/vFwXP94OK5/PBzX +Px6O6x8Px/WPh+P6x8Nx/ePhuP7xcFz/eDiufzwc1z8ejusfD8f1j4fj+sfD +cf3j4bj+8XBc/3g4rn88HNc/Ho7rHw/H9Y+H4/rHw3H94+G4/vFwXP94OK5/ +PBzXPx6O6x8Px/WPh+P6x8Nx/ePhuP7xcFz/eDiufzwc1z8ejusfD8f1j4fj ++sfDcf1j/t3er/Hf7eHH7rtp/LD149cc1y326+9r/bD1o+bnfr/rNH7Y+mHr +x/682zR+2PpR48c+nqdp/Kjxw9aPGj86fvyo8cPWjxo/Oj/8qPHD1o8aPzp/ +/Kjxw9aPGj9aH/yo8cPWjxo/Wi/82Os3rR81frSe+LHX96XWjxo/Wm/82Ov/ +WuuHrR/tB37s/ZnWjxo/2i/82Pv3VutHjR/tJ37s/Z3Wjxo/2m/82Ps/rR81 +fjQP+LHnY1o/avxoXvBjz897rR81fjRP+LHna1o/avxo3vBjz9+0ftT40Tzi +x57Pj1o/avxoXvFjz++0ftT40Tzjx57vaf2o8aN5x489/5+1ftT40fc5fuz+ +xr/zxo/d8+8w9vXz63H9/BvXudfr5zrGvn5+Pa6fX4/r59e/9ee6fn49rp9f +j+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9f +j+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9f +j+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9f +j+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9f +j+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9f +j+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9f +j+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9fj+vn1+P6+fW4fn49rp9f +j+vn1+P6+fW4fj7PBflOnwuCH7vvpvHD1o/vXN/rP328/r7WD1s/avz4zsOJ +mp+2ftj6sT/vNo0ftn7U+LGP52kaP2r8sPWjxo+OHz9q/LD1o8aPzg8/avyw +9aPGj84fP2r8sPWjxo/WBz9q/LD1o8aP1gs/9vpN60eNH60nfuz1fan1o8aP +1hs/9vq/1vph60f7gR97f6b1o8aP9gs/9v691fpR40f7iR97f6f1o8aP9hs/ +9v5P60eNH80Dfuz5mNaPGj+aF/zY8/Ne60eNH80Tfuz5mtaPGj+aN/zY8zet +HzV+NI/4sefzo9aPGj+aV/zY8zutHzV+NM/4sed7Wj9q/Gje8WPP/2etHzV+ +9H2OH7u9/+rxuP/q8bj/6vG4/+rxuP9q7qPdr7+v9/1Xj8f9V4/H/Vfzvytq +jtve9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189Hvdf +PR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189 +HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e +9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73 +Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189Hvdf +PR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189 +HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e +9189HvdfPR73Xz0e9189HvdfPR73Xz0e9189HvdfPR73Xz0e91/Ncwd/v88d +xI/dd9P4YevH719/b/TTx+vva/2w9aPGj99//D1UjR+2ftj6sT/vNo0ftn7U ++LGP52kaP2r8sPWjxo+OHz9q/LD1o8aPzg8/avyw9aPGj84fP2r8sPWjxo/W +Bz9q/LD1o8aP1gs/9vpN60eNH60nfuz1fan1o8aP1hs/9vq/1vph60f7gR97 +f6b1o8aP9gs/9v691fpR40f7iR97f6f1o8aP9hs/9v5P60eNH80Dfuz5mNaP +Gj+aF/zY8/Ne60eNH80Tfuz5mtaPGj+aN/zY8zetHzV+NI/4sefzo9aPGj+a +V/zY8zutHzV+NM/4sed7Wj9q/Gje8WPP/2etHzV+9H2OH7u9f/d23L97O+7f +vR33796O+3fn3+nt19/X+/7d23H/7u24f3euW9T+72Z63797O+7fvR33796O ++3dvx/27t+P+3dtx/+7tuH/3dty/ezvu370d9+/ejvt3b8f9u7fj/t3bcf/u +7bh/93bcv3s77t+9Hffv3o77d2/H/bu34/7d23H/7u24f/d23L97O+7fvR33 +796O+3dvx/27t+P+3dtx/+7tuH/3dty/ezvu370d9+/ejvt3b8f9u7fj/t3b +cf/u7bh/93bcv3s77t+9Hffv3o77d2/H/bu34/7d23H/7u24f/d23L97O+7f +vR33796O+3dvx/27t+P+3dtx/+7tuH/3dty/ezvu370d9+/ejvt3b8f9u7fj +/t3bcf/u7bh/93bcv3s77t+9Hffv3o77d2/H/bu34/7d23H/7u24f/d23L97 +O+7fvR33796O+3dvx/27t+P+3dtx/+7tuH/3dty/ezvu370d9+/ejvt3b8f9 +u7fj/t3bcf/u7bh/d55r/tt9rjl+7L6bxg9bP377ui7908fr72v9sPWjxo/9 +ftdp/LD1w9aP/Xm3aX7a+lHjxz6ep2n8qPHD1o8aPzp+/Kjxw9aPGj86P/yo +8cPWjxo/On/8qPHD1o8aP1of/Kjxw9aPGj9aL/zY6zetHzV+tJ74sdf3pdaP +Gj9ab/zY6/9a64etH+0Hfuz9mdaPGj/aL/zY+/dW60eNH+0nfuz9ndaPGj/a +b/zY+z+tHzV+NA/4sedjWj9q/Ghe8GPPz3utHzV+NE/4sedrWj9q/Gje8GPP +37R+1PjRPOLHns+PWj9q/Ghe8WPP77R+1PjRPOPHnu9p/ajxo3nHjz3/n7V+ +1PjR9zl+7L5cfgvfo/qx+24aP2z9qPFjv/6+1g9bP2r82O93ncYPWz9s/dif +d5vmvG39qPFjH8/TNH7U+GHrR40fHT9+1Phh60eNH50fftT4YetHjR+dP37U ++GHrR40frQ9+1Phh60eNH60Xfuz1m9aPGj9aT/zY6/tS60eNH603fuz1f631 +w9aP9gM/9v5M60eNH+0Xfuz9e6v1o8aP9hM/9v5O60eNH+03fuz9n9aPGj+a +B/zY8zGtHzV+NC/4sefnvdaPGj+aJ/zY8zWtHzV+NG/4sedvWj9q/Gge8WPP +50etHzV+NK/4sed3Wj9q/Gie8WPP97R+1PjRvOPHnv/PWj9q/Oj7HD92Xy6/ +0d+bhB+776bxw9aPGj/26+9r/bD1o/a+6+M+2tr7ItZzch9r/difd5v2vyvW +c9p/Zpqf+3iepvGjxg9bP2r86Pjxo8YPWz9q/Oj88KPGD1s/avzo/PGjxg9b +P2r8aH3wo8YPWz9q/Gi98GOv37R+1PjReuLHXt+XWj9q/Gi98WOv/2utH7Z+ +tB/4sfdnWj9q/Gi/8GPv31utHzV+tJ/4sfd3Wj9q/Gi/8WPv/7R+1PjRPODH +no9p/ajxo3nBjz0/77V+1PjRPOHHnq9p/ajxo3nDjz1/0/pR40fziB97Pj9q +/ajxo3nFjz2/0/pR40fzjB97vqf1o8aP5h0/9vx/1vpR40ff5/ix+3L5Qb4n +9WP33TR+2PpR48d+/X2tH7Z+1Pix3+86jR+2ftj6sT/vNo0ftn7UrMs+nqdp +/Kjxw9aPGj86fvyo8cPWjxo/Oj/8qPHD1o8aPzp//Kjxw9aPGj9aH/yo8cPW +jxo/Wi/82Os3rR81frSe+LHX96XWjxo/Wm/82Ov/WuuHrR/tB37s/ZnWjxo/ +2i/82Pv3VutHjR/tJ37s/Z3Wjxo/2m/82Ps/rR81fjQP+LHnY1o/avxoXvBj +z897rR81fjRP+LHna1o/avxo3vBjz9+0ftT40Tzix57Pj1o/avxoXvFjz++0 +ftT40Tzjx57vaf2o8aN5x489/5+1ftT40fc5fuy+XH6A70X92H03jR+2ftT4 +sV9/X+uHrR81fuz3u0573zOtH7Z+7M+7Tfv3hrR+1Lq+judpmp81ftj6UeNH +x48fNX7Y+lHjR+eHHzV+2PpR40fnjx81ftj6UeNH64MfNX7Y+lHjR+uFH3v9 +pvWjxo/WEz/2+r7U+lHjR+uNH3v9X2v9sPWj/cCPvT/T+lHjR/uFH3v/3mr9 +qPGj/cSPvb/T+lHjR/uNH3v/p/Wjxo/mAT/2fEzrR40fzQt+7Pl5r/Wjxo/m +CT/2fE3rR40fzRt+7Pmb1o8aP5pH/Njz+VHrR40fzSt+7Pmd1o8aP5pn/Njz +Pa0fNX407/ix5/+z1o8aP/o+x4/dl8v38j2oH7vvpvHD1o8aP/br72v9sPWj +xo/9ftdp/LD1w9aP/Xm3afyw9aPGj308T9OsW81PWz9q/Oj48aPGD1s/avzo +/PCjxg9bP2r86Pzxo8YPWz9q/Gh98KPGD1s/avxovfBjr9+0ftT40Xrix17f +l1o/avxovfFjr/9rrR+2frQf+LH3Z1o/avxov/Bj799brR81frSf+LH3d1o/ +avxov/Fj7/+0ftT40Tzgx56Paf2o8aN5wY89P++1ftT40Tzhx56vaf2o8aN5 +w489f9P6UeNH84gfez4/av2o8aN5xY89v9P6UeNH84wfe76n9aPGj+YdP/b8 +f9b6UeNH3+f4sfty+aV87+nH7rtp/LD1o8aP/fr7Wj9s/ajxY7/fdRo/bP2w +9WN/3m0aP2z9qPFjH8/TNH7UrKOtHzV+dPz4UeOHrR81fnR++FHjh60fNX50 +/vhR44etHzV+tD74UeOHrR81frRe+LHXb1o/avxoPfFjr+9LrR81frTe+LHX +/7XWD1s/2g/82PszrR81frRf+LH3763Wjxo/2k/82Ps7rR81frTf+LH3f1o/ +avxoHvBjz8e0ftT40bzgx56f91o/avxonvBjz9e0ftT40bzhx56/af2o8aN5 +xI89nx+1ftT40bzix57faf2o8aN5xo8939P6UeNH844fe/4/a/2o8aPvc/zY +fbn8w3zP6cfuu2n8sPWjxo/9+vtaP2z9qPFjv991Gj9s/bD1Y3/ebRo/bP2o +8WMfz9M0ftT4YetHzc+OHz9q/LD1o8aPzg8/avyw9aPGj84fP2r8sPWjxo/W +Bz9q/LD1o8aP1gs/9vpN60eNH60nfuz1fan1o8aP1hs/9vq/1vph60f7gR97 +f6b1o8aP9gs/9v691fpR40f7iR97f6f1o8aP9hs/9v5P60eNH80Dfuz5mNaP +Gj+aF/zY8/Ne60eNH80Tfuz5mtaPGj+aN/zY8zetHzV+NI/4sefzo9aPGj+a +V/zY8zutHzV+NM/4sed7Wj9q/Gje8WPP/2etHzV+9H2OH7svl+/ge63ff776 +bho/7H7/+fF79fbr7+t+/znd7z+38WO/33UaP2z9sPv95+vzbtP4Yff7z23v +61rH8zTtdRfbv0ej+/3nts55/B63jR92v//cxo/Oz99/buOH3e8/t/Gj8/f3 +n9v4Yff7z238aH38/ec2ftj9/nMbP1ovf//5Wr/pfv+5jR+tp7//fK3vS93v +P7f9/eeut7//fK3/a93vP6f7/efuB37s/Znu95/b/v5z9ws/9v691fpR+/vP +3U/82Ps73e8/t/395+43fuz9n+73n9v+/nPnAT/2fEz3+89tf/+584Ife37e +637/ue3vP3ee/P3na76m+/3ntr//3Hnz95+v+Zvu95/b/v5z59Hff77m86Pu +95/b/v5z5xU/9vxO9/vPbfxonv3952u+p/v957a//9x59/efr/n/rPv957a/ +/9zvc/zY7fOvno/nXz0fz796Pp5/9Xw8/+r5eP7V8/H8q+fj+VfPx/Ovno/n +Xz0fz796Pp5/9Xw8/+r5eP7V8/H8q+fj+VfPx/Ovno/nXz0fz796Pp5/9Xw8 +/+r5eP7V8/H8q+fj+VfPx/Ovno/nXz0fz796Pp5/9Xw8/+r5eP7V8/H8q+fj ++VfPx/Ovno/nXz0fz796Pp5/9Xw8/+r5eP7V8/H8q+fj+VfP+WHv5189H8+/ +ej6ef/WcH/Z+/tXz8fyr5+P5V8/5Ye/nXz0fz796Pp5/9Xw8/+r5eP7V8/H8 +q+fj+VfPx/Ovno/nXz0fz796Pp5/9Xw8/+r5eP7V8/H8q+fj+VfPx/Ovno/n +Xz0fz796Pp5/9Xw8/+r5eP7V8/H8q+fj+VfPx/Ovno/nXz0fz796Pp5/9Xw8 +/+r5eP7V8/H8q+fj+VfP+WHv5189H8+/ej6ef/V8PP/q+Xj+1fPx/Kvn4/lX +z/lh7+dfPR/Pv3o+nn/1fDz/6vl4/tXz8fyr5+P5V8/5Ye/nXz0fz796Pp5/ +9Zwff5DvLf3YfTeNH7Z+1PixX39f64etHzV+7Pe7TuOHrR+2fuzPu03jh60f +NX7s43maxo8aP2z9qPGj48ePmn2w9aPGj84PP2r8sPWjxo/OHz9q/LD1o8aP +1gc/avyw9aPGj9YLP/b6TetHjR+tJ37s9X2p9aPGj9YbP/b6v9b6YetH+4Ef +e3+m9aPGj/YLP/b+vdX6UeNH+4kfe3+n9aPGj/YbP/b+T+tHjR/NA37s+ZjW +jxo/mhf82PPzXutHjR/NE37s+ZrWjxo/mjf82PM3rR81fjSP+LHn86PWjxo/ +mlf82PM7rR81fjTP+LHne1o/avxo3vFjz/9nrR81fvR9jh+7L5ffy/eUfuy+ +m8YPWz9q/Nivv6/1w9aPGj/2+12n8cPWD1s/9ufdpn1uA60ftf+uah3P07T3 +Pdrex0LrR+3fM3v8/r2B7X8H0vpRe9yeH37U+GHrR40fnT9+1Phh60eNH60P +ftT4YetHjR+tF37s9ZvWjxo/Wk/82Ov7UutHjR+tN37s9X+t9cPWj/YDP/b+ +TOtHjR/tF37s/Xur9aPGj/YTP/b+TutHjR/tN37s/Z/Wjxo/mgf82PMxrR81 +fjQv+LHn573Wjxo/mif82PM1rR81fjRv+LHnb1o/avxoHvFjz+dHrR81fjSv ++LHnd1o/avxonvFjz/e0ftT40bzjx57/z1o/avzo+xw/dl8uP8n3kn7svpvG +D1s/avzYr7+v9cPWjxo/9vtdp/HD1g9bP/bn3aZ9rg+tH7X/7nYdz9M0ftTe +50jrR+11SI/fv1e2/XsCWj9q/xx7fvys8cPWjxo/On/8qPHD1o8aP1of/Kjx +w9aPGj9aL/zY6zetHzV+tJ74sdf3pdaPGj9ab/zY6/9a64etH+0Hfuz9mdaP +Gj/aL/zY+/dW60eNH+0nfuz9ndaPGj/ab/zY+z+tHzV+NA/4sedjWj9q/Ghe +8GPPz3utHzV+NE/4sedrWj9q/Gje8GPP37R+1PjRPOLHns+PWj9q/Ghe8WPP +77R+1PjRPOPHnu9p/ajxo3nHjz3/n7V+1PjR9zl+7L5cfhffQ/qx+24aP2z9 +qPFjv/6+1g9bP2r82O93ncYPWz9s/difd5vGD1s/avzYx/M0jR81ftj6UeNH +x48fNX7Y+lHjR+fHvtX8tPWjxo/OHz9q/LD1o8aP1gc/avyw9aPGj9YLP/b6 +TetHjR+tJ37s9X2p9aPGj9YbP/b6v9b6YetH+4Efe3+m9aPGj/YLP/b+vdX6 +UeNH+4kfe3+n9aPGj/YbP/b+T+tHjR/NA37s+ZjWjxo/mhf82PPzXutHjR/N +E37s+ZrWjxo/mjf82PM3rR81fjSP+LHn86PWjxo/mlf82PM7rR81fjTP+LHn +e1o/avxo3vFjz/9nrR81fvR9jh+7L5cf53tHP3bfTeOHrR81fuzX39f6YetH +jR/7/a7T+GHrh60f+/Nu0z73k9aP2ufyrON5msaP2n8HRetH7X2KHr/3ndhe +R6T1o/Z/53t+/neb7Z9DWj9q/Oj88aPGD1s/avxoffCjxg9bP2r8aL3wY6/f +tH7U+NF64sde35daP2r8aL3xY6//a60ftn60H/ix92daP2r8aL/wY+/fW60f +NX60n/ix93daP2r8aL/xY+//tH7U+NE84Meej2n9qPGjecGPPT/vtX7U+NE8 +4ceer2n9qPGjecOPPX/T+lHjR/OIH3s+P2r9qPGjecWPPb/T+lHjR/OMH3u+ +p/Wjxo/mHT/2/H/W+lHjR9/n+LH7cvnn+J7Rj9130/hh60eNH/v197V+2PpR +48d+v+s0ftj6YevH/rzbNH7Y+lHjxz6ep2n8qPHD1o8aPzp+/Kjxw9aPGj86 +P/yo8cPWj5qfnT9+1Phh60eNH60PftT4YetHjR+tF37s9ZvWjxo/Wk/82Ov7 +UutHjR+tN37s9X+t9cPWj/YDP/b+TOtHjR/tF37s/Xur9aPGj/YTP/b+TutH +jR/tN37s/Z/Wjxo/mgf82PMxrR81fjQv+LHn573Wjxo/mif82PM1rR81fjRv ++LHnb1o/avxoHvFjz+dHrR81fjSv+LHnd1o/avxonvFjz/e0ftT40bzjx57/ +z1o/avzo+xw/dl8uv4XvlZ7fvvpuGj/snt9u48d+/X3d89vpnt9u48d+v+s0 +ftg9v53u+e3r827T+GH3/HYbP/bxPE3jR40fds9vt/Gj48ePGj/snt9u40fn +hx81ftg9v91mnzt/n99u44fd89tt/Gh98KPGD7vnt9v40Xr5/Pa1ftM9v93G +j9bT57ev9X2pe367jR+tt89vX+v/Wvf8drrnt7sf+LH3Z7rnt9v40X7hx96/ +t1o/avxoP/Fj7+90z2+3fX67+40fe/+ne3677fPbnQf82PMx3fPbbfxoXvBj +z8973fPbbfxonnx++5qv6Z7fbvv8dufN57ev+Zvu+e22z293HvFjz+dH3fPb +bZ/f7rzix57f6Z7fbuNH8+zz29d8T/f8dtvntzvvPr99zf9n3fPbbZ/f7vc5 +fuy+XH6E7xH92H03jR+2ftT4sV9/X+uHrR81fuz3u07jh60ftn7sz7tN44et +HzV+7ON5msaP2ufo0PpR++9cPX7/3ZLtfei0ftTrPrXxo/bvcWn9qNf/zhs/ +6rUP40eNH60PftT4YetHjR+tF37s9ZvWjxo/Wk/82Ov7UutHjR+tN37s9X+t +9cPWj/YDP/b+TOtHjR/tF37s/Xur9aPGj/YTP/b+TutHjR/tN37s/Z/Wjxo/ +mgf82PMxrR81fjQv+LHn573Wjxo/mif82PM1rR81fjRv+LHnb1o/avxoHvFj +z+dHrR81fjSv+LHnd1o/avxonvFjz/e0ftT40bzjx57/z1o/avzo+xw/dl8u +v4HvDf3YfTeNH7Z+1PixX39f68dvWN9TD9P4sd/vOo0ftn7Y+rE/7zaNH7Z+ +1Pixj+dpGj9q/LD1o8aPjh8/avyw9aPGj84PP2r8sPWjxo/OHz9q5sDWjxo/ +Wh/8qPHD1o8aP1ov/NjrN60fNX60nvix1/el1o8aP1pv/Njr/1rrh60f7Qd+ +7P2Z1o8aP9ov/Nj791brR40f7Sd+7P2d1o8aP9pv/Nj7P60f9Zef0/qx52Na +P2r8aF7wY8/Pe60fNX40T/ix52taP2r8aN7wY8/ftH7U+NE84seez49aP2r8 +aF7xY8/vtH7U+NE848ee72n9qPGjecePPf+ftX7U+NH3OX7svlx+Hd8T+rH7 +bho/bP2o8WO//r7Wj1+3/rv2YRo/9vtdp/HD1g9bP/bn3abxw9aPGj/28TxN +40eNH7Z+1PjR8eNHjR+2ftT40fnhR40ftn7U+NH540eNH7Z+1PxsffCjxg9b +P2r8aL3wY6/ftH7U+NF64sde35daP2r8aL3xY6//a60ftn60H/ix92daP2r8 +aL/wY+/fW60fNX60n/ix93daP2r8aL/xY+//tH7U+NE84Meej2n9qPGjecGP +PT/vtX7U+NE84ceer2n9qPGjecOPPX/T+lHjR/OIH3s+P2r9qPGjecWPPb/T ++lHjR/OMH3u+p/Wjxo/mHT/2/H/W+lHjR9/n+LH7cvkW3wv6sftuGj9s/ajx +Y7/+vtaPb62/B3mYxo/9ftdp/LD1w9aP/Xm3afyw9aPGj308T9P4UfscZlo/ +ap+T5vH73Bvb5xjQ+lH774w8P+8bt70PkNaP2ut0nr9/72r7v6Np/aidc9fH +87Lxw9aPGj9aL/zY6zetHzV+tJ74sdf3pdaPGj9ab/zY6/9a64etH+0Hfuz9 +mdaPGj/aL/zY+/dW60eNH+0nfuz9ndaPGj/ab/zY+z+tHzV+NA/4sedjWj9q +/Ghe8GPPz3utHzV+NE/4sedrWj9q/Gje8GPP37R+1PjRPOLHns+PWj9q/Ghe +8WPP77R+1PjRPOPHnu9p/ajxo3nHjz3/n7V+1PjR9zl+7L5cfhXfA/qx+24a +P2z9qPFjv/6+1o9ftf7e/GEaP/b7Xafxw9YPWz/2592m8cPWjxo/9vE8TeNH +jR+2ftT40fHjR40ftn7U+NH54UeNH7Z+1PjR+eNHjR+2ftT40fowNzU/bf2o +8aP1wo+9ftP6UeNH64kfe31fav2o8aP1xo+9/q+1ftj60X7gx96faf2o8aP9 +wo+9f2+1ftT40X7ix97faf2o8aP9xo+9/9P6UeNH84Afez6m9aPGj+YFP/b8 +vNf6UeNH84Qfe76m9aPGj+YNP/b8TetHjR/NI37s+fyo9aPGj+YVP/b8TutH +jR/NM37s+Z7Wjxo/mnf82PP/WetHjR99n+PH7svlV/DnXj92303jh60fNX7s +19/X+vEr1nXWh2n82O93ncYPWz9s/difd5vGD1s/avzYx/M0jR81ftj6UeNH +x48fNX7Y+lHjR+eHHzV+2PpR40fnjx81ftj6UeNH64MfNXNk60eNH60Xfuz1 +m9aPGj9aT/zY6/tS60eNH603fuz1f631w9aP9gM/9v5M60eNH+0Xfuz9e6v1 +o8aP9hM/9v5O60eNH+03fuz9n9aPGj+aB/zY8zGtHzV+NC/4sefnvdaPGj+a +J/zY8zWtHzV+NG/4sedvWj9q/Gge8WPP50etHzV+NK/4sed3Wj9q/Gie8WPP +97R+1PjRvOPHnv/PWj9q/Oj7HD92Xy7/GH/O9WP33TR+2PpR48d+/X2tH7Z+ +1Pix3+86jR+2ftj6sT/vNo0ftn7U+LGP52kaP2r8sPWjxo+OHz9q/LD1o8aP +zg8/avyw9aPGj84fP2r8sPWjxo/WBz9q/LD1o+Zn64Ufe/2m9aPGj9YTP/b6 +vtT6UeNH640fe/1fa/2w9aP9wI+9P9P6UeNH+4Ufe//eav2o8aP9xI+9v9P6 +UeNH+40fe/+n9aPGj+YBP/Z8TOtHjR/NC37s+Xmv9aPGj+YJP/Z8TetHjR/N +G37s+ZvWjxo/mkf82PP5UetHjR/NK37s+Z3Wjxo/mmf82PM9rR81fjTv+LHn +/7PWjxo/+j7Hj92Xy/fw51o/dt9N44etHzV+7Nff1/rxPes+zodp/Njvd53G +D1s/bP3Yn3ebxg9bP2r82MfzNI0fNX7Y+lH7e1g8fp+rb/ucZFo/ap9j5vn5 +XBrb5wzQ+lH774A8f/yo8cPWj9rraK6Pfy9q+79zaf2onUPXi597/ab1o8aP +1hM/9vq+1PpR40frjR97/V9r/bD1o/3Aj70/0/pR40f7hR97/95q/ajxo/3E +j72/0/pR40f7jR97/6f1o8aP5gE/9nxM60eNH80Lfuz5ea/1o8aP5gk/9nxN +60eNH80bfuz5m9aPGj+aR/zY8/lR60eNH80rfuz5ndaPGj+aZ/zY8z2tHzV+ +NO/4sef/s9aPGj/6PseP3ZfLd/PnWD92303jh60fNX7s19/X+mHrR40f+/2u +0/hh64etH/vzbtP4YetHjR/7eJ6m8aPGD1s/avzo+PGjxg9bP2r86Pzwo8YP +Wz9q/Oj88aPGD1s/avxoffCjxg9bP2r8aL2Yu71+0/pR40friR97fV9q/ajx +o/XGj73+r7V+2PrRfuDH3p9p/ajxo/3Cj71/b7V+1PjRfuLH3t9p/ajxo/3G +j73/0/pR40fzgB97Pqb1o8aP5gU/9vy81/pR40fzhB97vqb1o8aP5g0/9vxN +60eNH80jfuz5/Kj1o8aP5hU/9vxO60eNH80zfuz5ntaPGj+ad/zY8/9Z60eN +H32f48fuy+W7+HOrH7vvpvHD1o8aP/br72v9+K7178QepvFjv991Gj9s/bD1 +Y3/ebRo/bP2o8WMfz9M0ftT4YetHjR8dP37U+GHrR40fnR9+1Phh60eNH50/ +ftT4YetHjR+tD37U+GHrR40frRd+7PWb1o8aP1pP/Njr+1LrR40frTd+7PV/ +rfXD1o/2Az/2/kzrR40f7Rd+7P17q/Wjxo/2Ez/2/k7rR40f7Td+7P2f1o8a +P5oH/NjzMa0fNX40L/ix5+e91o8aP5on/NjzNa0fNX40b/ix529aP2r8aB7x +Y8/nR60fNX40r/ix53daP2r8aJ7xY8/3tH7U+NG848ee/89aP2r86PscP3Zf +Lt/Jn1P92H03jR+2ftT4sV9/X+uHrR81fuz3u07jh60ftn7sz7tN44etHzV+ +7ON5msaPGj9s/ajxo+PHjxo/bP2o8aPzw48aP2z9qPGj88ePGj9s/ajxo/XB +jxo/bP2o8aP1wo+9ftP6UfOz9cSPvb4vtX7U+NF648de/9daP2z9aD/wY+/P +tH7U+NF+4cfev7daP2r8aD/xY+/vtH7U+NF+48fe/2n9qPGjecCPPR/T+lHj +R/OCH3t+3mv9qPGjecKPPV/T+lHjR/OGH3v+pvWjxo/mET/2fH7U+lHjR/OK +H3t+p/Wjxo/mGT/2fE/rR40fzTt+7Pn/rPWjxo++z/Fj9+Xyi/hzqR+776bx +w9aPGj/26+9r/fhF6zkUD9P4sd/vOo0ftn7Y+rE/7zaNH7Z+1Pixj+dpGj9q +/LD1o8aPjh8/avyw9aPGj84PP2r8sPWjxo/OHz9q/LD1o8aP1gc/avyw9aPG +j9YLP/b6TetHzZy2nvzc6/tS60eNH603fuz1f631w9aP9gM/9v5M60eNH+0X +fuz9e6v1o8aP9hM/9v5O60eNH+03fuz9n9aPGj+aB/zY8zGtHzV+NC/4sefn +vdaPGj+aJ/zY8zWtHzV+NG/4sedvWj9q/Gge8WPP50etHzV+NK/4sed3Wj9q +/Gie8WPP97R+1PjRvOPHnv/PWj9q/Oj7HD92Xy7/AH8O9WP33TR+2PpR48d+ +/X2tH7Z+1Pix3+86jR+2ftj6sT/vNo0ftn7U+LGP52kaP2r8sPWjxo+OHz9q +/LD1o8aPzg8/avyw9aPGj84fP2r8sPWjxo/WBz9q/LD1o8aP1gs/9vpN60eN +H60nc7vX96XWjxo/Wm/82Ov/WuuHrR/tB37s/ZnWjxo/2i/82Pv3VutHjR/t +J37s/Z3Wjxo/2m/82Ps/rR81fjQP+LHnY1o/avxoXvBjz897rR81fjRP+LHn +a1o/avxo3vBjz9+0ftT40Tzix57Pj1o/avxoXvFjz++0ftT40Tzjx57vaf2o +8aN5x489/5+1ftT40fc5fuy+XP5+/tzpx+67afyw9aPGj/36+1o/bP2o8WO/ +33UaP2z9sPVjf95tGj9s/ajxYx/P0zR+1Phh60eNHx0/ftT4YetHjR+dH37U ++GHrR40fnT9+1Phh60eNH60PftT4YetHjR+tF37s9ZvWjxo/Wk/82Ov7UutH +jR+tN37s9X+t9cPWj/YDP/b+TOtHjR/tF37s/Xur9aPGj/YTP/b+TutHjR/t +N37s/Z/Wjxo/mgf82PMxrR81fjQv+LHn573Wjxo/mif82PM1rR81fjRv+LHn +b1o/avxoHvFjz+dHrR81fjSv+LHnd1o/avxonvFjz/e0ftT40bzjx57/z1o/ +avzo+xw/dl8uP58/Z/qx+24aP2z9qPFjv/6+1g9bP2r82O93ncYPWz9s/dif +d5vGD1s/avzYx/M0jR81ftj6UeNHx48fNX7Y+lHjR+eHHzV+2PpR40fnjx81 +ftj6UeNH64MfNX7Y+lHjR+uFH3v9pvWjxo/WEz/2+r7U+lHzs/XGj73+r7V+ +2PrRfuDH3p9p/ajxo/3Cj71/b7V+1PjRfuLH3t9p/ajxo/3Gj73/0/pR40fz +gB97Pqb1o8aP5gU/9vy81/pR40fzhB97vqb1o8aP5g0/9vxN60eNH80jfuz5 +/Kj1o8aP5hU/9vxO60eNH80zfuz5ntaPGj+ad/zY8/9Z60eNH32f48fuy+Xb ++HOlH7vvpvHD1o8aP/br72v9sPWjxo/9ftdp/LD1w9aP/Xm3afyw9aPGj308 +T9P4UeOHrR81fnT8+FHjh60fNX50fvhR44etHzV+dP74UeOHrR81frQ++FHj +h60fNX60Xvix129aP2r8aD3xY6/vS60fNXPeevNzr/9rrR+2frQf+LH3Z1o/ +avxov/Bj799brR81frSf+LH3d1o/avxov/Fj7/+0ftT40Tzgx56Paf2o8aN5 +wY89P++1ftT40Tzhx56vaf2o8aN5w489f9P6UeNH84gfez4/av2o8aN5xY89 +v9P6UeNH84wfe76n9aPGj+YdP/b8f9b6UeNH3+f4sfty+bv4c6Qfu++m8cPW +jxo/9uvva/2w9aPGj/1+12n8sPXD1o/9ebdp/LD1o8aPfTxP0/hR44etHzV+ +dPz4UeOHrR81fnR++FHjh60fNX50/vhR44etHzV+tD74UeOHrR81frRe+LHX +b1o/avxoPfFjr+9LrR81frTezP1e/9daP2z9aD/wY+/PtH7U+NF+4cfev7da +P2r8aD/xY+/vtH7U+NF+48fe/2n9qPGjecCPPR/T+lHjR/OCH3t+3mv9qPGj +ecKPPV/T+lHjR/OGH3v+pvWjxo/mET/2fH7U+lHjR/OKH3t+p/Wjxo/mGT/2 +fE/rR40fzTt+7Pn/rPWjxo++z/Fj9+Xyt/PnRj92303jh60fNX7s19/X+mHr +R40f+/2u0/hh64etH/vzbtP4YetHjR/7eJ6m8aPGD1s/avzo+PGjxg9bP2r8 +6Pzwo8YPWz9q/Oj88aPGD1s/avxoffCjxg9bP2r8aL3wY6/ftH7U+NF64sde +35daP2r8aL3xY6//a60ftn60H/ix92daP2r8aL/wY+/fW60fNX60n/ix93da +P2r8aL/xY+//tH7U+NE84Meej2n9qPGjecGPPT/vtX7U+NE84ceer2n9qPGj +ecOPPX/T+lHjR/OIH3s+P2r9qPGjecWPPb/T+lHjR/OMH3u+p/Wjxo/mHT/2 +/H/W+lHjR9/n+LH7cvl9/DnRj9130/hh60eNH/v197V+2PpR48d+v+s0ftj6 +YevH/rzbNH7Y+lHjxz6ep2n8qPHD1o8aPzp+/Kjxw9aPGj86P/yo8cPWjxo/ +On/8qPHD1o8aP1of/Kjxw9aPGj9aL/zY6zetHzV+tJ74sdf3pdaPGj9ab/zY +6/9a64etH+0Hfuz9mdaPGj/aL/zY+/dW60eNH+0nfuz9ndaPGj/ab/zY+z+t +HzV+NA/4sedjWj9q/Ghe8GPPz3utHzV+NE/4sedrWj9q/Gje8GPP37R+1PjR +POLHns+PWj9q/Ghe8WPP77R+1PjRPOPHnu9p/ajxo3nHjz3/n7V+1PjR9zl+ +7L5c/hX+XOjH7rtp/LD1o8aP/fr7Wj9s/ajxY7/fdRo/bP2w9WN/3m0aP2z9 +qPFjH8/TNH7U+GHrR40fHT9+1Phh60eNH50fftT4YetHjR+dP37U+GHrR40f +rQ9+1Phh60eNH60Xfuz1m9aPGj9aT/zY6/tS60eNH603fuz1f631w9aP9oOf +e3+m9aPGj/YLP/b+vdX6UeNH+4kfe3+n9aPGj/YbP/b+T+tHjR/NA37s+ZjW +jxo/mhf82PPzXutHjR/NE37s+ZrWjxo/mjf82PM3rR81fjSP+LHn86PWjxo/ +mlf82PM7rR81fjTP+LHne1o/avxo3vFjz/9nrR81fvR9jh+7L5ef5M+Bfuy+ +m8YPWz9q/Nivv6/1w9aPGj/2+12n8cPWD1s/9ufdpvHD1o8aP/bxPE3jR40f +tn7U+NHx40eNH7Z+1PjR+eFHjR+2ftT40fnjR40ftn7U+NH6+HuFbPyw9aPG +j9bL5/Kt9ZvWj9p/B+16+u/a1vq+1PpRex+R6+114bX+r7V+2PrRfvi9uvZn +Wj9q/Gi/8GPv31utHzV+tJ/4sfd3Wj9q/Gi/8WPv/7R+1PjRPODHno9p/ajx +o3nBjz0/77V+1PjRPOHHnq9p/ajxo3nDjz1/0/pR40fziB97Pj9q/ajxo3nF +jz2/0/pR40fzjB97vqf1o8aP5h0/9vx/1vpR40ff5/ix+3L5l5h7/dh9N40f +tn7U+LFff1/rh60fNX7s97tO44etH7Z+7M+7TeOHrR81fuzjeZrGjxo/bP2o +8aPjx48aP2z9qPGj88OPGj9s/ajxo/PHjxo/bP2o8aP1wY8aP2z9qPGj9cKP +vX7T+lHjR+uJH3t9X2r9qPGj9caPvf6vtX7Y+tF+4Mfen2n9qPGj/cKPvX9v +tX7U+NF+4sfe32n9qPGj/caPvf/T+lHjR/OAH3s+pvWjxo/mBT/2/LzX+lHj +R/OEH3u+pvWjxo/mDT/2/E3rR40fzSN+7Pn8qPWjxo/mFT/2/E7rR40fzTN+ +7Pme1o8aP5p3/Njz/1nrR40ffZ/jx+7L5V9kzvVj9900ftj6UePHfv19rR+2 +ftT4sd/vOo0ftn7Y+rE/7zaNH7Z+1Pixj+dpGj9q/LD1o8aPjh8/avyw9aPG +j84PP2r8sPWjxo/OHz9q/LD1o8aP1gc/avyw9aPGj9YLP/b6TetHjR+tJ37s +9X2p9aPGj9YbP/b6v9b6YetH+4Efe3+m9aPmZ/uFH3v/3mr9qPGj/cSPvb/T ++lHjR/uNH3v/p/Wjxo/mAT/2fEzrR40fzQt+7Pl5r/Wjxo/mCT/2fE3rR40f +zRt+7Pmb1o8aP5pH/Njz+VHrR40fzSt+7Pmd1o8aP5pn/NjzPa0fNX407/ix +5/+z1o8aP/o+x4/dl8tPMNf6sftuGj9s/ajxY7/+vtYPWz9q/Njvd53GD1s/ +bP3Yn3ebxg9bP2r82MfzNI0fNX7Y+lHjR8ePHzV+2PpR40fnhx81ftj6UeNH +548fNX7Y+lHjR+vj77W28cPWjxo/Wi9/L8Rav2n9qH0On+vpc5XW+r7U+lH7 +79hcb/9dwlr/11o/bP1oP/x7/bU/0/pR+z3qfvFz799brR81frSf+LH3d1o/ +avxov/Fj7/+0ftT40Tzgx56Paf2o8aN5wY89P++1ftT40Tzhx56vaf2o8aN5 +w489f9P6UeNH84gfez4/av2o8aN5xY89v9P6UeNH84wfe76n9aPGj+YdP/b8 +f9b6UeNH3+f4sfty+ReYY/3YfTeNH7Z+1PixX39f64etHzV+7Pe7TuOHrR+2 +fuzPu03jh60fNX7s43maxo8aP2z9qPGj48ePGj9s/ajxo/PDjxo/bP2o8aPz +x48aP2z9qPGj9cGPGj9s/ajxo/XCj71+0/pR40friR97fV9q/ajxo/XGj73+ +r7V+2PrRfuDH3p9p/ajxo/3iz93ev7daP2r8aD/xY+/vtH7U+NF+48fe/2n9 +qPGjecCPPR/T+lHjR/OCH3t+3mv9qPGjecKPPV/T+lHjR/OGH3v+pvWjxo/m +ET/2fH7U+lHjR/OKH3t+p/Wjxo/mGT/2fE/rR40fzTt+7Pn/rPWjxo++z/Fj +9+XyO5hb/dh9N40ftn7U+LFff1/rh60fNX7s97tO44etH7Z+7M+7TeOHrR81 +fuzjeZrGjxo/bP2o8aPjx48aP2z9qPGj88OPGj9s/ajxo/PHjxo/bP2o8aP1 +wY8aP2z9qPGj9cKPvX7T+lHjR+uJH3t9X2r9qPGj9caPvf6vtX7Y+tF+4Mfe +n2n9qPGj/cKPvX9vtX7U+NF+4sfe32n9qPGj/caPvf/T+lHjR/OAH3s+pvWj +xo/mBT/2/LzX+lHjR/OEH3u+pvWjxo/mDT/2/E3rR40fzSN+7Pn8qPWjxo/m +FT/2/E7rR40fzTN+7Pme1o8aP5p3/Njz/1nrR40ffZ/jx+7L5Z9nTvVj9900 +ftj6UePHfv19rR+2ftT4sd/vOo0ftn7Y+rE/7zaNH7Z+1Pixj+dpGj9q/LD1 +o8aPjh8/avyw9aPGj84PP2r8sPWjxo/OHz9q/LD1o8aP1gc/avyw9aPGj9YL +P/b6TetHjR+tJ37s9X2p9aPGj9YbP/b6v9b6YetH+4Efe3+m9aPGj/YLP/b+ +vdX6UfOz/cSPvb/T+lHjR/uNH3v/p/Wjxo/mAT/2fEzrR40fzQt+7Pl5r/Wj +xo/mCT/2fE3rR40fzRt+7Pmb1o8aP5pH/Njz+VHrR40fzSt+7Pmd1o8aP5pn +/NjzPa0fNX407/ix5/+z1o8aP/o+x4/dl8tvZS71Y/fdNH7Y+lHjx379fa0f +tn7U+LHf7zqNH7Z+2PqxP+82jR+2ftT4sY/naRo/avyw9aPGj44fP2r8sPWj +xo/ODz9q/LD1o8aPzh8/avyw9aPGj9YHP2r8sPWjxo/WCz/2+k3rR40frSd+ +7PV9qfWjxo/WGz/2+r/W+mHrR/uBH3t/pvWjxo/2Cz/2/r3V+lHz57T95Ofe +32n9qPGj/caPvf/T+lHjR/OAH3s+pvWjxo/mBT/2/LzX+lHjR/OEH3u+pvWj +xo/mDT/2/E3rR40fzSN+7Pn8qPWjxo/mFT/2/E7rR40fzTN+7Pme1o8aP5p3 +/Njz/1nrR40ffZ/jx+7L5ceYQ/3YfTeNH7Z+1PixX39f64etHzV+7Pe7TuOH +rR+2fuzPu03jh60fNX7s43maxo8aP2z9qPGj48ePGj9s/ajxo/PDjxo/bP2o +8aPzx48aP2z9qPGj9cGPGj9s/ajxo/XCj71+0/pR+3vmXE9/b9Ba35daP2qf +0+p6+9y9tf6vtX7Y+tF++O/W1v5M60ftfULul9d91/691fpR+9/Z7qffm2t/ +p/Wjxo/2Gz/2/k/rR40fzQN+7PmY1o8aP5oX/Njz817rR40fzRN+7Pma1o8a +P5o3/NjzN60fNX40j/ix5/Oj1o8aP5pX/NjzO60fNX40z/ix53taP2r8aN7x +Y8//Z60fNX70fY4fuy+X38zc6cfuu2n8sPWjxo/9+vtaP2z9qPFjv991Gj9s +/bD1Y3/ebRo/bP2o8WMfz9M0ftT4YetHjR8dP37U+GHrR40fnR9+1Phh60eN +H50/ftT4YetHjR+tD37U+GHrR40frRd+7PWb1o8aP1pP/Njr+1LrR40frTd+ +7PV/rfXD1o/2Az/2/kzrR40f7Rd+7P17q/Wjxo/2Ez/2/k7rR40f7Td+7P2f +1o8aP5oH/NjzMa0fNX40L/ix5+e91o8aP5on/NjzNa0fNX40b/ix529aP2r8 +aB7xY8/nR60fNX40r/ix53daP2r8aJ7xY8/3tH7U+NG848ee/89aP2r86Psc +P3ZfLj/KnOnH7rtp/LD1o8aP/fr7Wj9s/ajxY7/fdRo/bP2w9WN/3m0aP2z9 +qPFjH8/TNH7U+GHrR40fHT9+1Phh60eNH50fftT4YetHjR+dP37U+GHrR40f +rQ9+1Phh60eNH60Xfuz1m9aPGj9aT/zY6/tS60eNH603fuz1f631w9aP9gM/ +9v5M60eNH+0Xfuz9e6v1o8aP9hM/9v5O60fNz/YbP/b+T+tHjR/NA37s+ZjW +jxo/mhf82PPzXutHjR/NE37s+ZrWjxo/mjf82PM3rR81fjSP+LHn86PWjxo/ +mlf82PM7rR81fjTP+LHne1o/avxo3vFjz/9nrR81fvR9jh+7L5cfYa70Y/fd +NH7Y+lHjx379fa0ftn7U+LHf7zqNH7Z+2PqxP+82jR+2ftT4sY/naRo/avyw +9aPGj44fP2r8sPWjxo/ODz9q/LD1o8aPzh8/avyw9aPGj9YHP2r8sPWjxo/W +Cz/2+k3rR40frae/t3qt70utH7W/J8j19vc+rPV/rfXD1o/2w+cmrf2Z1o/a +f6fmfvnvDtb+vdX6UXud1/307+3X/k7rR+33pPvtuq/9n9aPGj+aB/zY8zGt +HzV+NC/4sefnvdaPGj+aJ/zY8zWtHzV+NG/4sedvWj9q/Gge8WPP50etHzV+ +NK/4sed3Wj9q/Gie8WPP97R+1PjRvOPHnv/PWj9q/Oj7HD92Xy4/zBzpx+67 +afyw9aPGj/36+1o/bP2o8WO/33UaP2z9sPVjf95tGj9s/ajxYx/P0zR+1Phh +60eNHx0/ftT4YetHjR+dH37U+GHrR40fnT9+1Phh60eNH60PftT4YetHjR+t +F37s9ZvWjxo/Wk/82Ov7UutHjR+tN37s9X+t9cPWj/YDP/b+TOtHjR/tF37s +/Xur9aPGj/YTP/b+TutHjR/tN3/u9/5P60eNH80Dfuz5mNaPGj+aF/zY8/Ne +60eNH80Tfuz5mtaPGj+aN/zY8zetHzV+NI/4sefzo9aPGj+aV/zY8zutHzV+ +NM/4sed7Wj9q/Gje8WPP/2etHzV+9H2OH7svl1/P3OjH7rtp/LD1o8aP/fr7 +Wj9s/ajxY7/fdRo/bP2w9WN/3m0aP2z9qPFjH8/TNH7U+GHrR40fHT9+1Phh +60eNH50fftT4YetHjR+dP37U+GHrR40frQ9+1Phh60eNH60Xfuz1m9aPGj9a +T/zY6/tS60eNH603fuz1f631w9aP9gM/9v5M60eNH+0Xfuz9e6v1o8aP9hM/ +9v5O60eNH+03fuz9n9aPGj+aB/zY8zGtHzV+NC/4sefnvdaPGj+aJ/zY8zWt +HzV+NG/4sedvWj9q/Gge8WPP50etHzV+NK/4sed3Wj9q/Gie8WPP97R+1PjR +vOPHnv/PWj9q/Oj7HD92Xy4/xJzox+67afyw9aPGj/36+1o/bP2o8WO/33Ua +P2z9sPVjf95tGj9s/ajxYx/P0zR+1Phh60eNHx0/ftT4YetHjR+dH37U+GHr +R40fnT9+1Phh60eNH60PftT4YetHjR+tF37s9ZvWjxo/Wk/82Ov7UutH7e+p +dr39vaNr/V9r/bD1o/3Aj70/0/pR40f75XMv1v691fpR++8M3E/vG137O60f +tX9P73779y5r/6f1o3YfnAf82PMxrR81fjQv+LHn573Wjxo/mif82PM1rR81 +fjRv+LHnb1o/avxoHvFjz+dHrR81fjSv+LHnd1o/avxonvFjz/e0ftT40bzj +x57/z1o/avzo+xw/dl8uv5a50I/dd9P4YetHjR/79fe1ftj6UePHfr/rNH7Y ++mHrx/682zR+2PpR48c+nqdp/Kjxw9aPGj86fvyo8cPWjxo/Oj/8qPHD1o8a +Pzp//Kjxw9aPGj9aH/yo8cPWjxo/Wi/82Os3rR81frSe+LHX96XWjxo/Wm/8 +2Ov/WuuHrR/tB37s/ZnWjxo/2i/82Pv3VutHjR/tJ37s/Z3Wjxo/2m/82Ps/ +rR813xPNAz/3fEzrR40fzQt+7Pl5r/Wjxo/mCT/2fE3rR40fzRt+7Pmb1o8a +P5pH/Njz+VHrR40fzSt+7Pmd1o8aP5pn/NjzPa0fNX407/ix5/+z1o8aP/o+ +x4/dl8sPMgf6sftuGj9s/ajxY7/+vtYPWz9q/Njvd53GD1s/bP3Yn3ebxg9b +P2r82MfzNI0fNX7Y+lHjR8ePHzV+2PpR40fnhx81ftj6UeNH548fNX7Y+lHj +R+uDHzV+2PpR40frhR97/ab1o8aP1hM/9vq+1PpR40frjR97/V9r/bD1o/3A +j70/0/pR40f7hR97/95q/ajxo/3Ej72/0/pR40f7jR97/6f1o8aP5oHvjT0f +0/pR40fzgh97ft5r/ajxo3nCjz1f0/pR40fzhh97/qb1o8aP5hE/9nx+1PpR +40fzih97fqf1o8aP5hk/9nxP60eNH807fuz5/6z1o8aPvs/xY/fl8i32XT92 +303jh60fNX7s19/X+mHrR40f+/2u0/hh64etH/vzbtP4YetHjR/7eJ6m8aPG +D1s/avzo+PGjxg9bP2r86Pzwo8YPWz9q/Oj88aPGD1s/avxoffCjxg9bP2r8 +aL3wY6/ftH7U+NF64sde35daP2r8aL3xY6//a60ftn60H/ix92daP2r8aL98 +7urav7daP2qfc+F++u+W1/5O60ftfaLut/f9rP2f1o/av2dxHvzv5jUf0/pR +40fzgh97ft5r/ajxo3nCjz1f0/pR40fzhh97/qb1o8aP5hE/9nx+1PpR40fz +ih97fqf1o8aP5hk/9nxP60eNH807fuz5/6z1o8aPvs/xY/fl8qvZZ/3YfTeN +H7Z+1PixX39f64etHzV+7Pe7TuOHrR+2fuzPu03jh60fNX7s43maxo8aP2z9 +qPGj48ePGj9s/ajxo/PDjxo/bP2o8aPzx48aP2z9qPGj9cGPGj9s/ajxo/XC +j71+0/pR40friR97fV9q/ajxo/XGj73+r7V+2PrRfuDH3p9p/ajxo/3Cj71/ +b7V+1PjRfuLH3t9p/ajxo/3Gj73/0/pR40fzgB97Pqb1o+Zn84Ife37ea/2o +8aN5wo89X9P6UeNH84Yfe/6m9aPGj+YRP/Z8ftT6UeNH84ofe36n9aPGj+YZ +P/Z8T+tHjR/NO37s+f+s9aPGj77P8WP35fIr2Vf92H03jR+2ftT4sV9/X+uH +rR81fuz3u07jh60ftn7sz7tN44etHzV+7ON5msaPGj9s/ajxo+PHjxo/bP2o +8aPzw48aP2z9qPGj88ePGj9s/ajxo/XBjxo/bP2o8aP1wo+9ftP6UeNH64kf +e31fav2o8aP1xo+9/q+1ftj60X7gx96faf2o8aP9wo+9f2+1ftT40X7ix97f +af2o8aP9xo+9/9P6UeNH84Afez6m9aPme6Z54eeen/daP2r8aJ7wY8/XtH7U ++NG84ceev2n9qPGjecSPPZ8ftX7U+NG84see32n9qPGjecaPPd/T+lHjR/OO +H3v+P2v9qPGj73P82H25/AD7qB+776bxw9aPGj/26+9r/bD1o8aP/X7Xafyw +9cPWj/15t2n8sPWjxo99PE/T+FHjh60fNX50/PhR44etHzV+dH74UeOHrR81 +fnT++FHjh60fNX60PvhR44etHzV+tF74sddvWj9q/Gg98WOv70utHzV+tN74 +sdf/tdYPWz/aD/zY+zOtHzV+tF/+3p+1f2+1ftQ+Z9X9xI+9v9P6UfvvlN1v +/Nj7P60ftff5OA9et13zMa0ftf+d7Lz4vbfm573Wjxo/mif82PM1rR81fjRv ++LHnb1o/avxoHvFjz+dHrR81fjSv+LHnd1o/avxonvFjz/e0ftT40bzjx57/ +z1o/avzo+xw/dl8u38++6cfuu2n8sPWjxo/9+vtaP2z9qPFjv991Gj9s/bD1 +Y3/ebRo/bP2o8WMfz9M0ftT4YetHjR8dP37U+GHrR40fnR9+1Phh60eNH50/ +ftT4YetHjR+tD37U+GHrR40frRd+7PWb1o8aP1pP/Njr+1LrR40frTd+7PV/ +rfXD1o/2Az/2/kzrR40f7Rd+7P17q/Wjxo/2Ez/2/k7rR40f7Td+7P2f1o8a +P5oH/NjzMa0fNX40L/ix5+e91o8aP5on/NjzNa0fNX40b/ix529aP2r8aB7x +Y8/nR60fNX40r/ix53daP2r8aJ7xY8/3tH7U+NG848ee/89aP2r86PscP3Zf +Lv84+6Qfu++m8cPWjxo/9uvva/2w9aPGj/1+12n8sPXD1o/9ebdp/LD1o8aP +fTxP0/hR44etHzV+dPz4UeOHrR81fnR++FHjh60fNX50/vhR44etHzV+tD74 +UeOHrR81frRe+LHXb1o/avxoPfFjr+9LrR81frTe+LHX/7XWD1s/2g/82Psz +rR81frRf+LH3763Wjxo/2k/82Ps7rR81frTf+LH3f1o/avxoHvBjz8e0ftT4 +0bzgx56f91o/an42T/ix52taP2r8aN7wY8/ftH7U+NE84seez49aP2r8aF7x +Y8/vtH7U+NE848ee72n9qPGjecePPf+ftX7U+NH3OX7svly+j33Rj9130/hh +60eNH/v197V+2PpR48d+v+s0ftj6YevH/rzbNH7Y+lHjxz6ep2n8qPHD1o8a +Pzp+/Kjxw9aPGj86P/yo8cPWjxo/On/8qPHD1o8aP1of/Kjxw9aPGj9aL/zY +6zetHzV+tJ74sdf3pdaPGj9ab/zY6/9a64etH+0Hfuz9mdaPGj/aL3/v9Nq/ +t1o/an/Pj/uJH3t/p/Wjxo/2Gz/2/k/rR+2/M3Me/HcDaz6m9aP2Oq3z4t+7 +r/l5r/Wj9nvOeXLf1nxN60eNH80bfuz5m9aPGj+aR/zY8/lR60eNH80rfuz5 +ndaPGj+aZ/zY8z2tHzV+NO/4sef/s9aPGj/6PseP3ZfL97IP+rH7bho/bP2o +8WO//r7WD1s/avzY73edxg9bP2z92J93m8YPWz9q/NjH8zSNHzV+2PpR40fH +jx81ftj6UeNH54cfNX7Y+lHjR+ePHzV+2PpR40frgx81ftj6UeNH64Ufe/2m +9aPGj9YTP/b6vtT6UeNH640fe/1fa/2w9aP9wI+9P9P6UeNH+4Ufe//eav2o +8aP9xI+9v9P6UeNH+40fe/+n9aPGj+YBP/Z8TOtHjR/NC37s+Xmv9aPGj+aJ +7609X9P6UeNH84Yfe/6m9aPGj+YRP/Z8ftT6UeNH84ofe36n9aPGj+YZP/Z8 +T+tHjR/NO37s+f+s9aPGj77P8WP35fLLWXf92H03jR+2ftT4sV9/X+uHrR81 +fuz3u07jh60ftn7sz7tN44etHzV+7ON5msaPGj9s/ajxo+PHjxo/bP2o8aPz +w48aP2z9qPGj88ePGj9s/ajxo/XBjxo/bP2o8aP1wo+9ftP6UeNH64kfe31f +av2o8aP1xo+9/q+1ftj60X7gx96faf2o8aP9wo+9f2+1ftT40X7ix97faf2o +8aP9xo+9/9P6UeNH84Afez6m9aPGj+YFP/b8vNf6UeNH84Qfe76m9aPGj+YN +P/b8TetHjR/NI37s+fyo9aPGj+YVP/b8TutHjR/NM37s+Z7Wjxo/mnf82PP/ +WetHjR99n+PH7svll7HO+rH7bho/bP2o8WO//r7WD1s/avzY73edxg9bP2z9 +2J93m8YPWz9q/NjH8zSNHzV+2PpR40fHjx81ftj6UeNH54cfNX7Y+lHjR+eP +HzV+2PpR40frgx81ftj6UeNH64Ufe/2m9aPGj9YTP/b6vtT6UeNH640fe/1f +a/2w9aP9wI+9P9P6UeNH+4Ufe//eav2o8aP9xI+9v9P6UeNH+40fe/+n9aPG +j+YBP/Z8TOtH7b+rcV68T3rNz3utH7XXpZwn/55xzde0ftTuq/OGH3v+pvWj +xo/mET/2fH7U+lHjR/OKH3t+p/Wjxo/mGT/2fE/rR40fzTt+7Pn/rPWjxo++ +z/Fj9+Xy3ayrfuy+m8YPWz9q/Nivv6/1w9aPGj/2+12n8cPWD1s/9ufdpvHD +1o8aP/bxPE3jR40ftn7U+NHx40eNH7Z+1PjR+eFHjR+2ftT40fnjR40ftn7U ++NH64EeNH7Z+1PjReuHHXr9p/ajxo/XEj72+L7V+1PjReuPHXv/XWj9s/Wg/ +8GPvz7R+1PjRfuHH3r+3Wj9q/Gg/8WPv77R+1PjRfuPH3v9p/ajxo3nAjz0f +0/pR40fzgh97ft5r/ajxo3nCjz1f0/pR8z3XvPFzz9+0ftT40Tzix57Pj1o/ +avxoXvFjz++0ftT40Tzjx57vaf2o8aN5x489/5+1ftT40fc5fuy+XH4p66gf +u++m8cPWjxo/9uvva/2w9aPGj/1+12n8sPXD1o/9ebdp/LD1o8aPfTxP0/hR +44etHzV+dPz4UeOHrR81fnR++FHjh60fNX50/vhR44etHzV+tD74UeOHrR81 +frRe+LHXb1o/avxoPfFjr+9LrR81frTe+LHX/7XWD1s/2g/82PszrR81frRf ++LH3763Wjxo/2k/82Ps7rR81frTf+LH3f1o/avxoHvBjz8e0ftT40bzgx56f +91o/avxonvBjz9e0ftT40bzxvbfnb1o/avxoHvFjz+dHrR81fjSv+LHnd1o/ +avxonvFjz/e0ftT40bzjx57/z1o/avzo+xw/dl8u38W66cfuu2n8sPWjxo/9 ++vtaP2z9qPFjv991Gj9s/bD1Y3/ebRo/bP2o8WMfz9M0ftT4YetHjR8dP37U ++GHrR40fnR9+1Phh60eNH50/ftT4YetHjR+tD37U+GHrR40frRd+7PWb1o8a +P1pP/Njr+1LrR40frTd+7PV/rfXD1o/2Az/2/kzrR40f7Rd+7P17q/Wjxo/2 +Ez/2/k7rR40f7Td+7P2f1o8aP5oH/NjzMa0fNX40L/ix5+e91o8aP5on/Njz +Na0fNX40b/ix529aP2r8aB7xY8/nR60fNX40r/ix53daP2r8aJ7xY8/3tH7U ++NG848ee/89aP2r86PscP3ZfLr+EddKP3XfT+GHrR40f+/X3tX7Y+lHjx36/ +6zR+2Pph68f+vNs0ftj6UePHPp6nafyo8cPWjxo/On78qPHD1o8aPzo//Kjx +w9aPGj86f/yo8cPWjxo/Wh/8qPHD1o8aP1ov/NjrN60fNX60nvix1/el1o8a +P1pv/Njr/1rrh60f7Qd+7P2Z1o8aP9ov/Nj791brR40f7Sd+7P2d1o8aP9pv +/Nj7P60fNX40D/ix52NaP2r8aF7wY8/Pe60fNX40T/ix52taP2r8aN7wY8/f +tH7U/Gwe8WPP50etHzV+NK/4sed3Wj9q/Gie8WPP97R+1PjRvOPHnv/PWj9q +/LD1Y/fl8p2si37svpvGD1s/avzYr7+v9cPWjxo/9vtdp/HD1g9bP/bn3abx +w9aPGj/28TxN40eNH7Z+1PjR8eNHjR+2ftT40fnhR40ftn7U+NH540eNH7Z+ +1PjR+uBHjR+2ftT40Xrhx16/af2o8aP1xI+9vi+1ftT40Xrjx17/11o/bP1o +P/Bj78+0ftT40X7hx96/t1o/avxoP/Fj7++0ftT40X7jx97/af2o8aN5wI89 +H9P6UePH/9fVHaPGv95nFJ8yBEMghIAJhBAIhKzBhQsXLlK6TJFFaR+q1arR +JgQCNSpUKEtIc8758siNOCDPzO99H+ZjX9/7d3vBj93PV60fNX60J/zYfV3r +R40f7Q0/dn/X+lHzPdke+bn7/K71o8aP9oofu99r/ajxoz3jx+77Wj9q/Gjv ++LH7/6n1o8aPvs/xY/vx+C/OQT+2n67xw9aPGj/2959r/bD1o8aPfb2Xa/yw +9cPWj32/12v8sPWjxo/9PG/X+FHjh60fNX70+fGjxg9bP2r86Pnwo8YPWz9q +/Oj58aPGD1s/avzofPCjxg9bP2r86LzwY8/vWj9q/Og88WPP973Wjxo/Om/8 +2PP/qPXD1o/uAz/2fq71o8aP7gs/9v4+a/2o8aP7xI+932v9qPGj+8aPvf9r +/ajxoz3gx+7jWj9q/Ggv+LH7+ar1o8aP9oQfu69r/ajxo73hx+7vWj9q/GiP +fG/uPr9r/ajxo73ix+73Wj9q/GjP+LH7vtaPGj/aO37s/n9q/ajxo+9z/Nh+ +PP6T59aP7adr/LD1o8aP/f3nWj9s/ajxY1/v5Ro/bP2w9WPf7/UaP2z9qPFj +P8/bNX7U+GHrR40ffX78qPHD1o8aP3o+/Kjxw9aPGj96fvyo8cPWjxo/Oh/8 +qPHD1o8aPzov/Njzu9aPGj86T/zY832v9aPGj84bP/b8P2r9sPWj+8CPvZ9r +/ajxo/vCj72/z1o/avzoPvFj7/daP2r86L7xY+//Wj9q/GgP+LH7uNaPGj/a +C37sfr5q/ajxoz3hx+7rWj9q/Ghv+LH7u9aPGj/aI37sPr9r/ajxo73ix+73 +Wj9q/GjP+LH7vtaPGj/aO37s/n9q/ajxo+9z/Nh+PP6D59SP7adr/LD1o8aP +/f3nWj9s/ajxY1/v5Ro/bP2w9WPf7/UaP2z9qPFjP8/bNX7U+GHrR40ffX78 +qPHD1o8aP3o+/Kjxw9aPGj96fvyo8cPWjxo/Oh/8qPHD1o8aPzov/Njzu9aP +Gj86T/zY832v9aPGj84bP/b8P2r9sPWj+8CPvZ9r/ajxo/vCj72/z1o/avzo +PvFj7/daP2r86L7xY+//Wj9q/GgP+LH7uNaPGj/aC37sfr5q/ajxoz3hx+7r +Wj9q/Ghv+LH7u9aPGj/aI37sPr9r/aj52V7xY/d7rR81frRn/Nh9X+tHjR/t +HT92/z+1ftT40fc5fmw/Hv/Oc+nH9tM1ftj6UePH/v5zrR+2ftT4sa/3co0f +tn7Y+rHv93qNH7Z+1Pixn+ftGj9q/LD1o8aPPj9+1Phh60eNHz0fftT4YetH +jR89P37U+GHrR40fnQ9+1Phh60eNH50Xfuz5XetHjR+dJ37s+b7X+lHjR+eN +H3v+H7V+2PrRfeDH3s+1ftT40X3hx97fZ60fNX50n/ix93utHzV+dN/4sfd/ +rR81frQH/Nh9XOtHjR/tBT92P1+1ftT40Z7wY/d1rR81frQ3/Nj9XetHjR/t +ET92n9+1ftR8z7ZXfu5+r/Wjxo/2jB+772v9qPGjvePH7v+n1o8aP/o+x4/t +x+PfeA792H66xg9bP2r82N9/rvXD1o8aP/b1Xq7xw9YPWz/2/V6v8cPWjxo/ +9vO8XeNHjR+2ftT40efHjxo/bP2o8aPnw48aP2z9qPGj58ePGj9s/ajxo/PB +jxo/bP2o8aPzwo89v2v9qPGj88SPPd/3Wj9q/Oi88WPP/6PWD1s/ug/82Pu5 +1o8aP7ov/Nj7+6z1o8aP7hM/9n6v9aPGj+4bP/b+r/Wjxo/2gB+7j2v9qPGj +veDH7uer1o8aP9qTf27q7OtaP2r/nAr35j93PPu71o/av8/TPfr37cw+v2v9 +qP3rJO7V/9w7+73Wjxo/2jN+7L6v9aPGj/aOH7v/n1o/avzo+xw/th+Pf+Vz +68f20zV+2PpR48f+/nOtH7Z+1Pixr/dyjR+2ftj6se/3eo0ftn7U+LGf5+0a +P2r8sPWjxo8+P37U+GHrR40fPR9+1Phh60eNHz0/ftT4YetHjR+dD37U+GHr +R40fnRd+7Pld60eNH50nfuz5vtf6UeNH540fe/4ftX7Y+tF94Mfez7V+1PjR +feHH3t9nrR81fnSf+LH3e60fNX503/ix93+tHzV+tAf82H1c60eNH+0FP3Y/ +X7V+1PjRnvBj93WtHzV+tDf82P1d60eNH+0RP3af37V+1PjRXvFj93utHzV+ +tGf82H1f60eNH+0dP3b/P7V+1PjR9zl+bD8e/8Ln1I/tp2v8sPWjxo/9/eda +P2z9qPFjX+/lGj9s/bD1Y9/v9Ro/bP2o8WM/z9s1ftT4YetHjR99fvyo8cPW +jxo/ej78qPHD1o8aP3p+/Kjxw9aPGj86H/yo8cPWjxo/Oi/82PO71o8aPzpP +/Njzfa/1o8aPzhs/9vw/av2w9aP7wI+9n2v9qPGj+8KPvb/PWj9q/Og+8WPv +91o/avzovvFj7/9aP2r8aA/4sfu41o8aP9oLfux+vmr9qPGjPeHH7utaP2r8 +aG/4sfu71o8aP9ojfuw+v2v9qPGjveLH7vdaP2p+tmf82H1f60eNH+0dP3b/ +P7V+1PjR9zl+bD8ef+Rz6cf20zV+2PpR48f+/nOtH7Z+1Pixr/dyjR+2ftj6 +se/3eo0ftn7U+LGf5+0aP2r8sPWjxo8+P37U+GHrR40fPR9+1Phh60eNHz0/ +ftT4YetHjR+dD37U+GHrR40fnRd+7Pld60eNH50nfuz5vtf6UeNH540fe/4f +tX7Y+tF94Mfez7V+1PjRfeHH3t9nrR81fnSf+LH3e60fNX503/ix93+tHzV+ +tAf82H1c60eNH+0FP3Y/X7V+1PjRnvBj93WtHzV+tDf82P1d60eNH+0RP3af +37V+1PjRXvFj93utHzXf0+2Zn7vva/2o8aO948fu/6fWjxo/+j7Hj+3H45/5 +HPqx/XSNH7Z+1Pixv/9c64etHzV+7Ou9XOOHrR+2fuz7vV7jh60fNX7s53m7 +xo8aP2z9qPGjz48fNX7Y+lHjR8+HHzV+2PpR40fPjx81ftj6UeNH54MfNX7Y ++lHjR+eFH3t+1/pR40fniR97vu+1ftT40Xnjx57/R60ftn50H/ix93OtHzV+ +dF/4sff3WetHjR/dJ37s/V7rR40f3Td+7P1f60eNH+0BP3Yf1/pR40d7wY/d +z1etHzV+tCf82H1d60eNH+0NP3Z/1/pR40d7xI/d53etHzV+tFf82P1e60eN +H+2Z7+3d97V+1PjR3vFj9/9T60eNH32f48f24/FPvK9+bD9d44etHzV+7O8/ +1/ph60eNH/t6L9f4YeuHrR/7fq/X+GHrR40f+3nervGjxg9bP2r86PPjR40f +tn7U+NHz4UeNH7Z+1PjR8+NHjR+2ftT40fngR40ftn7U+NF54cee37V+1PjR +eeLHnu97rR81fnTe+LHn/1Hrh60f3Qd+7P1c60eNH90Xfuz9fdb6UeNH94kf +e7/X+lHjR/eNH3v/1/pR40d7wI/dx7V+1PjRXvBj9/NV60eNH+0JP3Zf1/pR +40d7w4/d37V+1PjRHvFj9/ld60eNH+0VP3a/1/pR40d7xo/d97V+1PjR3vFj +9/9T60eNH32f48f24/GPvI9+bD9d44etHzV+7O8/1/ph60eNH/t6L9f4YeuH +rR/7fq/X+GHrR40f+3nervGjxg9bP2r86PPjR40ftn7U+NHz4UeNH7Z+1PjR +8+NHjR+2ftT40fngR40ftn7U+NF54cee37V+1PjReeLHnu97rR81fnTe+LHn +/1Hrh60f3Qd+7P1c60eNH90Xfuz9fdb6UeNH94kfe7/X+lHjR/eNH3v/1/pR +40d7wI/dx7V+1PjRXvBj9/NV60eNH+0JP3Zf1/pR40d7w4/d37V+1PjRHvFj +9/ld60eNH+0VP3a/1/pR40d7xo/d97V+1Pxs7/ix+/+p9aPGj77P8WP78fgH +Xlc/tp+u8cPWjxo/9vefa/2w9aPGj329l2v8sPXD1o99v9dr/LD1o8aP/Txv +1/hR44etHzV+9Pnxo8YPWz9q/Oj58KPGD1s/avzo+fGjxg9bP2r86Hzwo8YP +Wz9q/Oi88GPP71o/avzoPPFjz/e91o8aPzpv/Njz/6j1w9aP7gM/9n6u9aPG +j+4LP/b+Pmv9qPGj+8SPvd9r/ajxo/vGj73/a/2o8aM94Mfu41o/avxoL/ix ++/mq9aPGj/aEH7uva/2o8aO94cfu71o/avxoj/ix+/yu9aPGj/aKH7vfa/2o +8aM948fu+1o/ar7n2zs/d/8/tX7U+NH3OX5sPx5/4HX0Y/vpGj9s/ajxY3// +udYPWz9q/NjXe7nGD1s/bP3Y93u9xg9bP2r82M/zdo0fNX7Y+lHjR58fP2r8 +sPWjxo+eDz9q/LD1o8aPnh8/avyw9aPGj84HP2r8sPWjxo/OCz/2/K71o8aP +zhM/9nzfa/2o8aPzxo89/49aP2z96D7wY+/nWj9q/Oi+8GPv77PWjxo/uk/8 +2Pu91o8aP7pv/Nj7v9aPGj/aA37sPq71o8aP9oIfu5+vWj9q/GhP+LH7utaP +Gj/aG37s/q71o8aP9ogfu8/vWj9q/Giv+LH7vdaPGj/aM37svq/1o8aP9s73 +/u7/p9aPGj/6PseP7cfj7/n36cf20zV+2PpR48f+/nOtH7Z+1Pixr/dyjR+2 +ftj6se/3eo0ftn7U+LGf5+0aP2r8sPWjxo8+P37U+GHrR40fPR9+1Phh60eN +Hz0/ftT4YetHjR+dD37U+GHrR40fnRd+7Pld60eNH50nfuz5vtf6UeNH540f +e/4ftX7Y+tF94Mfez7V+1PjRfeHH3t9nrR81fnSf+LH3e60fNX503/ix93+t +HzV+tAf82H1c60eNH+0FP3Y/X7V+1PjRnvBj93WtHzV+tDf82P1d60eNH+0R +P3af37V+1PjRXvFj93utHzV+tGf82H1f60eNH+0dP3b/P7V+1PjR9zl+bD8e +f8fv6cf20zV+2PpR48f+/nOtH7Z+1Pixr/dyjR+2ftj6se/3eo0ftn7U+LGf +5+0aP2r8sPWjxo8+P37U+GHrR40fPR9+1Phh60eNHz0/ftT4YetHjR+dD37U ++GHrR40fnRd+7Pld60eNH50nfuz5vtf6UeNH540fe/4ftX7Y+tF94Mfez7V+ +1PjRfeHH3t9nrR81fnSf+LH3e60fNX503/ix93+tHzV+tAf82H1c60eNH+0F +P3Y/X7V+1PjRnvBj93WtHzV+tDf82P1d60eNH+0RP3af37V+1PjRXvFj93ut +HzV+tGf82H1f60eNH+0dP3b/P7V+1Pzs+xw/tv3X/+XH9tM1ftj6UePH/v5z +rR+2ftT4sa/3co0ftn7Y+rHv93qNH7Z+1Pixn+ftGj9q/LD1o8aPPj9+1Phh +60eNHz0fftT4YetHjR89P37U+GHrR40fnQ9+1Phh60eNH50Xfuz5XetHjR+d +J37s+b7X+lHjR+eNH3v+H7V+2PrRfeDH3s+1ftT40X3hx97fZ60fNX50n/ix +93utHzV+dN/4sfd/rR81ftj6UeOHrR81ftj6UeOHrR81ftj6UeOHrR81ftj/ +++v/x1s/7P/59f+zpx+2ftS//lzzv/36c2r/9uvPHdSP+tefC/Lfv/45b/2w +//rrn8P466+/r/Yvv/4+qb/8+t+9//zrf8f486+/LvWnX/8940+/3Pj98/8B +VePpNQ== + "]], {}}, + Axes->{False, False}, + AxesLabel->{None, None}, + AxesOrigin->{Automatic, Automatic}, + DisplayFunction->Identity, + Frame->True, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + ImagePadding->All, + ImageSize->{759., Automatic}, + Method->{ + "GridLinesInFront" -> True, "ScalingFunctions" -> None, + "TransparentPolygonMesh" -> True, "AxesInFront" -> True}, + PlotRange->{All, All}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.02]}}, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843297056651496*^9, 3.843297127776539*^9}, { + 3.843297184282814*^9, 3.84329724441464*^9}, 3.843297304799564*^9, { + 3.843297367596957*^9, 3.843297372568696*^9}, 3.843297463443678*^9, + 3.8432974990409603`*^9, 3.843297649201621*^9, {3.84329853373332*^9, + 3.843298540787211*^9}, 3.843298676395097*^9, 3.8432987369295473`*^9, { + 3.8432988781939793`*^9, 3.843298887412931*^9}, {3.843299047691544*^9, + 3.843299075084392*^9}, 3.843299107422085*^9, {3.843299159265253*^9, + 3.843299237755205*^9}, 3.843299293261396*^9, 3.84329959492876*^9, { + 3.843300664940074*^9, 3.843300684102524*^9}}, + CellLabel-> + "Out[228]=",ExpressionUUID->"7ad32301-6347-4a43-8055-9158b827767a"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"Plot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ + RowBox[{"Arg", "@", + RowBox[{ + RowBox[{"RFC", "[", "0", "]"}], "[", + RowBox[{"\[Theta]", "+", + RowBox[{"\[ImaginaryI]", " ", + SuperscriptBox["10", + RowBox[{"-", "7"}]]}]}], "]"}]}], "/.", + RowBox[{"AH", "->", "0"}]}], "/.", + RowBox[{"{", RowBox[{ - RowBox[{"ruleAH", "[", - RowBox[{"h", "[", "8", "]"}], "]"}], "/.", - RowBox[{"m8", "[", - RowBox[{"[", "2", "]"}], "]"}]}]}], "}"}], "]"}], "/.", - RowBox[{ - RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], "]"}]], "Input", - CellChangeTimes->{{3.842336862881982*^9, 3.842336866191779*^9}, { - 3.8423369010084476`*^9, 3.84233703955632*^9}, {3.842338047829585*^9, - 3.8423380779341507`*^9}, {3.84233902963297*^9, 3.842339034487693*^9}, { - 3.842341912687029*^9, 3.8423419130620003`*^9}, {3.842350596340082*^9, - 3.842350647444791*^9}, {3.8424119721274652`*^9, 3.8424119777670403`*^9}}, - CellLabel->"In[79]:=",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], + RowBox[{"B", "->", "1"}], ",", + RowBox[{"\[Theta]c", "->", "1"}], ",", + RowBox[{"AL", "->", "1"}]}], "}"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"\[Theta]", ",", "0.9", ",", "1.1"}], "}"}], ",", + RowBox[{"WorkingPrecision", "\[Rule]", "200"}], ",", + RowBox[{"PlotPoints", "->", "50"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843299500889539*^9, 3.8432995803134823`*^9}, { + 3.843299633923111*^9, 3.8432997605808477`*^9}, {3.843300719775272*^9, + 3.8433007550550137`*^9}}, + CellLabel-> + "In[239]:=",ExpressionUUID->"95c3ad10-59a3-4496-bbd9-ec9966e153e1"], Cell[BoxData[ - GraphicsBox[{{{ - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{1., 0.2603972077028309}, {1., 0.28039720770283094`}}], - LineBox[{{1., 0.28039720770283094`}, {1., 0.30039720770283096`}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{2., 0.10067964359302219`}, {2., 0.12067964359302219`}}], - LineBox[{{2., 0.12067964359302219`}, {2., 0.14067964359302218`}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{3., 0.07522818918390596}, {3., 0.09522818918390596}}], - LineBox[{{3., 0.09522818918390596}, {3., 0.11522818918390597`}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{4., 0.0812716989737421}, {4., 0.10127169897374211`}}], - LineBox[{{4., 0.10127169897374211`}, {4., 0.12127169897374211`}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{5., -0.019936679981071164`}, {5., - 0.00006332001892883632}}], - LineBox[{{5., 0.00006332001892883632}, {5., - 0.020063320018928837`}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{6., 0.011991158651098583`}, {6., 0.031991158651098583`}}], - LineBox[{{6., 0.031991158651098583`}, {6., 0.05199115865109859}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{7., 0.02017795227700005}, {7., 0.04017795227700005}}], - LineBox[{{7., 0.04017795227700005}, {7., 0.060177952277000055`}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{8., 0.02028645487317184}, {8., 0.04028645487317184}}], - LineBox[{{8., 0.04028645487317184}, {8., 0.060286454873171844`}}]}}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{{}, { - LineBox[{{9., 0.01901264771601154}, {9., 0.03901264771601154}}], - LineBox[{{9., 0.03901264771601154}, {9., 0.05901264771601154}}]}}, - Antialiasing->False]}}, { - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{1., 0.30039720770283096`}, - Offset[{3, 0}, {1., 0.30039720770283096`}]}, {{1., - 0.30039720770283096`}, - Offset[{-3, 0}, {1., 0.30039720770283096`}]}, {{1., - 0.2603972077028309}, Offset[{3, 0}, {1., 0.2603972077028309}]}, {{ - 1., 0.2603972077028309}, - Offset[{-3, 0}, {1., 0.2603972077028309}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{2., 0.14067964359302218`}, - Offset[{3, 0}, {2., 0.14067964359302218`}]}, {{2., - 0.14067964359302218`}, - Offset[{-3, 0}, {2., 0.14067964359302218`}]}, {{2., - 0.10067964359302219`}, - Offset[{3, 0}, {2., 0.10067964359302219`}]}, {{2., - 0.10067964359302219`}, - Offset[{-3, 0}, {2., 0.10067964359302219`}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{3., 0.11522818918390597`}, - Offset[{3, 0}, {3., 0.11522818918390597`}]}, {{3., - 0.11522818918390597`}, - Offset[{-3, 0}, {3., 0.11522818918390597`}]}, {{3., - 0.07522818918390596}, - Offset[{3, 0}, {3., 0.07522818918390596}]}, {{3., - 0.07522818918390596}, - Offset[{-3, 0}, {3., 0.07522818918390596}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{4., 0.12127169897374211`}, - Offset[{3, 0}, {4., 0.12127169897374211`}]}, {{4., - 0.12127169897374211`}, - Offset[{-3, 0}, {4., 0.12127169897374211`}]}, {{4., - 0.0812716989737421}, Offset[{3, 0}, {4., 0.0812716989737421}]}, {{ - 4., 0.0812716989737421}, - Offset[{-3, 0}, {4., 0.0812716989737421}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{5., 0.020063320018928837`}, - Offset[{3, 0}, {5., 0.020063320018928837`}]}, {{5., - 0.020063320018928837`}, - Offset[{-3, 0}, {5., 0.020063320018928837`}]}, {{ - 5., -0.019936679981071164`}, - Offset[{3, 0}, {5., -0.019936679981071164`}]}, {{ - 5., -0.019936679981071164`}, - Offset[{-3, 0}, {5., -0.019936679981071164`}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{6., 0.05199115865109859}, - Offset[{3, 0}, {6., 0.05199115865109859}]}, {{6., - 0.05199115865109859}, - Offset[{-3, 0}, {6., 0.05199115865109859}]}, {{6., - 0.011991158651098583`}, - Offset[{3, 0}, {6., 0.011991158651098583`}]}, {{6., - 0.011991158651098583`}, - Offset[{-3, 0}, {6., 0.011991158651098583`}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{7., 0.060177952277000055`}, - Offset[{3, 0}, {7., 0.060177952277000055`}]}, {{7., - 0.060177952277000055`}, - Offset[{-3, 0}, {7., 0.060177952277000055`}]}, {{7., - 0.02017795227700005}, - Offset[{3, 0}, {7., 0.02017795227700005}]}, {{7., - 0.02017795227700005}, - Offset[{-3, 0}, {7., 0.02017795227700005}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{8., 0.060286454873171844`}, - Offset[{3, 0}, {8., 0.060286454873171844`}]}, {{8., - 0.060286454873171844`}, - Offset[{-3, 0}, {8., 0.060286454873171844`}]}, {{8., - 0.02028645487317184}, - Offset[{3, 0}, {8., 0.02028645487317184}]}, {{8., - 0.02028645487317184}, - Offset[{-3, 0}, {8., 0.02028645487317184}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}, - {RGBColor[0.368417, 0.506779, 0.709798], - StyleBox[{ - GeometricTransformationBox[ - LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], - GeometricTransformationBox[ - LineBox[{{{9., 0.05901264771601154}, - Offset[{3, 0}, {9., 0.05901264771601154}]}, {{9., - 0.05901264771601154}, - Offset[{-3, 0}, {9., 0.05901264771601154}]}, {{9., - 0.01901264771601154}, - Offset[{3, 0}, {9., 0.01901264771601154}]}, {{9., - 0.01901264771601154}, - Offset[{-3, 0}, {9., 0.01901264771601154}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, - Antialiasing->False]}}}, - {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], - AbsoluteThickness[1.6], - PointBox[{{1., 0.28039720770283094`}, {2., 0.12067964359302219`}, {3., - 0.09522818918390596}, {4., 0.10127169897374211`}, {5., - 0.00006332001892883632}, {6., 0.031991158651098583`}, {7., - 0.04017795227700005}, {8., 0.04028645487317184}, {9., - 0.03901264771601154}}]}, {{}, {}}}, + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ + 1.], LineBox[CompressedData[" +1:eJwB4QEe/iFib1JlAgAAAB0AAAACAAAAfMb9zszM7D8p1Q9kFyyQPnbNYnJN +zew/g/HS7t8rkD5v1McVzs3sPyeGeXyoK5A+YuKRXM/O7D+52HCgOSuQPkj+ +JerR0Ow/9WYGC1wqkD4UNk4F19TsPz9Wv2qhKJA+rKWeO+Hc7D805fpTLiWQ +PtuEP6j17Ow/zBI7ylAekD5SBDIC0w/tP9j/dn2VD5A+mDlb5GAw7T8kvj+m +BAKQPnAoOUxLUO0/cxlwd8rpjz5f2Mc06nLtP1tP+i23zY8+HT6NpTmT7T/m +P5cG4rOPPvNkA5c9tu0/tf9u3kmYjz5aRS4OntjtPyguIiSafY8+kNuPDa/4 +7T+BqfbiEWWPPt4yoo10G+4/FlkLX9xKjz77P+uV6jvuP5uPW/vCMo8+qQbp +I71b7j/ybCtPexuPPm+OlzJEfu4/EYO4+5sCjz4EzHzJe57uPx6kDa3D644+ +sMoS4WfB7j9E0j3QZdOOPu6CXX6w4+4/8Qu98eC7jj778N6jqQPvP6NOZwBO +po4+ICARSlcm7z/pOCS8TI+OPhMFeni1Ru8/MgLBCjN6jj4eq5MnyGnvPyoZ +0EK+Y44+uwpiXDeM7z8egG9uHE6OPqI9Eajmq+8/7960iZI6jj5XouTh + "]], + LineBox[CompressedData[" +1:eJwV1nk4lVkcB3CJSEQikWwVaTc0tjonSclallK2SpYrWxKJKITova5dVJa4 +RMTYKkbGlmzJ2ElFGKrrXGtiNL/54z73+Tz3ee7zvuf8vt9zZC+5m9hzcnBw +DMLn/2/J/lohDQ6Cz7v02O3hpSP5rU1X3oF3n/7yPYCHjv6wvbhPcBXBn4Zq +J1XAKOMHMQKPWIV9n1xDR2cUFLzbwIE6FVxnwcO0KrX1nARroT3iAmC3Z+ZL +huAs7SDlWm46uqsUcrsVvClmyHc/uETzc0TLaoKtRS8Y8nLR0ZGAG4b8XASv +1lsVXbWajlqqBYUMwNpN9/q9wCM6KL4ZnPDudOBnTjoSPpWS2sRNMP9IvWDF +KjryuGxe0shDMNYLMHD/RaEAXtZHAV6Cg/hOlUqD7z8LXWcK3vhJfEf7CoVy +ZssvfQCH6SaKK4E/hUhsmF5L8I4w7TMzyxQ6lfXRdQs/wSypMinfnxTaP0ZT +cBMieH6mkHVvjkIsx4DkjeIEf7PjT6/+SiHThpq63xUJXuItDmvso9Cxu7b7 +SzQI/ndRqP51A4VW0roHP+oT3GAcuSekhEKpYrS2EUuCK4tuWH97QqEWlinH +UVfYj66mNLsYeB6Z8943bhFcKqKU7h1EoT+fa+y3pgh+Y3aK+8Q1CsmnXtv5 +8DGsl+09LRM7ChkTp7uD4MDXxnNi4BtaNsOSqQT/Ie9V8uEShZpHdB8+AvOq +XjOngT12SgmlphFc5dcjf+cihV4VNS6kZxBMy8UHim0pZFS39U02k+D+IbEA +OSsK+Yhu3P4POFm7ZM2kJYXSHHjv7MwmeJXJ24wi8AzvjMZT8NjMwiYtcJJh +Y0FuDsEdNP2lC+cpNNztmZCfC78X/7U1y4JC3hNv7IsLYH93xX45ak4huYdV +uxfBA3e6vdaCW41K2eg5waI+PcrtZhTaXpJxqwmsqrF01AbcEXAr/nMhwea3 +5Zv8TSm0V0S5XrCY4N4mtdd1pynU26AYaQ5eEM0ZpoNDfGVOp4CtNDgNz4H7 +hwQ+yJcQrBEitMI6RaHwp//MHi4lWDL7+Vop8Bf0eLtLOayX219l940oxGDH +TRaBfwT8e9IKrJkZWbgAjuKXPbkHHLPW91DwC4KVi1ISWg0pdKTT1Cz5JcGe +I+waUfC3UD2JT+DKm7fXThhQKFFd69OOVwTn/pjsrASzHu9zKQJXdZrzOoBT +aGtDGisIjv4QoPtan0LHJTn01lcSbLlkJpkInm6bFzQDb5Wxi3UH66p8SfkI +HpPe4S8Hnl+pKp7/k+C3zislDD0KZRSV+h6qIji9L1PKDWx4+RkOAmsztE4Z +gDPfPmgWeE3w3ptD0uvAJnFeI9urIQ8Wy2tiT1KIVuLH5wA+LMcS8QXf7gxS +ygaPVXst2IILRKIDFf8iePs+ZHQAXK+SxHQGO6+raxEHD5qltuaBr/fYq3CB ++eLzt+yrIVhF9VXngC6FZEtLjrqDRcPjNr8Fq3VV0ArBTYYDluVg47kaBhss +xeWWyQQ7iDaV/1ZLsJ21/o8EcJx5L3cp2Evmdv9NcG38mKlqHcFpt2ZV7MD+ +CdL3jzTA/+ckUwfBKokWdScbCS5TWHG/CL7U4yDcAj64x/WMP5ghdv2C0Vvo +k4j8vY/B2ar+YVHgsNCO5iJw1dnggnbwgsED7Tfgb4nRyyZNMF9fBCYWwKtf +JG2LA0fVvNy9Ed5XojdVrwu8UZrb8//1UfrBvLqpmWCe7qkGY7Du5oKks+De ++oKDV8G2aqWvk8AKWc/exoG9LSrH+sDGAxH0V+CspCYVqxaCZ4NC+vlhvypf +vLd8BK76seSrDu7s7Q0aAr+LFvBzAnOKj7dfaCX4RbNGVytYXJ21kA4e3xqp +ywXzcuDcnNQIeOzdp9OHwTYPVrvat8F+lRdZl4Ovv+SLY4I9J8/6/wDf79tQ +MQ7+y8mSdgjm9ZW4zFrndwSjDOZEC3hT8qEst3aCpzUjDghDPtK2Gd8tAF+p +zc2igXflX7RngePvhurUgXF16A639wSbzcTrBBtTqOnkA+4CsK4p1TAONvs7 +b/Q7uOXc22JjyKfTWHuWawfB69JKxXZBnqfdR+7mg63eXyt6CPZfnLP/DlZm +xv0rbAL7yb9F3vVvgsOHHeb5oC9e/mbPdOmEvg5JuLUL+uZYpU/oM/BR54cV +leA2nQiHb+A7PutPmJ6B/rJ4Lu/SRfAxsYUH1FmY38BF5pVugu0XQyl16Lc4 +Xv6wPPAGh+MxBCwVI+X4Fez6XiL+GfShcpa2wpUegp/wnJhVtqaQVTOV7dwL +eZHY9jboAoXGzNLCcsGy62kul6GPPYb+cJwEbzS62a0PfX2X3aPg3EdwQLjA +lT2XIW9icjm0flivj0YZqk6Ql3SV8Kfg7ucOd/VoFKrZdcJpArx/9XPhy84U +6jnsspM2QHD9DB8zz4VCHJfLcpwGIV+3lmUZVykU8b0xPAcsUni9fsCTQiI+ +A07/gMdS+UT2e1FIMYJD0ekDwZq2Toe+e0M/FOo9dRyC+8HLkw+b/SHf6tb3 +ssFKe6/5WARAPmvdaePgwVw1aVYghW52xyk6fiT463S5u0owhZ4sDz11+ESw +cFWYp1IEhQrHp6fzwMensJfSfZjnjjWH2OCXqddMD9NhnnP2tfl9Jtg7bjrc +F87HNeYB04xhyF92nVhECoWEj8RodoN3eXw9PvWIQtK7mSFbRgiWURhTuJQG +67OqbRMT7MFk/byaBX2Wv1Wz4gvkrc5t+Ugh5CtJKYRjlGCJ33uaJIopFBSs +06oDtvrtuSVXGYWSz7natoMN0/d84qmE85r7z+DRMXj/auzR2wj3AxurFsEJ +gh80n1YcGaXQoZMeoubgrii75MGJ//s5xCYZ/Dw1t3LyO4Uu8D0j2yfhPrE8 +5Ht8Hs6PsiURja8wzytun7nhPvY4XdAmEPwTSwwV8NNR3v1t2XVgulKyj/sG +Oqq7pK9u/I1gIb99Qupb6GhufYr15e/gIjXBxf10xPmzgPkULDv4TMb5IB2t +H62ZYoFH3zRumNeA+17F5B1fFsyz84zxheN0ZOGowaRPEZyt8rkiwoaOKl73 +sV6wCb4pJeedFkdHyptXkhbBRLs57EYKPI+HnLbGNME1nYo5bhl0lCJ7JbEC +7BnX01FWSEd+wcu4agbys9fboLaNjmYGZCZXwD5zoieSuunoiopOHJ4lOKYr +dYo+REeWo9Q/1eAplRKVERYdaZ6Qjq6dg/uPvYHuwQ1RqDhVW5NrnuBMyaqL +OySi0O4fjqPHwJHhE9s0t0WhLU8L1RvAj2l3KvsORqElvqPDjQsEt+2YNZi2 +ikIVbZeV2xYJvpSmzlxfHIWUFe59WP8T+qS8/2lKVRTKC8wPMwYLi/vfPNsU +hVIOzA+0g2frNXlshqOQX0xYyN9LBP9d6Uiz4mOgmcm8fSLL8L4CClvGhRjo +inZ7rxlYaDTwXaQYA1nObt7bDWaZF6Vu38FAmmdyu3r/hTz/ai96pMVAxQVt +geIrcL+QjNDhPMlAu3lmFM+Dv7YHlgSfYqAtLzQDBsDKDQZPhmwZaEm8VX7o +F8EmROTGr0AGqvgw5TOyio2n6kYEjzcwUFmiaKQiJxsncGhNXm1noMLTmo/d +wQ/Dq/Te9DNQZn1o3RJYN69xiEwx0P38rRtEuNhY36f3qrFkNAp11N5+Hvwt +8hejRyEa3ZalqaaBR9Xef6aUo9H1+BLrPdxs7KpWSYXpRyObW/q5x9aw8e/j +xU+qb0UjC9Wrf0aAma1+fc0R0ciEndDeDm72v23BlxSNTtgPz1vxsPGEbObH +bcXR6IChr/Z1Xjaed3H4ffBrNFq1NXswi4+NOTyxwSqnGLTc3TL1FbwttOpo +/I0YNM+Y5vxtHRv7+voIO92LQZNcSLEK3HEeObTmxaCOb53Xu/nZeK2Jnnv+ +TAzKrOQU5BFk44Ixlk8AIxY99t4pZwjus46UtsuKRUkHjA7GglklRupxr2IR +lfnAUlqIjcWi6r0/jsYi7/sHclQ3gFPuBasciUMnrGy0nDay8Y33GT+LueJR +SWCUQD44fKV0wUIqHsk9qe5jg7XzDVhn1OLR8oScp58IGzd1WgxcdI1Hf/iM +Z9BF2Xh2jlHTPhCPJGM9uErF2FihfUFzdXMCuleW3r4InvAclCmfTEDzfR0P +0WY2TqkZpHXxJSKTnHNeVuDDxr/28Yknov8AiPn1SA== + "]], + LineBox[{{0.9908257682843923, 2.2506344594930776`*^-7}, { + 0.991913423422699, 2.2490620663755738`*^-7}, {0.9919798545953074, + 2.2489662936292777`*^-7}, {0.9920462857679158, + 2.248870551416854*^-7}, {0.9921683232030634, + 2.2486947476986086`*^-7}}], + LineBox[{{0.9945938451855824, 2.2452220348010346`*^-7}, { + 0.9951556600244125, 2.2444234976039406`*^-7}, {0.9952797088108841, + 2.244247477054426*^-7}, {0.9955278063838273, + 2.2438957580448787`*^-7}, {0.9960240015297138, + 2.2431936093687878`*^-7}, {0.9960860259229496, + 2.2431059617465652`*^-7}, {0.9961480503161855, + 2.2430183410197178`*^-7}, {0.996272099102657, + 2.2428431802700935`*^-7}, {0.9965201966756003, + 2.2424931817132197`*^-7}, {0.9965822210688361, + 2.2424057493800343`*^-7}, {0.9966442454620719, + 2.242318343978339*^-7}, {0.9967682942485435, + 2.2421436139876414`*^-7}, {0.996954738525858, 2.241881199034604*^-7}}], + LineBox[{{0.9901329583737247, 2.2516402928509519`*^-7}, { + 0.9906982172639841, 2.250819391664465*^-7}}], + LineBox[{{0.9922958742234715, 2.2485111114463608`*^-7}, { + 0.9929018975214652, 2.2476401544281036`*^-7}}], + LineBox[{{0.997200414619292, 2.2415357890027068`*^-7}, { + 0.9973885381809016, 2.241271581480571*^-7}, {0.9975125869673731, + 2.241097498727158*^-7}, {0.9975746113606089, + 2.2410104978491983`*^-7}, {0.9976366357538446, + 2.2409235239766495`*^-7}, {0.9976986601470805, + 2.2408365771134971`*^-7}, {0.9977200628510301, + 1.4675930295774982`*^-7}}], + LineBox[{{0.9942296735990704, 2.245740825539571*^-7}, { + 0.9944662941651742, 2.245403636102008*^-7}}], + LineBox[{{0.9898540570718384, 2.2520461404067295`*^-7}, { + 0.9898553547043084, 2.2520442508963553`*^-7}}], + LineBox[{{0.9930294485418734, 2.247457166531154*^-7}, { + 0.9941021225786623, 2.245922750110712*^-7}}], + LineBox[{{0.9970784162147225, 2.2417072606318073`*^-7}, { + 0.9970784162147225, 2.2417072606318073`*^-7}}]}, + Annotation[#, "Charting`Private`Tag$95909#1"]& ], {}}, {}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{0., 0}, + AxesOrigin->{0.9, 1.4675930295774982`*^-7}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -4445,31 +32092,40 @@ Cell[BoxData[ GridLines->{None, None}, GridLinesStyle->Directive[ GrayLevel[0.5, 0.4]], - ImageSize->{713.9999999999998, Automatic}, + ImagePadding->All, Method->{ - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "DefaultBoundaryStyle" -> Automatic, + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> + AbsolutePointSize[6], "ScalingFunctions" -> None, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ - Identity[ + (Identity[#]& )[ Part[#, 1]], - Identity[ + (Identity[#]& )[ Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Identity[ + (Identity[#]& )[ Part[#, 1]], - Identity[ + (Identity[#]& )[ Part[#, 2]]}& )}}, - PlotRange->{{0., 9.}, {-0.019936679981071164`, 0.30039720770283096`}}, + PlotRange->{{0.9, 1.1}, {1.4675930295774982`*^-7, 3.4418421446007737`*^-7}}, + PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], Scaled[0.02]}, { Scaled[0.05], Scaled[0.05]}}, - Ticks->{Automatic, Automatic}]], "Output", - CellChangeTimes->{{3.8423370092964497`*^9, 3.84233703988655*^9}, { - 3.842338051871869*^9, 3.842338078127988*^9}, 3.842339034834592*^9, - 3.84234191354906*^9, {3.8423505853859243`*^9, 3.84235064767439*^9}, - 3.842411978256198*^9}, - CellLabel->"Out[79]=",ExpressionUUID->"cb1c4020-ab43-4766-b2c6-457ac2e5298a"] + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.843299512644514*^9, 3.8432995808329268`*^9}, { + 3.843299638306917*^9, 3.843299760977401*^9}, {3.8433007203671093`*^9, + 3.843300756052167*^9}}, + CellLabel-> + "Out[239]=",ExpressionUUID->"a3028a35-bb3d-4af0-9cec-08135dfa52fc"] }, Open ]] }, Open ]], @@ -4480,437 +32136,524 @@ Cell["Stored fits", "Subsection", 3.842517591573138*^9}},ExpressionUUID->"36c7685a-f47a-40aa-a737-\ 8c7f6f4317c1"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "2", "]"}], "=", + RowBox[{"h", "[", "0", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "2", "]"}], "=", "2"}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m01", "=", + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "2", "]"}], "=", + RowBox[{"RF", "[", "2", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"S", "[", "2", "]"}], "=", RowBox[{"{", RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.21119491859637855712121672976181324791`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.18037805864637876662547309902146492111`20."}], - ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.2113984981855266`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.18040968433960122`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.12939491414141908415061096249208640186`20."}]}], ",", + RowBox[{"-", "2.1295236147887016`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.2447666137912675194943832370360671582`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2447338967832837`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.49992397885043029926506996142872430489`20."}]}], "}"}]}], ";"}]], \ -"Input", - CellChangeTimes->{{3.842517705895237*^9, - 3.842517708639202*^9}},ExpressionUUID->"afc540ea-ef9a-493b-9583-\ -ed901e3ac580"], + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4997458274069301`"}]}], + "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.842517705895237*^9, 3.842517708639202*^9}, { + 3.8431067504279013`*^9, 3.8431067566565113`*^9}, {3.843107733421461*^9, + 3.8431077537898293`*^9}, {3.8431079886821957`*^9, 3.8431079919621267`*^9}, { + 3.843118081491584*^9, 3.8431180928836308`*^9}, {3.843290605662134*^9, + 3.843290619902092*^9}}, + CellLabel->"In[6]:=",ExpressionUUID->"afc540ea-ef9a-493b-9583-ed901e3ac580"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "3", "]"}], "=", + RowBox[{"h", "[", "1", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "3", "]"}], "=", "2"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "3", "]"}], "=", + RowBox[{"RF", "[", "2", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m11", "=", + RowBox[{ + RowBox[{"S", "[", "3", "]"}], "=", RowBox[{"{", RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.35152890616164762778517094120905354748`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19577685217395626794351230428323615065`20."}], - ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.3497610103287452`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19612583387698465`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.19329002202443521915906734872177584071`20."}]}], ",", + RowBox[{"-", "2.199941956875278`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.2323957708050473247230573917991786155`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2401996118460512`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.38993454167949585526176302950567201436`20."}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.39028245506270876`"}], ",", + RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.01480119866378319374012061649409943942`20."}]}]}], - "}"}]}], ";"}]], "Input", - CellChangeTimes->{{3.8425176933429823`*^9, - 3.842517695263131*^9}},ExpressionUUID->"cdb9b75d-4c04-4ef5-9969-\ -9e72079c5d58"], + RowBox[{"-", "0.014909109302639726`"}]}]}], "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.8425176933429823`*^9, 3.842517695263131*^9}, { + 3.843106785276181*^9, 3.843106789804263*^9}, {3.843107740365707*^9, + 3.8431077546377697`*^9}, {3.843107995522175*^9, 3.8431079986742268`*^9}, { + 3.843118100443939*^9, 3.843118103571854*^9}, {3.843290613070524*^9, + 3.843290628974379*^9}}, + CellLabel->"In[10]:=",ExpressionUUID->"cdb9b75d-4c04-4ef5-9969-9e72079c5d58"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "4", "]"}], "=", + RowBox[{"h", "[", "2", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "4", "]"}], "=", "3"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "4", "]"}], "=", + RowBox[{"RF", "[", "3", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m21", "=", + RowBox[{ + RowBox[{"S", "[", "4", "]"}], "=", RowBox[{"{", RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.44826732606543626750443052210721464039`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19563567437869586038661779686289677704`20."}], - ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.449037399770833`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1956310634797022`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.24381246052891059122067988859858612937`20."}]}], ",", + RowBox[{"-", "2.2433174743125224`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.29712614684674249654921222637869204181`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2964274810848326`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.37108987555325540173113676725825610292`20."}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3707956103394163`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.10859849803391633266832263540326194918`20."}]}], ",", + RowBox[{"-", "0.10871082597145154`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.00317944766056712038023148626594881042`20."}]}], ",", + RowBox[{"-", "0.0031747260088083834`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.02895083168061132881654746104306156029`20."}]}]}], - "}"}]}], ";"}]], "Input", - CellChangeTimes->{{3.8425176829426823`*^9, - 3.842517685070943*^9}},ExpressionUUID->"e7739266-4bd1-4804-846a-\ -5133bf45bfe6"], + RowBox[{"-", "0.028926044331647412`"}]}]}], "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.8425176829426823`*^9, 3.842517685070943*^9}, + 3.843106817072406*^9, {3.843106854949473*^9, 3.843106855109346*^9}, { + 3.8431077439737988`*^9, 3.8431077555576477`*^9}, {3.8431080011299343`*^9, + 3.843108005474188*^9}, {3.843118107683989*^9, 3.8431181126276007`*^9}, { + 3.8432906307745457`*^9, 3.843290635254532*^9}}, + CellLabel->"In[14]:=",ExpressionUUID->"e7739266-4bd1-4804-846a-5133bf45bfe6"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "5", "]"}], "=", + RowBox[{"h", "[", "3", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m31", "=", + RowBox[{ + RowBox[{"NF", "[", "5", "]"}], "=", "3"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "5", "]"}], "=", + RowBox[{"RF", "[", "3", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"S", "[", "5", "]"}], "=", RowBox[{"{", RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.47231730333795406829164341435348879632`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19553676651611357190507648227827237504`20."}], - ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.4718997870644017`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19553388787373974`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.23226520959893245256443830558689061059`20."}]}], ",", + RowBox[{"-", "2.2320560452062117`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.28070451707480511625399624125304547023`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2804295612857017`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.3631836370719508085204084331441440884`20."}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3631783861327246`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.1143621295363031084101244043274410552`20."}]}], ",", + RowBox[{"-", "0.11398650090024323`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.00319213676835238378789556503183596684`20."}]}], ",", + RowBox[{"-", "0.0031668813000029347`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.02903327038943439003760410093715258202`20."}]}], ",", + RowBox[{"-", "0.0289243859800091`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "2.09695608120214374639812905518516`20.*^-6"}]}], "}"}]}], ";"}]], \ -"Input", - CellChangeTimes->{{3.842517669022213*^9, - 3.842517671974608*^9}},ExpressionUUID->"e0c29aa2-30dd-4eb9-990b-\ -b4abf8865eeb"], + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "8.857419924924222`*^-7"}]}], + "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.842517669022213*^9, 3.842517671974608*^9}, { + 3.843106881048986*^9, 3.8431068851980743`*^9}, {3.8431077463337507`*^9, + 3.843107758654028*^9}, {3.843108007874248*^9, 3.843108011866128*^9}, { + 3.843118116044188*^9, 3.843118119540159*^9}, {3.843290637446662*^9, + 3.8432906406465178`*^9}}, + CellLabel->"In[18]:=",ExpressionUUID->"e0c29aa2-30dd-4eb9-990b-b4abf8865eeb"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "6", "]"}], "=", + RowBox[{"h", "[", "4", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "6", "]"}], "=", "4"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "6", "]"}], "=", + RowBox[{"RF", "[", "4", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m41", "=", + RowBox[{ + RowBox[{"S", "[", "6", "]"}], "=", RowBox[{"{", RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.40685112116988131347738999465946108103`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19721474012413645060526334873429732397`20."}], - ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.435757396344316`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19736542866520598`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.4181833936101608806268359330715611577`20."}]}], ",", + RowBox[{"-", "2.3981472287670393`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.53789467845559602032778911961941048503`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5091177600111922`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.43094482487038804352508236661378759891`20."}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.39200424741821555`"}], ",", + RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.20595660506372287512633079131774138659`20."}]}], ",", + RowBox[{"-", "0.19533215126551273`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - "0.00809611950395225550558553351265800302`20."}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.029301113923157842`"}], + ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.09436173168315158121810526381523231976`20."}]}], ",", + RowBox[{"-", "0.09002270806803321`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.0042785677827476388881966506971821218`20."}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.003990623031590741`"}], + ",", RowBox[{ RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.00026246183044576637272832231317920559`20."}]}], ",", + RowBox[{"-", "0.00011912993661574494`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.01167749987477899320520435821890714578`20."}]}], "}"}]}], ";"}]], \ -"Input", - CellChangeTimes->{{3.842517659446084*^9, - 3.842517661454425*^9}},ExpressionUUID->"80a3d021-bc5a-410c-8e70-\ -089bc45d33bc"], + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.01723324643602473`"}]}], + "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.842517659446084*^9, 3.842517661454425*^9}, { + 3.8431071085894737`*^9, 3.843107110873993*^9}, {3.843107749061781*^9, + 3.843107761053968*^9}, {3.8431080144985437`*^9, 3.843108018722546*^9}, { + 3.84311812190835*^9, 3.843118129636252*^9}, {3.8432906441747217`*^9, + 3.843290647414538*^9}}, + CellLabel->"In[22]:=",ExpressionUUID->"80a3d021-bc5a-410c-8e70-089bc45d33bc"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "7", "]"}], "=", + RowBox[{"h", "[", "5", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "7", "]"}], "=", "4"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "7", "]"}], "=", + RowBox[{"RF", "[", "4", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m51", "=", + RowBox[{ + RowBox[{"S", "[", "7", "]"}], "=", RowBox[{"{", RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.31630265190654504126990798607059700922`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19673889480178571425603386505667387764`20."}], - ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.4323668647862395`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19730236197017473`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.50900083782283931078340616602237669362`20."}]}], ",", + RowBox[{"-", "2.42285516121067`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.67031087534281158130313089477564731749`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5453691206248084`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.56306766534037991081905173207850130325`20."}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4131963567936482`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.25713549953522877435447386379651138296`20."}]}], ",", + RowBox[{"-", "0.22076846011611728`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.03961674425173714729077632539065329366`20."}]}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.036245425139259865`"}], + ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.14571077274500842786302970874523210784`20."}]}], ",", + RowBox[{"-", "0.12160894976267282`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.00475222097467538268037623052646543767`20."}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.010119794698736752`"}], + ",", RowBox[{ RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - "0.00159156689838684910691438924196192437`20."}], ",", + RowBox[{"-", "0.001143426002373578`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.00377107946158147555052135172564476592`20."}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.026345666471523435`"}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.00040849309195947277394752738192568273`20."}]}]}], - "}"}]}], ";"}]], "Input", - CellChangeTimes->{{3.8425176452301397`*^9, - 3.842517647662315*^9}},ExpressionUUID->"1d95a4fa-b7b4-4d6f-9695-\ -22a28602846c"], + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.00010094806835660409`"}]}], + "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.8425176452301397`*^9, 3.842517647662315*^9}, { + 3.843107494204513*^9, 3.8431074966811*^9}, {3.843107763382147*^9, + 3.8431077653659983`*^9}, {3.843108021298802*^9, 3.843108027138801*^9}, { + 3.843118132972484*^9, 3.843118139388473*^9}, {3.8432906497668543`*^9, + 3.8432906528309307`*^9}}, + CellLabel->"In[26]:=",ExpressionUUID->"1d95a4fa-b7b4-4d6f-9695-22a28602846c"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "8", "]"}], "=", + RowBox[{"h", "[", "6", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "8", "]"}], "=", "5"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "8", "]"}], "=", + RowBox[{"RF", "[", "5", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m61", "=", + RowBox[{ + RowBox[{"S", "[", "8", "]"}], "=", RowBox[{"{", RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.3710192066561966`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19655268865457023`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.551315962883039`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.732278814614242`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5967868834184186`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.3515062191585261`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.005023244859308164`"}], + ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.2952087119338217`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.05365943568010773`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.01980645818232528`"}]}], ",", RowBox[{ - "\[Theta]c", "\[Rule]", "1.30473402558862438279384169966038694306`20."}], - ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.0785090329663038`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.003353070367769979`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.00026033616168888695`"}]}], ",", RowBox[{ - "\[Theta]0", "\[Rule]", "0.19664406607951774833901943811295592628`20."}], - ",", + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.007251366969801466`"}]}]}], "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.842517616421838*^9, 3.8425176337261066`*^9}, { + 3.843107629830904*^9, 3.8431076506119823`*^9}, {3.8431077678301086`*^9, + 3.843107771254263*^9}, {3.843108030050688*^9, 3.843108034522934*^9}, + 3.843113892598907*^9, {3.843118143540801*^9, 3.843118148388715*^9}, { + 3.843290655503104*^9, 3.843290659903*^9}}, + CellLabel->"In[30]:=",ExpressionUUID->"f3f292b7-6741-4c30-b2ac-b80cd82a3eaa"], + +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "9", "]"}], "=", + RowBox[{"h", "[", "7", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "9", "]"}], "=", "5"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "9", "]"}], "=", + RowBox[{"RF", "[", "5", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"S", "[", "9", "]"}], "=", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.375435411428919`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1965201354188921`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.5357690039537832228273199757845976845`20."}]}], ",", + RowBox[{"-", "2.548202398429163`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.70925197304907657860231502073565109485`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7277523989023333`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.59113509728442785240986585173891514474`20."}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.602777511249843`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.27922153451404268795553330872363798882`20."}]}], ",", + RowBox[{"-", "0.35476072551695503`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04274889088010283689229826734918839383`20."}]}], ",", + RowBox[{"-", "0.0071698284978869015`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.16924891466424059319679853386434304484`20."}]}], ",", + RowBox[{"-", "0.28737467546972323`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.00526750468100285897753499365101993439`20."}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.052493450595160036`"}], + ",", RowBox[{ RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - "0.00513426762087048461311709326153702045`20."}], ",", + RowBox[{"-", "0.019894421937458582`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.00412589655174002642884610058388901463`20."}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07241135663444981`"}], ",", + RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.00156864582912082369615474351244620055`20."}]}], ",", + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.003534527038057842`"}], + ",", RowBox[{ RowBox[{"gC", "[", "6", "]"}], "\[Rule]", - "0.0001332630841149475556949818043285057`20."}], ",", + RowBox[{"-", "0.00033818931210095453`"}]}], ",", RowBox[{ RowBox[{"A", "[", "5", "]"}], "\[Rule]", - "0.0014336612326993358485643925703811874`20."}]}], "}"}]}], ";"}]], \ -"Input", - CellChangeTimes->{{3.842517616421838*^9, - 3.8425176337261066`*^9}},ExpressionUUID->"f3f292b7-6741-4c30-b2ac-\ -b80cd82a3eaa"], + RowBox[{"-", "0.007245524506332198`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "7", "]"}], "\[Rule]", + "0.000010619260978013524`"}]}], "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.842517725791533*^9, 3.8425177278636723`*^9}, { + 3.84310764799933*^9, 3.8431076528758698`*^9}, {3.843107773590263*^9, + 3.8431077748302317`*^9}, {3.843108036770996*^9, 3.8431080424510937`*^9}, + 3.843113534568307*^9, {3.843118150468753*^9, 3.843118156188863*^9}, { + 3.8432906617511663`*^9, 3.843290666751149*^9}}, + CellLabel->"In[34]:=",ExpressionUUID->"b6e4cc8e-edfb-44a9-a3e6-a4d9a234eb0c"], -Cell[BoxData[ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "10", "]"}], "=", + RowBox[{"h", "[", "8", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "10", "]"}], "=", "6"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "10", "]"}], "=", + RowBox[{"RF", "[", "6", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"m71", "=", + RowBox[{ + RowBox[{"S", "[", "10", "]"}], "=", RowBox[{"{", RowBox[{ - RowBox[{ - "\[Theta]c", "\[Rule]", "1.31355404039653182669914597217190170034`20."}], - ",", - RowBox[{ - "\[Theta]0", "\[Rule]", "0.19665875841110683697922172037847911269`20."}], - ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.3945095399721226`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19657331402448114`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.53343299034841646331539418608388424723`20."}]}], ",", + RowBox[{"-", "2.540815351266595`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", - "1.70577157551054277158049213768122631914`20."}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7168304596304513`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", - "0.58696280011958254780275098766609387839`20."}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.58914437918972`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.28362958660470974751439847343277330961`20."}]}], ",", + RowBox[{"-", "0.3578933157155564`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04106603664706777390294072097816960584`20."}]}], ",", + RowBox[{"-", "0.0002471276399885635`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.17093933071704376945795105099576307144`20."}]}], ",", + RowBox[{"-", "0.28467214257786666`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - "0.00713245531052218542782052857426229551`20."}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.05289863457865093`"}], ",", RowBox[{ RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - "0.00453278036043904087566110942927425189`20."}], ",", + RowBox[{"-", "0.0201090322678147`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", - "0.00520241118526903817122380025058684848`20."}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.073168474426385`"}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.00128617871409771127507986263520036548`20."}]}], ",", + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0034486104788930307`"}], + ",", RowBox[{ RowBox[{"gC", "[", "6", "]"}], "\[Rule]", - "0.00002668327789037362388656113497927527`20."}], ",", + RowBox[{"-", "0.00021837125394706086`"}]}], ",", RowBox[{ RowBox[{"A", "[", "5", "]"}], "\[Rule]", - "0.00166817266046418252029728758844488422`20."}], ",", + RowBox[{"-", "0.007098620515609658`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "7", "]"}], "\[Rule]", - "0.00001770468231070234835884333324251827`20."}]}], "}"}]}], ";"}]], \ -"Input", - CellChangeTimes->{{3.842517725791533*^9, - 3.8425177278636723`*^9}},ExpressionUUID->"b6e4cc8e-edfb-44a9-a3e6-\ -a4d9a234eb0c"], - -Cell[BoxData[ - RowBox[{"m8", "=", - RowBox[{"{", - RowBox[{"10461.445570771271`", ",", - RowBox[{"{", + RowBox[{"-", "0.000025781921631023817`"}]}], ",", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.3165755399877996`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1966622586676097`"}], ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.5326463007251494`"}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.704610793571788`"}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5858031732617006`"}], ",", - - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.28522649008675394`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.04070402717976069`"}]}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.17149012788410323`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.007797122391878326`"}], - ",", - RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", "0.004656040873728506`"}], - ",", - RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.005103205021904066`"}], - ",", - RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.001432754158168632`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", "0.00006084634154911762`"}], - ",", - RowBox[{ - RowBox[{"A", "[", "5", "]"}], "\[Rule]", "0.002063010461212938`"}], - ",", - RowBox[{ - RowBox[{"gC", "[", "7", "]"}], "\[Rule]", "0.000014182759560736414`"}], - ",", - RowBox[{ - RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "2.804302657070413`*^-7"}], - ",", - RowBox[{ - RowBox[{"A", "[", "6", "]"}], "\[Rule]", - RowBox[{"-", "0.00006191602811550506`"}]}]}], "}"}]}], "}"}]}]], \ -"Input", - CellChangeTimes->{{3.842517745815776*^9, - 3.842517746224132*^9}},ExpressionUUID->"a5a4b4cf-3264-415e-9912-\ -fe5941040891"], + RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "3.737427990965571`*^-6"}], + ",", + RowBox[{ + RowBox[{"A", "[", "6", "]"}], "\[Rule]", "0.000017492654253389754`"}]}], + "}"}]}], ";"}]}], "Input", + CellChangeTimes->{{3.843117659420776*^9, 3.843117674500119*^9}, { + 3.843118159212996*^9, 3.843118167876886*^9}, {3.843290669023036*^9, + 3.843290675335219*^9}}, + CellLabel->"In[38]:=",ExpressionUUID->"2f0f517e-a884-4fb5-aa03-33b1e6e8e890"], Cell[CellGroupData[{ -Cell[BoxData[ - RowBox[{"m81", "=", - RowBox[{"FindRoot", "[", +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"H", "[", "11", "]"}], "=", + RowBox[{"h", "[", "9", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"NF", "[", "11", "]"}], "=", "6"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalF]", "[", "11", "]"}], "=", + RowBox[{"RF", "[", + RowBox[{"NF", "[", "11", "]"}], "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"S", "[", "11", "]"}], "=", + RowBox[{ RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{"Total", "[", - SuperscriptBox[ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"6", ",", - RowBox[{"h", "[", "8", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "15"}]]}], "]"}], "[", "10", "]"}], "2"], "]"}], "//.", - RowBox[{"rules", "[", - RowBox[{"h", "[", "8", "]"}], "]"}]}], ",", - RowBox[{"{", - RowBox[{"m8", "[", - RowBox[{"[", - RowBox[{"2", ",", "All", ",", "1"}], "]"}], "]"}], "}"}]}], "]"}], - "]"}], ",", - RowBox[{"Evaluate", "[", - RowBox[{ - RowBox[{"m8", "[", - RowBox[{"[", "2", "]"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], "]"}], ",", - RowBox[{"WorkingPrecision", "\[Rule]", "20"}], ",", - RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { - 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, - 3.8418267802857647`*^9}, {3.841826861255658*^9, 3.841826922184668*^9}, { - 3.8418269725707407`*^9, 3.841826994313775*^9}, {3.8418270452029877`*^9, - 3.841827053242969*^9}, {3.8418271119240437`*^9, 3.84182712854834*^9}, { - 3.841827636088339*^9, 3.841827638701561*^9}, {3.8418280886535597`*^9, - 3.841828101110017*^9}, {3.841828420412331*^9, 3.8418284236037703`*^9}, { - 3.8418295127754307`*^9, 3.8418295264076853`*^9}, {3.842337631182955*^9, - 3.8423376428942547`*^9}, {3.8423390522239523`*^9, 3.842339066648242*^9}, { - 3.842412055464538*^9, 3.8424120822810926`*^9}}, - CellLabel-> - "(Sident) In[28]:=",ExpressionUUID->"b9ab51e0-9b64-4dfa-80a9-3047d72051b1"], + "Import", "[", + "\"\<~/doc/research/first_order_singularities/mma/m11.wl\>\"", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}]}]}], "Input", + CellChangeTimes->{{3.843544396129781*^9, 3.8435444542503853`*^9}}, + CellLabel->"In[46]:=",ExpressionUUID->"990c0156-b917-447b-8ea2-39f8d0d504d1"], Cell[BoxData[ - TemplateBox[{ - "FindRoot", "precw", - "\"The precision of the argument function ({<<25311869328 bytes>>}) is \ -less than WorkingPrecision (\\!\\(\\*RowBox[{\\\"20.`\\\"}]\\)).\"", 2, 28, - 26, 18367073971234218752, "Sident"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842337650075533*^9, 3.842339083779311*^9, - 3.842412138730196*^9, 3.842433398627845*^9}, - CellLabel-> - "During evaluation of (Sident) \ -In[28]:=",ExpressionUUID->"edaad5f4-5205-486f-b107-406316036f3a"] + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.3946138306670082`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19657199819072152`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.549884341329218`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.729824822954169`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5936422729924692`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.3668176862190405`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.0017034014515813535`"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.2907234499611062`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0527244497325125`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.019930276510068432`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07443664599491684`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0034547342987311437`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", + RowBox[{"-", "0.00023454445562759953`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.007132897669965838`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "7", "]"}], "\[Rule]", + RowBox[{"-", "0.000029009558483817375`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "6.858876270903605`*^-6"}], ",", + RowBox[{ + RowBox[{"A", "[", "6", "]"}], "\[Rule]", "0.000023324292969831963`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "9", "]"}], "\[Rule]", + RowBox[{"-", "4.5663240630002894`*^-7"}]}]}], "}"}]], "Output", + CellChangeTimes->{{3.843544451672003*^9, 3.84354445475845*^9}}, + CellLabel->"Out[49]=",ExpressionUUID->"81cdba28-d0b6-47a3-9244-3d7e31ecea11"] }, Open ]] }, Open ]] }, Open ]] }, -WindowSize->{636., 1060.5}, -WindowMargins->{{Automatic, 2.25}, {2.25, Automatic}}, +WindowSize->{955.5, 1060.5}, +WindowMargins->{{2.25, Automatic}, {2.25, Automatic}}, FrontEndVersion->"12.3 for Linux x86 (64-bit) (July 9, 2021)", StyleDefinitions->"Default.nb", ExpressionUUID->"6f6c570c-dfc0-4320-bdc7-0f1de62bb24c" @@ -4926,218 +32669,225 @@ CellTagsIndex->{} *) (*NotebookFileOutline Notebook[{ -Cell[558, 20, 992, 15, 24, "Input",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], -Cell[1553, 37, 545, 13, 41, "Input",ExpressionUUID->"ea42bd52-8968-49ef-a999-7ab838217fa1"], -Cell[2101, 52, 463, 11, 24, "Input",ExpressionUUID->"2fdffe9d-9220-4c97-866d-0a1f5e3200a1"], +Cell[558, 20, 991, 15, 24, "Input",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], +Cell[1552, 37, 545, 13, 41, "Input",ExpressionUUID->"ea42bd52-8968-49ef-a999-7ab838217fa1"], +Cell[2100, 52, 463, 11, 24, "Input",ExpressionUUID->"2fdffe9d-9220-4c97-866d-0a1f5e3200a1"], +Cell[2566, 65, 331, 7, 24, "Input",ExpressionUUID->"8f4d55d2-f559-4382-a0b2-1a4eb0ce0b9a"], Cell[CellGroupData[{ -Cell[2589, 67, 203, 4, 50, "Section",ExpressionUUID->"5d9c76ab-41db-4d8f-b32b-3ceed5a29b07"], +Cell[2922, 76, 203, 4, 50, "Section",ExpressionUUID->"5d9c76ab-41db-4d8f-b32b-3ceed5a29b07"], Cell[CellGroupData[{ -Cell[2817, 75, 163, 3, 41, "Subsection",ExpressionUUID->"04b3434a-7ae1-4e59-9492-797bc05d141c"], -Cell[2983, 80, 213, 4, 22, "Input",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], +Cell[3150, 84, 163, 3, 41, "Subsection",ExpressionUUID->"04b3434a-7ae1-4e59-9492-797bc05d141c"], +Cell[3316, 89, 214, 4, 22, "Input",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], Cell[CellGroupData[{ -Cell[3221, 88, 3264, 59, 71, "Input",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], -Cell[6488, 149, 1809, 30, 25, "Output",ExpressionUUID->"e6b50f51-a941-434a-a0be-477aab535ca0"] +Cell[3555, 97, 3361, 60, 22, "Input",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], +Cell[6919, 159, 2462, 39, 25, "Output",ExpressionUUID->"9efc61ae-62a0-4b42-9f17-9d131beeb290"] }, Open ]], Cell[CellGroupData[{ -Cell[8334, 184, 697, 18, 24, "Input",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], -Cell[9034, 204, 1245, 23, 44, "Output",ExpressionUUID->"b28feda9-0c42-492c-8c6a-d5f1ca8e2b4a"] +Cell[9418, 203, 698, 18, 24, "Input",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], +Cell[10119, 223, 1754, 29, 27, "Output",ExpressionUUID->"570d9331-beca-4192-b537-3d2d08e47729"] }, Open ]], Cell[CellGroupData[{ -Cell[10316, 232, 4741, 71, 75, "Input",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], -Cell[15060, 305, 13938, 307, 183, "Output",ExpressionUUID->"79d87d08-16ff-4087-a519-8498715bf2f7"] +Cell[11910, 257, 4920, 74, 75, "Input",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], +Cell[16833, 333, 14581, 316, 183, "Output",ExpressionUUID->"463c06a6-d67c-40a3-b029-5fdaa3bcba74"] }, Open ]], Cell[CellGroupData[{ -Cell[29035, 617, 4758, 72, 75, "Input",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], -Cell[33796, 691, 12787, 237, 181, "Output",ExpressionUUID->"ef81ae05-495e-4a10-8e00-e5378065743c"] +Cell[31451, 654, 4843, 74, 75, "Input",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], +Cell[36297, 730, 13243, 244, 181, "Output",ExpressionUUID->"ecc36c6e-ba9c-4ff6-a8bf-cf5fa2322ec1"] }, Open ]], Cell[CellGroupData[{ -Cell[46620, 933, 1346, 25, 22, "Input",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], +Cell[49577, 979, 1736, 30, 24, "Input",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], +Cell[51316, 1011, 2134, 36, 25, "Output",ExpressionUUID->"8b63b6e2-6e50-4f84-8f46-d90b3d33fd5f"] +}, Open ]], +Cell[CellGroupData[{ +Cell[53487, 1052, 1321, 28, 24, "Input",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], +Cell[54811, 1082, 2097, 36, 25, "Output",ExpressionUUID->"40726a7d-a8f4-41f7-ba57-3792c95d26f6"] +}, Open ]], Cell[CellGroupData[{ -Cell[47991, 962, 746, 12, 18, "Print",ExpressionUUID->"66a8ff17-0d24-4fef-a95e-5879871a56cd"], -Cell[48740, 976, 746, 12, 18, "Print",ExpressionUUID->"00a69f8d-00b7-4401-b1b4-b5e9a93ac4f6"], -Cell[49489, 990, 745, 12, 18, "Print",ExpressionUUID->"fb2f9056-2601-4bb7-aa35-1e507914a317"], -Cell[50237, 1004, 746, 12, 18, "Print",ExpressionUUID->"c1b182f4-41eb-4773-8407-621f51299e7d"], -Cell[50986, 1018, 741, 12, 18, "Print",ExpressionUUID->"530491f4-199f-4876-81ff-c113b559ec20"] +Cell[56945, 1123, 1552, 33, 24, "Input",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], +Cell[58500, 1158, 602, 13, 22, "Message",ExpressionUUID->"5a24fe28-6276-4fd4-95da-e7d41470cfa5"], +Cell[59105, 1173, 605, 14, 22, "Message",ExpressionUUID->"f0febc88-991c-4815-bd8b-0f06f44c10da"], +Cell[59713, 1189, 605, 14, 22, "Message",ExpressionUUID->"e2b171da-938a-4d19-a0d7-11af06561d02"], +Cell[60321, 1205, 597, 13, 22, "Message",ExpressionUUID->"bcad07b7-c78f-4e35-a48f-14bad35fc031"], +Cell[60921, 1220, 2326, 42, 25, "Output",ExpressionUUID->"ae92cda0-8cb1-4b46-b9fb-7b158645793b"] }, Open ]], -Cell[51742, 1033, 1199, 23, 25, "Output",ExpressionUUID->"ea79e514-ea99-4fdc-8f45-89363d3b0bf8"] +Cell[CellGroupData[{ +Cell[63284, 1267, 1782, 36, 24, "Input",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], +Cell[65069, 1305, 2306, 45, 27, "Output",ExpressionUUID->"b68b4626-9db5-48b8-b533-e358a6253859"] }, Open ]], Cell[CellGroupData[{ -Cell[52978, 1061, 1633, 38, 41, "Input",ExpressionUUID->"b02b5204-9158-4350-9e92-e8f555f47ba7"], -Cell[54614, 1101, 1415, 28, 42, "Output",ExpressionUUID->"ae058dee-c3ad-4bfe-a04e-3a07b2c59ea4"] +Cell[67412, 1355, 2197, 42, 24, "Input",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], +Cell[69612, 1399, 459, 10, 22, "Message",ExpressionUUID->"1ed89cf4-fd5c-48bc-bead-0c386fce6f08"], +Cell[70074, 1411, 458, 10, 22, "Message",ExpressionUUID->"2bc8ebfc-4ed7-4408-9239-534aa27339a8"], +Cell[70535, 1423, 463, 11, 22, "Message",ExpressionUUID->"ac9b937b-6466-471b-99dc-173a1c6d2133"], +Cell[71001, 1436, 453, 10, 22, "Message",ExpressionUUID->"f9529706-6eef-4cd9-a0cd-121ca1a87f4c"], +Cell[71457, 1448, 2886, 56, 25, "Output",ExpressionUUID->"5c1d6394-093e-42c9-b567-0392ee8b8dd0"] }, Open ]], Cell[CellGroupData[{ -Cell[56066, 1134, 1026, 25, 24, "Input",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], +Cell[74380, 1509, 1359, 33, 24, "Input",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], Cell[CellGroupData[{ -Cell[57117, 1163, 789, 12, 18, "Print",ExpressionUUID->"d9104651-706f-4b68-a5a5-c728ea465ffa"], -Cell[57909, 1177, 789, 12, 18, "Print",ExpressionUUID->"9deab4b3-ca90-4b8f-9923-48119f6ff847"], -Cell[58701, 1191, 787, 12, 18, "Print",ExpressionUUID->"5404d31c-e62e-49e2-b333-009a21640524"], -Cell[59491, 1205, 790, 12, 18, "Print",ExpressionUUID->"a28f94b4-89ad-47e1-846c-b7ba0fcb7ac6"], -Cell[60284, 1219, 786, 12, 18, "Print",ExpressionUUID->"5e2a6bb4-7ccd-42bc-b14a-a202975669c5"] +Cell[75764, 1546, 322, 9, 56, "Print",ExpressionUUID->"d88f05b7-867e-4007-b490-523af8835859"], +Cell[76089, 1557, 324, 9, 56, "Print",ExpressionUUID->"6e79534c-a26a-442a-96ea-7a3db22b040d"], +Cell[76416, 1568, 322, 9, 56, "Print",ExpressionUUID->"c2b325db-60f5-4577-b04a-1ef2e7ea4b07"], +Cell[76741, 1579, 274, 7, 30, "Print",ExpressionUUID->"f6bbed36-a30c-4318-a016-b54804343acb"] }, Open ]], -Cell[61085, 1234, 1359, 25, 42, "Output",ExpressionUUID->"cd65ff5b-16a6-4251-b492-a29a4744c41a"] +Cell[77030, 1589, 1883, 44, 25, "Output",ExpressionUUID->"8774a6d9-0286-4a73-9c47-aea4f47d539e"] }, Open ]], Cell[CellGroupData[{ -Cell[62481, 1264, 1557, 37, 41, "Input",ExpressionUUID->"672771a7-a1bf-4e81-a251-1d2b0d34398b"], -Cell[64041, 1303, 1165, 21, 22, "Message",ExpressionUUID->"16f25f6e-c60e-4f8e-aede-8f4156f4e8ce"], -Cell[65209, 1326, 1510, 31, 58, "Output",ExpressionUUID->"71696ee5-0fd3-4161-bb8a-42196929e12f"] +Cell[78950, 1638, 3914, 66, 24, "Input",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], +Cell[82867, 1706, 2063, 45, 27, "Output",ExpressionUUID->"b9674ad4-9299-43fc-9857-72ddd0bea7a1"] }, Open ]], Cell[CellGroupData[{ -Cell[66756, 1362, 1425, 32, 24, "Input",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], -Cell[68184, 1396, 536, 11, 22, "Message",ExpressionUUID->"00c6f1ea-3eec-4d3f-a9b1-c856f407739b"], -Cell[68723, 1409, 536, 11, 22, "Message",ExpressionUUID->"49d360c7-8ef7-4563-83c8-b743c60ff9b7"], -Cell[69262, 1422, 535, 11, 22, "Message",ExpressionUUID->"36901832-ab1d-4868-bcd4-9068ed98c877"], -Cell[69800, 1435, 475, 10, 22, "Message",ExpressionUUID->"92ba5879-5df1-4071-95fd-5e396ca115a8"], +Cell[84967, 1756, 1191, 23, 24, "Input",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], +Cell[86161, 1781, 1830, 45, 25, "Output",ExpressionUUID->"caa1284c-d3de-42bf-9f1c-5c6b4244304f"] +}, Open ]], +Cell[CellGroupData[{ +Cell[88028, 1831, 3750, 61, 24, "Input",ExpressionUUID->"78da668e-4ef0-42e2-a70b-6bf19f9b37c3"], Cell[CellGroupData[{ -Cell[70300, 1449, 343, 6, 18, "Print",ExpressionUUID->"2eb76cfa-8d88-4fbb-81e1-25a0cd13aa6b"], -Cell[70646, 1457, 342, 6, 18, "Print",ExpressionUUID->"6f80e87d-2c46-4938-b3b1-da63b3212821"], -Cell[70991, 1465, 342, 6, 18, "Print",ExpressionUUID->"06a5b04b-2e6f-4043-9f3e-53b5890ebac0"], -Cell[71336, 1473, 341, 6, 18, "Print",ExpressionUUID->"582458f2-df71-43c8-9dfa-20603aeebeef"], -Cell[71680, 1481, 339, 6, 18, "Print",ExpressionUUID->"b7b06d10-ed9a-43f3-a119-d4e4f7607a04"] +Cell[91803, 1896, 322, 9, 56, "Print",ExpressionUUID->"2633e8ff-3a2c-4e3a-a4f9-d4a96377ebf3"], +Cell[92128, 1907, 324, 9, 56, "Print",ExpressionUUID->"cf149e9a-ff7b-4279-ba22-60a5061cccd7"], +Cell[92455, 1918, 324, 9, 56, "Print",ExpressionUUID->"579bb1ce-0683-426a-8ecf-cc753bd77ccb"], +Cell[92782, 1929, 272, 7, 30, "Print",ExpressionUUID->"f8dc207c-67fd-491a-ae00-b45b0b9bf708"] }, Open ]], -Cell[72034, 1490, 1813, 36, 42, "Output",ExpressionUUID->"29eaded3-ea87-4160-b057-a5f3ca985e4f"] +Cell[93069, 1939, 2174, 50, 25, "Output",ExpressionUUID->"c6e2490b-6500-4f13-ada0-6cff9d298ebe"] }, Open ]], Cell[CellGroupData[{ -Cell[73884, 1531, 1699, 39, 41, "Input",ExpressionUUID->"eaf55068-7c6e-48d1-8e5b-093c2f4b5994"], -Cell[75586, 1572, 1175, 21, 34, "Message",ExpressionUUID->"e28ce7ee-abdf-4231-88d8-1a1ff8215362"], -Cell[76764, 1595, 1772, 37, 74, "Output",ExpressionUUID->"800387cb-98f8-4964-a6db-e2418aeee6f9"] +Cell[95280, 1994, 1195, 24, 24, "Input",ExpressionUUID->"223493f4-d77c-46b0-bb97-87a0be67433c"], +Cell[96478, 2020, 1829, 43, 25, "Output",ExpressionUUID->"7ea2834e-6718-45dc-b27c-33dbbcda6b96"] }, Open ]], Cell[CellGroupData[{ -Cell[78573, 1637, 1598, 33, 24, "Input",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], +Cell[98344, 2068, 2910, 50, 24, "Input",ExpressionUUID->"200b94d3-7cab-4649-99f3-c2558b1a5011"], Cell[CellGroupData[{ -Cell[80196, 1674, 309, 6, 28, "Print",ExpressionUUID->"a772da9e-f6b7-4a32-8a5f-5eb23b3ae4bc"], -Cell[80508, 1682, 311, 6, 28, "Print",ExpressionUUID->"610985db-17e7-4ade-8d8f-698e9a63230f"], -Cell[80822, 1690, 310, 6, 28, "Print",ExpressionUUID->"3bdf0982-399e-4f2d-9a07-abb77786cd5f"], -Cell[81135, 1698, 308, 6, 28, "Print",ExpressionUUID->"8b1715a6-b797-49cc-ac9f-e372f328bbc3"], -Cell[81446, 1706, 306, 6, 28, "Print",ExpressionUUID->"fed30cbf-df6b-4a3e-bcda-5e2b12daee1a"] +Cell[101279, 2122, 296, 8, 43, "Print",ExpressionUUID->"2185ced4-0a66-4a97-8838-180d3ce37879"], +Cell[101578, 2132, 322, 9, 56, "Print",ExpressionUUID->"df24891c-7bf9-4b21-85c2-73617581eebb"], +Cell[101903, 2143, 324, 9, 56, "Print",ExpressionUUID->"a6619516-6538-49ad-b331-3956e0aa62c9"], +Cell[102230, 2154, 322, 9, 56, "Print",ExpressionUUID->"0558deb8-f9a3-4d34-8ab5-3fc2fa8b0deb"], +Cell[102555, 2165, 274, 7, 30, "Print",ExpressionUUID->"af5140d0-5f4f-4ff2-91a9-9ac6d7032d89"] }, Open ]], -Cell[81767, 1715, 1884, 38, 44, "Output",ExpressionUUID->"debbba60-37bd-46cc-856f-b506dc1254bf"] +Cell[102844, 2175, 2286, 52, 44, "Output",ExpressionUUID->"f91958e9-a220-4f8e-ae16-55c2d7026d35"] }, Open ]], Cell[CellGroupData[{ -Cell[83688, 1758, 1899, 43, 57, "Input",ExpressionUUID->"0355409d-8b8c-45c1-9506-a20c5fe4044b"], -Cell[85590, 1803, 1247, 22, 34, "Message",ExpressionUUID->"a68111da-af1c-48eb-83ed-c30554aaee03"], -Cell[86840, 1827, 1958, 40, 76, "Output",ExpressionUUID->"df2cdb7c-a098-4c70-b978-b4647d156ee2"] +Cell[105167, 2232, 179, 3, 22, "Input",ExpressionUUID->"2e40e185-1d47-4e98-b537-128197264f04"], +Cell[105349, 2237, 1736, 45, 44, "Output",ExpressionUUID->"089e5e7d-8886-4596-9b12-15e349d13600"] }, Open ]], Cell[CellGroupData[{ -Cell[88835, 1872, 1973, 40, 24, "Input",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], -Cell[CellGroupData[{ -Cell[90833, 1916, 342, 6, 18, "Print",ExpressionUUID->"b414c521-270d-4565-855e-e494ea5d02ce"], -Cell[91178, 1924, 341, 6, 18, "Print",ExpressionUUID->"7827ccd0-1803-42be-b211-7918b507627c"], -Cell[91522, 1932, 340, 6, 18, "Print",ExpressionUUID->"ceb7f518-14bb-487a-a694-5b2e71ba97de"], -Cell[91865, 1940, 338, 6, 18, "Print",ExpressionUUID->"298212be-9307-4926-9480-b78f754e1cd0"], -Cell[92206, 1948, 336, 6, 18, "Print",ExpressionUUID->"d345e549-ce7d-4422-bbbb-e33864e5d5d2"] -}, Open ]], -Cell[92557, 1957, 2275, 46, 58, "Output",ExpressionUUID->"938aea41-c28f-491d-ba52-d97ba5435c83"] +Cell[107122, 2287, 1241, 24, 24, "Input",ExpressionUUID->"0dba08c9-c74d-4268-96fa-0c6efda987ca"], +Cell[108366, 2313, 1843, 45, 42, "Output",ExpressionUUID->"30dd2ebb-5e89-4bec-b443-d6cfa7697ac6"] }, Open ]], Cell[CellGroupData[{ -Cell[94869, 2008, 1773, 40, 41, "Input",ExpressionUUID->"05fba3a3-cdb1-4967-ac49-78ffed60b2dc"], -Cell[96645, 2050, 1975, 43, 90, "Output",ExpressionUUID->"2a585b59-ba0b-4178-8652-14ea398e9072"] +Cell[110246, 2363, 1520, 38, 24, "Input",ExpressionUUID->"77feec13-1cdc-471d-8d45-49920b8c9c58"], +Cell[111769, 2403, 625, 12, 22, "Message",ExpressionUUID->"91443dc7-57dd-4d8a-aff2-566e34296967"], +Cell[112397, 2417, 634, 12, 22, "Message",ExpressionUUID->"8c8db586-7a76-4cc8-b40c-f5641a83fb2a"], +Cell[113034, 2431, 974, 17, 34, "Message",ExpressionUUID->"55680071-ebde-46b2-9985-b7b1773db7d9"], +Cell[114011, 2450, 512, 8, 25, "Output",ExpressionUUID->"23e34e05-cb3e-40f1-ba06-a11819fced80"] }, Open ]], Cell[CellGroupData[{ -Cell[98657, 2098, 1238, 31, 24, "Input",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], -Cell[99898, 2131, 502, 11, 20, "Message",ExpressionUUID->"d267196e-1adf-4340-bf87-ced098902857"], -Cell[100403, 2144, 272, 5, 18, "Print",ExpressionUUID->"6dcb5f73-de42-4d95-8d1c-ce70ed8b403d"], -Cell[100678, 2151, 503, 11, 20, "Message",ExpressionUUID->"73d2af64-e156-476b-b530-97584d8eefe8"], +Cell[114560, 2463, 1280, 30, 24, "Input",ExpressionUUID->"2742bf10-6e80-4043-a3d1-4f8276912fa7"], Cell[CellGroupData[{ -Cell[101206, 2166, 272, 5, 18, "Print",ExpressionUUID->"8af29d72-41a6-4442-aad7-16107b894e66"], -Cell[101481, 2173, 275, 5, 18, "Print",ExpressionUUID->"a9adc904-9f2a-4e38-91f4-910de9eba662"], -Cell[101759, 2180, 274, 5, 18, "Print",ExpressionUUID->"b58521a1-0684-437b-be5c-14cdd769b465"], -Cell[102036, 2187, 271, 5, 18, "Print",ExpressionUUID->"7e67bec4-03db-44d0-9908-21fa036b90d7"] +Cell[115865, 2497, 344, 9, 56, "Print",ExpressionUUID->"d968a77e-0b23-4ede-8778-fe70c8fe67a4"], +Cell[116212, 2508, 342, 9, 56, "Print",ExpressionUUID->"c0fb9f74-f597-4290-ab92-19fc6918cb3b"], +Cell[116557, 2519, 342, 9, 56, "Print",ExpressionUUID->"d580040e-4b47-47e5-a184-41377961a189"], +Cell[116902, 2530, 272, 7, 30, "Print",ExpressionUUID->"f836f1cb-320c-4756-a39e-0d2706b3cc76"], +Cell[117177, 2539, 271, 7, 30, "Print",ExpressionUUID->"c1df8207-0a77-43dc-8619-51d191eb8a45"], +Cell[117451, 2548, 294, 8, 43, "Print",ExpressionUUID->"7bac1e96-85d8-4b0c-a777-cc3800b0bd9f"] }, Open ]], -Cell[102322, 2195, 2114, 45, 58, "Output",ExpressionUUID->"7cf72793-a032-4a28-b655-b0ffbb6596bc"] +Cell[117760, 2559, 2207, 54, 60, "Output",ExpressionUUID->"956b70bf-8ee9-40a5-8b0c-7ecec5569248"] }, Open ]], Cell[CellGroupData[{ -Cell[104473, 2245, 1862, 42, 41, "Input",ExpressionUUID->"d76e85cc-6ecb-4d98-b567-57b256fbb910"], -Cell[106338, 2289, 920, 17, 20, "Message",ExpressionUUID->"cd52e157-2a4c-44ad-8cc0-00537e166b5a"], -Cell[107261, 2308, 2123, 48, 106, "Output",ExpressionUUID->"bfe1a0a4-02e5-4097-8032-d3efd7e95a43"] +Cell[120004, 2618, 1403, 35, 24, "Input",ExpressionUUID->"da6fb0f0-808b-49e1-9a6d-6ced77c20899"], +Cell[121410, 2655, 625, 12, 22, "Message",ExpressionUUID->"a7443b43-ee71-430d-91d4-435b34fc9655"], +Cell[122038, 2669, 630, 12, 22, "Message",ExpressionUUID->"3a4d6953-ecc7-4836-bcde-ce1889fff237"], +Cell[122671, 2683, 970, 17, 34, "Message",ExpressionUUID->"f91cae25-3d55-4507-97e3-8efe0f1015cd"], +Cell[123644, 2702, 346, 6, 25, "Output",ExpressionUUID->"8ae1da27-83ab-4529-80cd-aa8d17f74340"] }, Open ]], Cell[CellGroupData[{ -Cell[109421, 2361, 1443, 37, 24, "Input",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], -Cell[110867, 2400, 547, 12, 20, "Message",ExpressionUUID->"d0160d2e-8f96-469a-872d-0ee796be9444"], -Cell[111417, 2414, 547, 12, 20, "Message",ExpressionUUID->"bf8516b3-377b-4cff-962a-9fcb8818bd7a"], +Cell[124027, 2713, 325, 8, 24, "Input",ExpressionUUID->"f17f1130-056e-4552-87df-88000e071617"], +Cell[124355, 2723, 1954, 49, 60, "Output",ExpressionUUID->"02d3c92e-d0da-4803-afd6-9943e49011bf"] +}, Open ]], +Cell[CellGroupData[{ +Cell[126346, 2777, 1821, 41, 41, "Input",ExpressionUUID->"30cc4ffc-6785-4b8d-b6fc-639e76f49ad9"], +Cell[128170, 2820, 511, 11, 22, "Message",ExpressionUUID->"19f98077-4ff8-4918-9a55-7133637e973a"] +}, Open ]], +Cell[128696, 2834, 10370, 159, 22, "Input",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], Cell[CellGroupData[{ -Cell[111989, 2430, 323, 6, 18, "Print",ExpressionUUID->"8311c1bb-943d-422d-9594-0d8b0bf314be"], -Cell[112315, 2438, 320, 6, 18, "Print",ExpressionUUID->"559fdef1-1bb7-4720-a627-0547c64f91c6"], -Cell[112638, 2446, 320, 6, 18, "Print",ExpressionUUID->"51224b1b-831d-41ca-938d-620809952d73"], -Cell[112961, 2454, 321, 6, 18, "Print",ExpressionUUID->"1c1b5e84-691b-46ee-9233-d55a70201f31"], -Cell[113285, 2462, 322, 6, 18, "Print",ExpressionUUID->"26acb34e-cd65-4770-91ee-faa8560d6ae7"] +Cell[139091, 2997, 1879, 43, 41, "Input",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], +Cell[140973, 3042, 22073, 454, 171, "Output",ExpressionUUID->"4feaac21-8fda-4a64-875e-21656d6b13aa"] }, Open ]], -Cell[113622, 2471, 1642, 42, 74, "Output",ExpressionUUID->"95b3fe4e-6c5d-44c3-be33-5d6df8dd4ef5"] +Cell[163061, 3499, 287, 7, 22, "Input",ExpressionUUID->"50db4e94-544f-4873-82ae-09a8483fdb2d"], +Cell[CellGroupData[{ +Cell[163373, 3510, 868, 24, 24, "Input",ExpressionUUID->"01387b01-3294-40e7-8b69-78378d670e52"], +Cell[164244, 3536, 17581, 406, 184, "Output",ExpressionUUID->"a8c5c14c-e1d5-4c80-aa1c-7079b2b99f03"] }, Open ]], Cell[CellGroupData[{ -Cell[115301, 2518, 1721, 40, 41, "Input",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], -Cell[117025, 2560, 463, 10, 20, "Message",ExpressionUUID->"b2327031-ec11-43c5-9d99-1ad9561a8789"], -Cell[117491, 2572, 1851, 46, 122, "Output",ExpressionUUID->"632b184d-8506-4e55-91a7-d02786fc1226"] +Cell[181862, 3947, 1123, 24, 22, "Input",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], +Cell[182988, 3973, 11407, 250, 168, "Output",ExpressionUUID->"369ce8bb-3f38-4cdc-a50e-361502ed2af6"] }, Open ]], Cell[CellGroupData[{ -Cell[119379, 2623, 1345, 34, 24, "Input",ExpressionUUID->"200b94d3-7cab-4649-99f3-c2558b1a5011"], -Cell[120727, 2659, 499, 11, 20, "Message",ExpressionUUID->"5c39711c-7bf4-47c1-a52a-290b4a57b8a4"], -Cell[121229, 2672, 605, 13, 33, "Message",ExpressionUUID->"3cdd4a00-f684-4312-bedf-b6d1a2962ad0"], -Cell[121837, 2687, 603, 13, 33, "Message",ExpressionUUID->"0f48f5ff-dc91-4d2b-a481-d8efb4472102"], -Cell[122443, 2702, 605, 13, 33, "Message",ExpressionUUID->"982d1074-b769-4103-b610-7a6efceed480"], -Cell[123051, 2717, 580, 12, 20, "Message",ExpressionUUID->"59e7cf9c-b877-493b-938c-08368aee1e4d"], +Cell[194432, 4228, 2060, 49, 66, "Input",ExpressionUUID->"f785d7e5-8e11-45cc-81cd-0e70921fef2b"], +Cell[196495, 4279, 592, 12, 32, "Message",ExpressionUUID->"fbed0e74-268f-4f92-9c8b-885e991c9194"], +Cell[197090, 4293, 625, 13, 22, "Message",ExpressionUUID->"3019447d-825a-41a2-8859-4c89ccb0279f"], +Cell[197718, 4308, 589, 12, 32, "Message",ExpressionUUID->"61105ece-905e-4dea-a167-c1aa370850db"], +Cell[198310, 4322, 627, 13, 22, "Message",ExpressionUUID->"97532bae-588b-414e-ba22-1f379282c661"], +Cell[198940, 4337, 590, 12, 32, "Message",ExpressionUUID->"a9a08f59-33f8-4715-b1ca-fa46d17e2d2b"], +Cell[199533, 4351, 670, 13, 22, "Message",ExpressionUUID->"c80cb912-309d-4f18-a8a2-45f46f724cf6"], +Cell[200206, 4366, 625, 13, 22, "Message",ExpressionUUID->"55f6f0f8-5eba-4cef-a841-b59602b7a802"], +Cell[200834, 4381, 676, 13, 22, "Message",ExpressionUUID->"5aca199c-ea33-42c7-b391-203dc632654a"], +Cell[201513, 4396, 10925, 247, 180, "Output",ExpressionUUID->"d94aa6a6-6068-4806-8761-9547885e2162"] +}, Open ]], Cell[CellGroupData[{ -Cell[123656, 2733, 274, 5, 18, "Print",ExpressionUUID->"06c6bccc-a366-4987-8e95-12de534e3f07"], -Cell[123933, 2740, 272, 5, 18, "Print",ExpressionUUID->"8931da64-de35-46b1-9a9a-2a66b237b836"], -Cell[124208, 2747, 274, 5, 18, "Print",ExpressionUUID->"aaec05c6-4eb2-4186-ace4-6e8fcfaf7ae5"], -Cell[124485, 2754, 274, 5, 18, "Print",ExpressionUUID->"090049f8-832b-4500-bf58-48719468911a"], -Cell[124762, 2761, 272, 5, 18, "Print",ExpressionUUID->"221823e5-765d-4d6a-9988-8e772c42cbe2"] +Cell[212475, 4648, 1219, 30, 43, "Input",ExpressionUUID->"be68f105-e7f1-4186-b7b1-a6f02a4bd594"], +Cell[213697, 4680, 11432, 277, 175, "Output",ExpressionUUID->"484b3664-7020-4397-8c72-20ca9cf966ed"] }, Open ]], -Cell[125049, 2769, 1739, 43, 74, "Output",ExpressionUUID->"14118b71-5885-4b32-845e-95c43ebc21e3"] +Cell[CellGroupData[{ +Cell[225166, 4962, 780, 21, 22, "Input",ExpressionUUID->"0805dca1-3214-4053-b11e-4c015cec2e4b"], +Cell[225949, 4985, 18131, 321, 174, "Output",ExpressionUUID->"7bddf2cb-d9c2-45b4-b3f3-da130bbff533"] }, Open ]], Cell[CellGroupData[{ -Cell[126825, 2817, 1769, 40, 41, "Input",ExpressionUUID->"0dba08c9-c74d-4268-96fa-0c6efda987ca"], -Cell[128597, 2859, 490, 11, 20, "Message",ExpressionUUID->"e3f507c8-c362-4b0b-adac-3af6e63dd902"], -Cell[129090, 2872, 1971, 49, 122, "Output",ExpressionUUID->"e7a20339-bb83-4e2d-8fe0-80adda2c0c83"] +Cell[244117, 5311, 910, 23, 22, "Input",ExpressionUUID->"df04592e-ebb2-4859-b1e7-acbef5775165"], +Cell[245030, 5336, 23325, 408, 171, "Output",ExpressionUUID->"2dbc7eea-d17a-487f-b0b3-92766bfa949a"] }, Open ]], Cell[CellGroupData[{ -Cell[131098, 2926, 1411, 36, 24, "Input",ExpressionUUID->"77feec13-1cdc-471d-8d45-49920b8c9c58"], -Cell[132512, 2964, 432, 10, 20, "Message",ExpressionUUID->"9d8c8cde-a876-4aa0-bacc-a11e2e5a5a4f"], -Cell[132947, 2976, 525, 11, 23, "Message",ExpressionUUID->"abb69614-c7af-4867-9c74-b775865d17c1"], -Cell[133475, 2989, 525, 11, 23, "Message",ExpressionUUID->"8c83226f-3d8e-45b4-9867-78ca2b33ed7f"], -Cell[134003, 3002, 524, 11, 23, "Message",ExpressionUUID->"a8287486-e0c0-498a-907b-96aa1a9c0033"], -Cell[134530, 3015, 463, 10, 20, "Message",ExpressionUUID->"62c1c011-3524-4242-8769-7604f1ad5845"], +Cell[268392, 5749, 3834, 96, 109, "Input",ExpressionUUID->"c7679221-477e-44ed-ad24-f20a36e0f29d"], +Cell[272229, 5847, 38305, 641, 188, "Output",ExpressionUUID->"4874c76c-d606-4e7c-8adc-f59fab5f2007"] +}, Open ]], Cell[CellGroupData[{ -Cell[135018, 3029, 202, 4, 18, "Print",ExpressionUUID->"0b87cef7-1e91-4227-9832-eb55dcbfd10d"], -Cell[135223, 3035, 203, 4, 18, "Print",ExpressionUUID->"e12dfe7a-2b77-4ef7-836d-8c903e0fbd9a"], -Cell[135429, 3041, 201, 4, 18, "Print",ExpressionUUID->"8cf4e08b-8255-429f-97a9-83d09e6afa55"], -Cell[135633, 3047, 202, 4, 18, "Print",ExpressionUUID->"5c8c844f-3ad5-495f-bbce-9d837ebebc3d"], -Cell[135838, 3053, 201, 4, 18, "Print",ExpressionUUID->"111d2f5b-d90b-4c0d-9312-a5a961c1d149"] +Cell[310571, 6493, 2226, 51, 62, "Input",ExpressionUUID->"3774b0e8-ed8f-4304-be73-f4ed265155e9"], +Cell[312800, 6546, 15192, 274, 253, "Output",ExpressionUUID->"3404f4d5-4b75-4fab-84c3-a9152e98efc8"] }, Open ]], -Cell[136054, 3060, 2031, 51, 76, "Output",ExpressionUUID->"95a89ed3-1405-46fd-84e6-4e39c6d0115c"] +Cell[CellGroupData[{ +Cell[328029, 6825, 2206, 52, 62, "Input",ExpressionUUID->"997c9c3d-3291-4f27-afcd-2a3c02bfd3fd"], +Cell[330238, 6879, 23560, 404, 253, "Output",ExpressionUUID->"b89ead09-4dd2-4e82-9f4f-7394478ce32f"] }, Open ]], Cell[CellGroupData[{ -Cell[138122, 3116, 1821, 41, 41, "Input",ExpressionUUID->"30cc4ffc-6785-4b8d-b6fc-639e76f49ad9"], -Cell[139946, 3159, 511, 11, 20, "Message",ExpressionUUID->"19f98077-4ff8-4918-9a55-7133637e973a"] +Cell[353835, 7288, 1586, 38, 57, "Input",ExpressionUUID->"caeef800-5d91-4fb4-9816-9aacdb626a63"], +Cell[355424, 7328, 1128771, 18522, 366, 746464, 12255, "CachedBoxData", "BoxData", "Output",ExpressionUUID->"3f21b220-1703-4e0c-bce7-a874b1bfc4d5"] }, Open ]], -Cell[140472, 3173, 12251, 241, 198, "Input",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], Cell[CellGroupData[{ -Cell[152748, 3418, 1392, 32, 41, "Input",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], -Cell[154143, 3452, 14533, 318, 275, "Output",ExpressionUUID->"dac320a3-b62f-4eeb-bdd8-2ec3673bb6b2"] +Cell[1484232, 25855, 1176, 30, 41, "Input",ExpressionUUID->"626e933d-cd37-4a52-851f-6473bebeff53"], +Cell[1485411, 25887, 163730, 2704, 317, "Output",ExpressionUUID->"df893735-eb2f-4fa8-937b-dbb343a52a39"] }, Open ]], Cell[CellGroupData[{ -Cell[168713, 3775, 2019, 51, 93, "Input",ExpressionUUID->"2534e368-bebd-4cc1-a20e-9a47aa5e90e3"], -Cell[170735, 3828, 15720, 365, 347, "Output",ExpressionUUID->"c05b3224-88e5-4816-869c-4737a893ff59"] +Cell[1649178, 28596, 1906, 42, 75, "Input",ExpressionUUID->"9985bed4-dad9-41a8-a4a0-bf515be7111c"], +Cell[1651087, 28640, 199193, 3283, 362, "Output",ExpressionUUID->"7ad32301-6347-4a43-8055-9158b827767a"] }, Open ]], -Cell[186470, 4196, 154, 3, 22, "Input",ExpressionUUID->"a1f6b25c-0873-4498-a93d-113565ed896c"], Cell[CellGroupData[{ -Cell[186649, 4203, 1713, 42, 71, "Input",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], -Cell[188365, 4247, 10185, 224, 339, "Output",ExpressionUUID->"cb1c4020-ab43-4766-b2c6-457ac2e5298a"] +Cell[1850317, 31928, 1018, 27, 24, "Input",ExpressionUUID->"95c3ad10-59a3-4496-bbd9-ec9966e153e1"], +Cell[1851338, 31957, 8989, 170, 163, "Output",ExpressionUUID->"a3028a35-bb3d-4af0-9cec-08135dfa52fc"] }, Open ]] }, Open ]], Cell[CellGroupData[{ -Cell[198599, 4477, 159, 3, 41, "Subsection",ExpressionUUID->"36c7685a-f47a-40aa-a737-8c7f6f4317c1"], -Cell[198761, 4482, 791, 22, 89, InheritFromParent,ExpressionUUID->"afc540ea-ef9a-493b-9583-ed901e3ac580"], -Cell[199555, 4506, 936, 25, 105, InheritFromParent,ExpressionUUID->"cdb9b75d-4c04-4ef5-9969-9e72079c5d58"], -Cell[200494, 4533, 1216, 31, 137, InheritFromParent,ExpressionUUID->"e7739266-4bd1-4804-846a-5133bf45bfe6"], -Cell[201713, 4566, 1332, 34, 153, InheritFromParent,ExpressionUUID->"e0c29aa2-30dd-4eb9-990b-b4abf8865eeb"], -Cell[203048, 4602, 1583, 40, 185, InheritFromParent,ExpressionUUID->"80a3d021-bc5a-410c-8e70-089bc45d33bc"], -Cell[204634, 4644, 1730, 43, 201, InheritFromParent,ExpressionUUID->"1d95a4fa-b7b4-4d6f-9695-22a28602846c"], -Cell[206367, 4689, 1973, 49, 233, InheritFromParent,ExpressionUUID->"f3f292b7-6741-4c30-b2ac-b80cd82a3eaa"], -Cell[208343, 4740, 2101, 52, 137, InheritFromParent,ExpressionUUID->"b6e4cc8e-edfb-44a9-a3e6-a4d9a234eb0c"], -Cell[210447, 4794, 2020, 54, 137, InheritFromParent,ExpressionUUID->"a5a4b4cf-3264-415e-9912-fe5941040891"], +Cell[1860376, 32133, 159, 3, 41, "Subsection",ExpressionUUID->"36c7685a-f47a-40aa-a737-8c7f6f4317c1"], +Cell[1860538, 32138, 1301, 31, 72, "Input",ExpressionUUID->"afc540ea-ef9a-493b-9583-ed901e3ac580"], +Cell[1861842, 32171, 1414, 34, 89, "Input",ExpressionUUID->"cdb9b75d-4c04-4ef5-9969-9e72079c5d58"], +Cell[1863259, 32207, 1671, 39, 89, "Input",ExpressionUUID->"e7739266-4bd1-4804-846a-5133bf45bfe6"], +Cell[1864933, 32248, 1740, 42, 105, "Input",ExpressionUUID->"e0c29aa2-30dd-4eb9-990b-b4abf8865eeb"], +Cell[1866676, 32292, 1946, 49, 105, "Input",ExpressionUUID->"80a3d021-bc5a-410c-8e70-089bc45d33bc"], +Cell[1868625, 32343, 2035, 50, 105, "Input",ExpressionUUID->"1d95a4fa-b7b4-4d6f-9695-22a28602846c"], +Cell[1870663, 32395, 2280, 55, 121, "Input",ExpressionUUID->"f3f292b7-6741-4c30-b2ac-b80cd82a3eaa"], +Cell[1872946, 32452, 2423, 60, 121, "Input",ExpressionUUID->"b6e4cc8e-edfb-44a9-a3e6-a4d9a234eb0c"], +Cell[1875372, 32514, 2451, 62, 137, "Input",ExpressionUUID->"2f0f517e-a884-4fb5-aa03-33b1e6e8e890"], Cell[CellGroupData[{ -Cell[212492, 4852, 1821, 41, 41, "Input",ExpressionUUID->"b9ab51e0-9b64-4dfa-80a9-3047d72051b1"], -Cell[214316, 4895, 511, 11, 20, "Message",ExpressionUUID->"edaad5f4-5205-486f-b107-406316036f3a"] +Cell[1877848, 32580, 782, 21, 72, "Input",ExpressionUUID->"990c0156-b917-447b-8ea2-39f8d0d504d1"], +Cell[1878633, 32603, 1912, 46, 60, "Output",ExpressionUUID->"81cdba28-d0b6-47a3-9244-3d7e31ecea11"] }, Open ]] }, Open ]] }, Open ]] diff --git a/s11.wl b/s11.wl new file mode 100644 index 0000000..e6293c8 --- /dev/null +++ b/s11.wl @@ -0,0 +1,11 @@ +(* Created with the Wolfram Language : www.wolfram.com *) +{1156.5563282982414, {\[Theta]c -> 1.3913474674915387, + \[Theta]0 -> 0.19655731479519636, AH -> -2.543160499630645, + A[1] -> 1.7202774828753598, A[2] -> 0.5931379821475696, + gC[1] -> -0.3590042949089068, gC[2] -> -0.0020294361484579516, + A[3] -> -0.28632916219030613, gC[3] -> 0.05284520770144243, + gC[4] -> -0.0200782236788562, A[4] -> 0.07340497669659873, + gC[5] -> 0.0034133036368888883, gC[6] -> -0.00021383325303668766, + A[5] -> -0.007299574480334138, gC[7] -> -0.00002495169711421392, + gC[8] -> 3.6299187273213372*^-6, A[6] -> 0.0000294160793005649, + gC[9] -> -1.2350236293412953*^-8}} diff --git a/schofield.wl b/schofield.wl index 3f45f5d..98f9721 100644 --- a/schofield.wl +++ b/schofield.wl @@ -32,7 +32,7 @@ $Assumptions = {θc > 0, θc > 1, gC[_] ∈ Reals, B > 0} Δ[D_:2] := β[D] δ[D] -OverBar[s] := 2^(1/12) Exp[-1/8] Glaisher^(3/2) +os := 2^(1/12) Exp[-1/8] Glaisher^(3/2) t[θ_] := ((θ/1)^2 - 1) h[n_][θ_] := (1 - (θ/θc)^2) Sum[gC[i] θ^(2*i+1), {i, 0, n}] @@ -95,11 +95,11 @@ dΦdηList[n_, h_][m_, θp_] := Module[ Table[Sum[df[[k+1]] BellY[j, k, ds[[;; j - k + 1]]], {k, 0, j}]/(j!), {j, 0, m}] ] -ruleB[g_] := B -> (2 * OverBar[s] / π) * (- g'[θc] / t[θc]^Δ[2]) +ruleB[g_] := B -> (2 * os / π) * (- g'[θc] / t[θc]^Δ[2]) ξYL[g_] := g[I θ0]/(-t[I θ0])^Δ[2]/I AYL[g_] := AH / ((g[I θ0]/ I)^(2 / Δ[2]) * (-η[g]'[I θ0] / (2 θ0 I))^(5/6)) ruleθ0[g_] := ξYL[g] - Around[0.18930, 0.00005] -ruleAL[g_] := AL -> Exp[Δ[2] t[θc]^(Δ[2] - 1) t'[θc] / (2 OverBar[s] / π g'[θc]) - t[θc]^Δ[2] g''[θc] / (4 OverBar[s] / π g'[θc]^2)] t[θc]^(1/8) OverBar[s] / (2 π) * g'[θc] +ruleAL[g_] := AL -> Exp[Δ[2] t[θc]^(Δ[2] - 1) t'[θc] / (2 os / π g'[θc]) - t[θc]^Δ[2] g''[θc] / (4 os / π g'[θc]^2)] t[θc]^(1/8) os / (2 π) * g'[θc] ruleAH[g_] := AYL[g] + Around[1.37, 0.02] eqLowRHSReg[n_][m_] := dRFc[n][m] @@ -137,8 +137,8 @@ eqMid[F_, h_][m_] := D[ Gls = { 0, - -OverBar[s], - −1.000960328725262189480934955172097320572505951770117 Sqrt[2]/((2 )^(-7/8) (2^(3/16)/OverBar[s])^2)/2/(12 \[Pi]), + -os, + −1.000960328725262189480934955172097320572505951770117 Sqrt[2]/((2 )^(-7/8) (2^(3/16)/os)^2)/2/(12 \[Pi]), Around[ 0.038863932, 3.0 10^(-9)], Around[−0.068362119, 2.0 10^(-9)], Around[ 0.18388371, 1.0 10^(-8)], @@ -156,7 +156,7 @@ Gls = { Ghs = { 0, 0, - -1.000815260440212647119476363047210236937534925597789 Sqrt[2]/((2 )^(-7/8) (2^(3/16)/OverBar[s])^2)/2, + -1.000815260440212647119476363047210236937534925597789 Sqrt[2]/((2 )^(-7/8) (2^(3/16)/os)^2)/2, 0, Around[ 8.333711750, 5.0 10^(-9)], 0, @@ -189,7 +189,7 @@ resLow[n_, g_, δ_][m_] := formResiduals[Gls[[;;m+1]], dGdξLowList[n, g][m], δ resHigh[n_, g_, δ_][m_] := Rest[formResiduals[Ghs[[;;m+1]], dGdξList[n, g][m, 0], δ][[;;;;2]]] -res[F_, g_, δ_][m_] := Join[resLow[F, g, δ][m], resHigh[F, g, δ][m]] +res[F_, g_, δ_][m_] := Join[resLow[F, g, δ][m], resHigh[F, g, δ][m]] //. rules[g] chiSquared[F_, g_, δ_][m_] := Total[res[F, g, δ][m]^2] newSol[eqs_, oldSol_, newVars_, δ_:0, γ_:0, opts___] := FindRoot[ @@ -203,13 +203,12 @@ newSol[eqs_, oldSol_, newVars_, δ_:0, γ_:0, opts___] := FindRoot[ ] levenbergMarquardtStep[M_, λ_, g_] := Quiet[ - - LinearSolve[M + λ DiagonalMatrix[Diagonal[M]], g] + - LinearSolve[M + λ DiagonalMatrix[Max[10^-5, #] & /@Diagonal[M]], g] , LinearSolve::luc ] levenbergMarquardtAcceleration[M_, λ_, v_, J_, rold_, rf_, h_, β_] := Quiet[ - δβ = β; δβ[[All, 2]] += h v; - - Re[LinearSolve[M + λ DiagonalMatrix[Diagonal[M]], Transpose[J].(2/h(((rf /. δβ) - rold) / h - J . v))] / 2] + - Re[LinearSolve[M + λ DiagonalMatrix[Max[10^-5, #] & /@Diagonal[M]], Transpose[J].(2/h((rf[β[[All,2]] + h v] - rold) / h - J . v))] / 2] , LinearSolve::luc ] @@ -232,7 +231,7 @@ levenbergMarquardt[r_, β0_, λ0_ : 1, ν_ : 2, ε_ : 10^-15] := ] -levenbergMarquardtHelper[r_, J_, β0_, λ0_ : 1, ν_ : 2, ε_ : 10^-15] := +levenbergMarquardtHelper[Δ_, rf_, Jf_, β0_, λ0_ : 1, ν_ : 1.5, ε_ : 10^-15] := Module[ { n = Length[β0], @@ -240,61 +239,107 @@ levenbergMarquardtHelper[r_, J_, β0_, λ0_ : 1, ν_ : 2, ε_ : 10^-15] := λ = λ0, newβ = β0, x, oldJ, oldr, newr, M, g, δ, oldC, newC, vlast, - h = 0.1, fvv, α = 0.1, a, v, U, nSteps = 0, reject, μ =3 + h = 0.1, α = 0.1, a, v, μ = 5 }, - PrintTemporary["Beginning the algorithm."]; - oldr = r /. β; - oldJ = J /. β; - U = First[SingularValueDecomposition[oldJ]]; + oldr = rf[Append[β[[All, 2]], Δ]]; + oldJ = Jf[Append[β[[All, 2]], Δ]]; oldC = Re[Total[oldr^2]]; newC = oldC; g = Re[Transpose[oldJ] . oldr]; M = Re[Transpose[oldJ] . oldJ]; v = levenbergMarquardtStep[M, λ, g]; - a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, r, h, β]; + a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, rf, h, β, Δ]; δ = v + a; vlast = v; PrintTemporary["Current cost value: ", Dynamic[oldC]]; While[Norm[δ] > ε, newβ[[All, 2]] += δ; - newr = r /. newβ; + newr = rf[Append[newβ[[All, 2]], Δ]]; newC = Re[Total[newr^2]]; While[((1 - v . vlast / Norm[v] / Norm[vlast])newC > oldC) || (2 Norm[a] / Norm[v] > α), λ *= ν; v = levenbergMarquardtStep[M, λ, g]; - a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, r, h, β]; + a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, rf, h, β, Δ]; δ = v + a; newβ = β; newβ[[All, 2]] += δ; - newr = r /. newβ; + newr = rf[Append[newβ[[All, 2]], Δ]]; newC = Re[Total[newr^2]]; ]; oldC = newC; oldr = newr; β = newβ; - oldJ = J /. β; - U = First[SingularValueDecomposition[oldJ]]; + oldJ = Jf[Append[β[[All, 2]], Δ]]; g = Re[Transpose[oldJ] . oldr]; M = Re[Transpose[oldJ] . oldJ]; vlast = v; λ /= μ; v = levenbergMarquardtStep[M, λ, g]; - a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, r, h, β]; + a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, rf, h, β, Δ]; δ = v + a; - nSteps += 1; ]; {newC, β} ] -annealFit[r_, Δ_, β0_, δ0_ : 10^-5, δ1_ : 10^-13, δδ_ : 100, λ0_ : 1, ν_ : 2, ε_: 10^-15] := -Module[ {lastfit = {1, β0}, dr, δ = δ0}, - dr = D[r, {First /@ β0}]; - While[δ >= δ1, - lastfit = levenbergMarquardtHelper[r /. Δ -> δ, dr /. Δ -> δ, lastfit[[2]], λ0, ν, ε]; - Print[lastfit[[1]]]; - δ /= δδ; +annealFit[r_, β0_, γ_ : 0, λ0_ : 1, ν_ : 2, μ_ : 3, ε_: 10^-14, h_ : 0.1, α_ : 0.1] := +Module[ { + rf, Jf, + n = Length[β0], + β = β0, + λ = λ0, + newβ = β0, + x, oldJ, oldr, newr, M, g, δβ, oldC, newC, vlast, + a, v + }, + Quiet[ + rf = Compile[{{x, _Real, 1}}, + Evaluate[r /. Thread[Rule[First /@ β0, Part[x, #] & /@ Range[n]]]] + ]; + Jf = Compile[{{x, _Real, 1}}, + Evaluate[D[r, {First /@ β0}] /. Thread[Rule[First /@ β0, Part[x, #] & /@ Range[n]]]] + ]; + , Part::partd]; + If[γ > 0, + β[[All, 2]] += RandomVariate[NormalDistribution[0, γ], n]]; + newβ = β; + λ = λ0; + oldr = rf[β[[All, 2]]]; + oldJ = Jf[β[[All, 2]]]; + oldC = Re[Total[oldr^2]]; + newC = oldC; + g = Re[Transpose[oldJ] . oldr]; + M = Re[Transpose[oldJ] . oldJ]; + v = levenbergMarquardtStep[M, λ, g]; + a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, rf, h, β]; + δβ = v + a; + vlast = v; + While[Norm[δβ] > ε, + newβ[[All, 2]] += δβ; + newr = rf[newβ[[All, 2]]]; + newC = Re[Total[newr^2]]; + While[((1 - v . vlast / Norm[v] / Norm[vlast])newC > oldC) || (2 Norm[a] / Norm[v] > α), + λ *= ν; + v = levenbergMarquardtStep[M, λ, g]; + a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, rf, h, β]; + δβ = v + a; + newβ = β; + newβ[[All, 2]] += δβ; + newr = rf[newβ[[All, 2]]]; + newC = Re[Total[newr^2]]; + ]; + oldC = newC; + oldr = newr; + β = newβ; + oldJ = Jf[β[[All, 2]]]; + g = Re[Transpose[oldJ] . oldr]; + M = Re[Transpose[oldJ] . oldJ]; + vlast = v; + λ /= μ; + v = levenbergMarquardtStep[M, λ, g]; + a = levenbergMarquardtAcceleration[M, λ, v, oldJ, oldr, rf, h, β]; + δβ = v + a; ]; - lastfit + {oldC, β} ] fitCov[res_, s_] := -- cgit v1.2.3-54-g00ecf