From f09578eafaf760583c7836af9ea57584a6a0025e Mon Sep 17 00:00:00 2001 From: Jaron Kent-Dobias Date: Wed, 20 Oct 2021 19:12:06 +0200 Subject: Work. --- new_schofield.nb | 20658 ++++++++++++++++++++++++++++------------------------- schofield.wl | 19 +- 2 files changed, 10896 insertions(+), 9781 deletions(-) diff --git a/new_schofield.nb b/new_schofield.nb index 38c5c83..d67e096 100644 --- a/new_schofield.nb +++ b/new_schofield.nb @@ -10,10 +10,10 @@ NotebookFileLineBreakTest NotebookFileLineBreakTest NotebookDataPosition[ 158, 7] -NotebookDataLength[ 2054848, 35546] -NotebookOptionsPosition[ 2039525, 35305] -NotebookOutlinePosition[ 2039923, 35321] -CellTagsIndexPosition[ 2039880, 35318] +NotebookDataLength[ 2099745, 36658] +NotebookOptionsPosition[ 2080111, 36353] +NotebookOutlinePosition[ 2080510, 36369] +CellTagsIndexPosition[ 2080467, 36366] WindowFrame->Normal*) (* Beginning of Notebook Content *) @@ -33,7 +33,8 @@ Cell[BoxData[ 3.83957102205329*^9, 3.8395710222207737`*^9}, {3.841389917784724*^9, 3.841389919112598*^9}, {3.8424227955510283`*^9, 3.842422804973724*^9}, { 3.8425181034802094`*^9, 3.8425181185428543`*^9}}, - CellLabel->"In[1]:=",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], + CellLabel-> + "In[354]:=",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], Cell[BoxData[{ RowBox[{ @@ -91,7 +92,77 @@ Cell[BoxData[ RowBox[{ RowBox[{"nAs", "=", "2"}], ";"}]], "Input", CellChangeTimes->{{3.841213645969795*^9, 3.841213648302487*^9}}, - CellLabel->"In[82]:=",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], + CellLabel-> + "In[244]:=",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"stest", "=", + RowBox[{"newSol", "[", + RowBox[{ + RowBox[{"randomEval", "[", + RowBox[{"Drop", "[", + RowBox[{ + RowBox[{ + RowBox[{"eqAround", "[", + RowBox[{"2", ",", + RowBox[{"h", "[", "3", "]"}]}], "]"}], "[", + RowBox[{"2", ",", "2", ",", "2"}], "]"}], ",", "1"}], "]"}], "]"}], + ",", + RowBox[{"Most", "@", + RowBox[{ + RowBox[{"SN", "[", "2", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}]}], ",", + RowBox[{"{", + RowBox[{"gC", "[", "3", "]"}], "}"}], ",", "0.1"}], "]"}]}]], "Input", + CellChangeTimes->{{3.8437350842487507`*^9, 3.8437350887834578`*^9}, { + 3.843735130705277*^9, 3.843735208315724*^9}, {3.843735319485054*^9, + 3.8437353404680843`*^9}}, + CellLabel-> + "In[373]:=",ExpressionUUID->"f1779a9a-9c6a-4c22-8f2d-5e3f6320dd18"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", + RowBox[{"1.30739428360541`", "\[VeryThinSpace]", "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}], ",", + RowBox[{"\[Theta]0", "\[Rule]", + RowBox[{"0.1986413821273041`", "\[VeryThinSpace]", "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{ + RowBox[{"-", "2.3455271705562053`"}], "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", + RowBox[{"1.4375595560982888`", "\[VeryThinSpace]", "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", + RowBox[{"0.38772441346096487`", "\[VeryThinSpace]", "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{ + RowBox[{"-", "0.04228284080339083`"}], "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", + RowBox[{"0.010833244085729572`", "\[VeryThinSpace]", "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", + RowBox[{ + RowBox[{"-", "0.0019045960428110999`"}], "+", + RowBox[{"0.`", " ", "\[ImaginaryI]"}]}]}]}], "}"}]], "Output", + CellChangeTimes->{{3.8437350129483624`*^9, 3.843735090915018*^9}, + 3.843735128296685*^9, {3.84373516161607*^9, 3.843735229281365*^9}, { + 3.843735326877481*^9, 3.8437353415674667`*^9}}, + CellLabel-> + "Out[373]=",ExpressionUUID->"7b6a0ec1-17b1-42fe-be1f-bc27b407eb0f"] +}, Open ]], Cell[CellGroupData[{ @@ -105,12 +176,14 @@ Cell[BoxData[ RowBox[{"newSol", "[", RowBox[{ RowBox[{"randomEval", "[", - RowBox[{ - RowBox[{"eqAround", "[", - RowBox[{"2", ",", - RowBox[{"h", "[", "0", "]"}]}], "]"}], "[", - RowBox[{"1", ",", - RowBox[{"-", "1"}], ",", "2"}], "]"}], "]"}], ",", + RowBox[{"Drop", "[", + RowBox[{ + RowBox[{ + RowBox[{"eqAround", "[", + RowBox[{"2", ",", + RowBox[{"h", "[", "2", "]"}]}], "]"}], "[", + RowBox[{"2", ",", "2", ",", "2"}], "]"}], ",", "2"}], "]"}], "]"}], + ",", RowBox[{"{", RowBox[{ RowBox[{"\[Theta]c", "->", @@ -120,7 +193,9 @@ Cell[BoxData[ RowBox[{"{", RowBox[{"AH", ",", RowBox[{"A", "[", "1", "]"}], ",", - RowBox[{"A", "[", "2", "]"}]}], "}"}], ",", ".1"}], "]"}], + RowBox[{"A", "[", "2", "]"}], ",", + RowBox[{"gC", "[", "1", "]"}], ",", + RowBox[{"gC", "[", "2", "]"}]}], "}"}], ",", ".1"}], "]"}], "]"}]}]}]], "Input", CellChangeTimes->{{3.840872563521092*^9, 3.840872576998932*^9}, { 3.840872709369928*^9, 3.840872764402226*^9}, {3.840877636812889*^9, @@ -154,21 +229,14 @@ Cell[BoxData[ 3.841994755346443*^9}, {3.841994896941716*^9, 3.841994926998374*^9}, { 3.841994983607177*^9, 3.8419950242243156`*^9}, {3.841995200194737*^9, 3.8419952057475653`*^9}, {3.842688660466323*^9, 3.842688668562681*^9}, { - 3.842688747500245*^9, 3.842688751827346*^9}}, - CellLabel->"In[83]:=",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], + 3.842688747500245*^9, 3.842688751827346*^9}, 3.843711136688591*^9, { + 3.8437111949458714`*^9, 3.843711256554105*^9}, 3.843714578528306*^9, { + 3.843734907692033*^9, 3.843734942084776*^9}, {3.8437349752622023`*^9, + 3.843734978125502*^9}}, + CellLabel-> + "In[349]:=",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.2171706268550393`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19817523356193437`"}], ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.343460616133579`"}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.4526339551636134`"}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.47903556143158604`"}]}], - "}"}]], "Output", +Cell[BoxData["$Aborted"], "Output", CellChangeTimes->{{3.841213667298201*^9, 3.841213680834222*^9}, { 3.841225528753489*^9, 3.8412255307639217`*^9}, 3.841297785004229*^9, 3.841303397495946*^9, 3.841304437958754*^9, 3.841304527293501*^9, { @@ -196,8 +264,12 @@ Cell[BoxData[ 3.8426885885640917`*^9, 3.842688589825081*^9}, {3.842688667291643*^9, 3.84268867286578*^9}, {3.8426887522632008`*^9, 3.842688753765951*^9}, 3.842688845006856*^9, 3.842939605883937*^9, 3.843290139302218*^9, - 3.843644146085823*^9}, - CellLabel->"Out[83]=",ExpressionUUID->"644e4928-729a-43f8-82b8-8a5ddf0eda13"] + 3.843644146085823*^9, 3.843710924398151*^9, {3.843711054640924*^9, + 3.8437110922400217`*^9}, 3.8437111370774107`*^9, {3.843711214813362*^9, + 3.84371125686831*^9}, {3.8437145761432467`*^9, 3.843714578908883*^9}, + 3.843734947477396*^9, 3.843734987457467*^9}, + CellLabel-> + "Out[349]=",ExpressionUUID->"1560e838-31e7-482c-8c61-6b82790b3283"] }, Open ]], Cell[CellGroupData[{ @@ -220,18 +292,20 @@ Cell[BoxData[ CellChangeTimes->{{3.841389858617463*^9, 3.841389864495379*^9}, { 3.841390308400168*^9, 3.841390319008019*^9}, {3.842068918729508*^9, 3.842068919328607*^9}}, - CellLabel->"In[35]:=",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], + CellLabel-> + "In[247]:=",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.200068`", ",", + RowBox[{"0.211381`", ",", RowBox[{"{", - RowBox[{"1.5543122344752192`*^-15", ",", - RowBox[{"-", "1.3578383417065931`"}], ",", - RowBox[{"-", "0.02637733030788414`"}], ",", "0.007691340397720298`", ",", - RowBox[{"-", "0.003528562244727541`"}], ",", "0.001890812094933653`", + RowBox[{"5.037636974236648`*^-15", ",", + RowBox[{"-", "1.3578383417065911`"}], ",", + RowBox[{"-", "0.026337983587361724`"}], ",", "0.007675283648517661`", ",", - RowBox[{"-", "0.0011029916207058502`"}]}], "}"}]}], "}"}]], "Output", + RowBox[{"-", "0.003524064392815372`"}], ",", "0.0018900670454341274`", + ",", + RowBox[{"-", "0.0011030713997917116`"}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{ 3.841389868403063*^9, 3.8413899704214067`*^9, 3.841390191376688*^9, 3.841390222192317*^9, 3.8413902544921722`*^9, {3.841390296630432*^9, @@ -250,8 +324,10 @@ Cell[BoxData[ 3.842683983377284*^9}, 3.8426846581937027`*^9, 3.842684904465537*^9, 3.842686806884112*^9, 3.842687683113398*^9, 3.8426885904206676`*^9, 3.8426887543295116`*^9, 3.8426888454660797`*^9, 3.8429396072831182`*^9, - 3.843290139550982*^9}, - CellLabel->"Out[35]=",ExpressionUUID->"570d9331-beca-4192-b537-3d2d08e47729"] + 3.843290139550982*^9, 3.843710924603498*^9, 3.843711088523991*^9, + 3.843711138218265*^9, 3.843711257605888*^9, 3.843714579451165*^9}, + CellLabel-> + "Out[247]=",ExpressionUUID->"44b44280-02dd-432c-a93d-a6c18e60d889"] }, Open ]], Cell[CellGroupData[{ @@ -268,11 +344,10 @@ Cell[BoxData[ RowBox[{ RowBox[{"dGd\[Xi]LowList", "[", RowBox[{"2", ",", - RowBox[{"h", "[", "0", "]"}]}], "]"}], "[", "8", "]"}], "]"}], "//.", + RowBox[{"h", "[", "3", "]"}]}], "]"}], "[", "8", "]"}], "]"}], "//.", RowBox[{"rules", "[", - RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", - RowBox[{"S", "[", "2", "]"}]}], "]"}]}], "\[IndentingNewLine]", "}"}], - "]"}]], "Input", + RowBox[{"h", "[", "3", "]"}], "]"}]}], "/.", "stest"}], "]"}]}], + "\[IndentingNewLine]", "}"}], "]"}]], "Input", CellChangeTimes->{{3.8291280118781147`*^9, 3.829128118103051*^9}, { 3.829128192513464*^9, 3.82912819312051*^9}, {3.829128371940607*^9, 3.829128493270048*^9}, {3.8291285364471493`*^9, 3.8291285366627502`*^9}, { @@ -329,8 +404,17 @@ Cell[BoxData[ 3.8423304358921137`*^9, 3.8423304652996798`*^9}, {3.842330525735715*^9, 3.8423305258049088`*^9}, {3.842337089014374*^9, 3.8423370891958523`*^9}, { 3.842599365778761*^9, 3.8425993664099607`*^9}, {3.843290142351856*^9, - 3.843290142806099*^9}, {3.843291111903468*^9, 3.843291118686925*^9}}, - CellLabel->"In[37]:=",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], + 3.843290142806099*^9}, {3.843291111903468*^9, 3.843291118686925*^9}, { + 3.843711147785304*^9, 3.8437111702165623`*^9}, {3.8437112783716507`*^9, + 3.843711279458544*^9}, {3.843714586776857*^9, 3.843714586967641*^9}, { + 3.843731722169923*^9, 3.843731728945655*^9}, 3.843731857508582*^9, { + 3.8437319153173018`*^9, 3.843731925261197*^9}, {3.8437321358898993`*^9, + 3.843732139233203*^9}, {3.843732799750082*^9, 3.8437328493500834`*^9}, { + 3.8437330806830597`*^9, 3.843733105226886*^9}, {3.8437333721607237`*^9, + 3.843733374624625*^9}, {3.8437351833302603`*^9, 3.84373518371329*^9}, { + 3.843735347060704*^9, 3.843735363453938*^9}}, + CellLabel-> + "In[375]:=",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], Cell[BoxData[ GraphicsBox[{{{ @@ -540,10 +624,10 @@ Cell[BoxData[ 13.008074231002201`}, {15., 15.354526006101828`}}]}, {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], AbsoluteThickness[1.6], - PointBox[{{2., 0.30589398059721395`}, {3., -3.0168887065380416`}, { - 4., -3.169157364353553}, {5., -2.529656477407978}, { - 6., -1.5092978879488355`}, {7., -0.23601180732651303`}, {8., - 1.2395736257434904`}, {9., 2.8885185968901332`}}]}}, {{}, {}}}, + PointBox[{{2., 0.3058939805973395}, {3., -3.0168887065381007`}, { + 4., -3.2384753178398777`}, {5., -2.6396229982862995`}, { + 6., -1.5995614426337927`}, {7., -0.27923626577710925`}, {8., + 1.2381495928937638`}, {9., 2.911830858222188}}]}}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -647,8 +731,15 @@ Cell[BoxData[ 3.842684659130677*^9, 3.842684905226742*^9, 3.842686808015524*^9, 3.842687684232486*^9, 3.84268859143297*^9, 3.842688755459013*^9, 3.84268884685119*^9, 3.842939608721219*^9, {3.8432901406101847`*^9, - 3.8432901437917347`*^9}}, - CellLabel->"Out[37]=",ExpressionUUID->"463c06a6-d67c-40a3-b029-5fdaa3bcba74"] + 3.8432901437917347`*^9}, 3.843710926700839*^9, {3.8437111400328817`*^9, + 3.843711174203231*^9}, {3.843711261877253*^9, 3.843711283438138*^9}, { + 3.843714583354475*^9, 3.843714591016408*^9}, 3.843731741820924*^9, { + 3.843731919344845*^9, 3.843731927535083*^9}, 3.843732141204548*^9, + 3.843732851581748*^9, {3.843733102888973*^9, 3.8437331082537203`*^9}, { + 3.843733367828533*^9, 3.843733376807994*^9}, 3.8437351861353197`*^9, { + 3.84373535353183*^9, 3.843735365917848*^9}}, + CellLabel-> + "Out[375]=",ExpressionUUID->"b42c1f8b-0961-4720-9780-938a106ee199"] }, Open ]], Cell[CellGroupData[{ @@ -665,12 +756,25 @@ Cell[BoxData[ RowBox[{ RowBox[{"dGd\[Xi]List", "[", RowBox[{"2", ",", - RowBox[{"h", "[", "0", "]"}]}], "]"}], "[", + RowBox[{"h", "[", "3", "]"}]}], "]"}], "[", RowBox[{"10", ",", "0"}], "]"}]}], "//.", RowBox[{"rules", "[", - RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", - RowBox[{"S", "[", "2", "]"}]}], "]"}]}], "\[IndentingNewLine]", "}"}], - "]"}]], "Input", + RowBox[{"h", "[", "3", "]"}], "]"}]}], "/.", "stest"}], "]"}], ",", + "\[IndentingNewLine]", + RowBox[{"Chop", "[", + RowBox[{ + RowBox[{ + RowBox[{"Abs", "@", + RowBox[{ + RowBox[{"dGd\[Xi]List", "[", + RowBox[{"4", ",", + RowBox[{"h", "[", "2", "]"}]}], "]"}], "[", + RowBox[{"10", ",", "0"}], "]"}]}], "//.", + RowBox[{"rules", "[", + RowBox[{"h", "[", "2", "]"}], "]"}]}], "/.", + RowBox[{"fitAll2", "[", + RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}], "\[IndentingNewLine]", + "}"}], "]"}]], "Input", CellChangeTimes->{{3.8291280118781147`*^9, 3.829128118103051*^9}, { 3.829128192513464*^9, 3.82912819312051*^9}, {3.829128371940607*^9, 3.829128493270048*^9}, {3.8291285364471493`*^9, 3.8291285366627502`*^9}, { @@ -726,8 +830,14 @@ Cell[BoxData[ 3.841994615111747*^9}, {3.842003430402297*^9, 3.8420034305840683`*^9}, { 3.842068927800907*^9, 3.842068934425075*^9}, {3.842330470596788*^9, 3.8423305414929953`*^9}, {3.842337092908471*^9, 3.842337093388023*^9}, { - 3.8432901492065973`*^9, 3.8432901502064457`*^9}}, - CellLabel->"In[38]:=",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], + 3.8432901492065973`*^9, 3.8432901502064457`*^9}, {3.843711154761228*^9, + 3.843711155264271*^9}, {3.843711284203223*^9, 3.843711285394446*^9}, { + 3.843731704281345*^9, 3.843731715745541*^9}, {3.843731932094289*^9, + 3.843731944021738*^9}, {3.8437321241374807`*^9, 3.843732132193034*^9}, { + 3.843732765588666*^9, 3.843732809901375*^9}, {3.8437333348475018`*^9, + 3.843733353095563*^9}, {3.8437353722697067`*^9, 3.8437353829251413`*^9}}, + CellLabel-> + "In[376]:=",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], Cell[BoxData[ GraphicsBox[{{{ @@ -816,13 +926,18 @@ Cell[BoxData[ 16.1573163641116}}]}, {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], AbsoluteThickness[1.6], - PointBox[{{3., 0.6126028894906161}, {5., 2.211893987174568}, {7., - 4.7915864226302585`}, {9., 7.682514938359644}, {11., - 10.727444765128492`}}]}}, {{}, {}}}, + PointBox[{{3., 0.6126028894906071}, {5., 2.1109785113895767`}, {7., + 4.534176839769846}, {9., 7.255067201410258}, {11., + 10.12730531781154}}]}, + {RGBColor[0.560181, 0.691569, 0.194885], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + PointBox[{{3., 0.6126028894906262}, {5., 2.1109739099468317`}, {7., + 4.534081549695019}, {9., 7.254889801159566}, {11., + 10.127122769451875`}}]}}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{0., -0.6038591566117526}, + AxesOrigin->{0., -0.6038591566117528}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -843,7 +958,7 @@ Cell[BoxData[ Part[#, 1]], Exp[ Part[#, 2]]}& )}}, - PlotRange->{{0., 15.}, {-0.6038591566117526, 16.1573163641116}}, + PlotRange->{{0., 15.}, {-0.6038591566117528, 16.1573163641116}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], @@ -884,227 +999,951 @@ Cell[BoxData[ FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}}}]]], "Output", - CellChangeTimes->{{3.8394864302572823`*^9, 3.839486485737481*^9}, { - 3.8394868108920527`*^9, 3.839486833544271*^9}, {3.839486968169405*^9, - 3.839486994739235*^9}, {3.839487050609737*^9, 3.839487081545911*^9}, { - 3.839487139032605*^9, 3.839487149734391*^9}, 3.8394880559648848`*^9, - 3.839488095210012*^9, 3.839488432022138*^9, 3.839488966485567*^9, - 3.839489132907782*^9, 3.8394891742679043`*^9, {3.83948923216856*^9, - 3.839489247298073*^9}, {3.8394892811100388`*^9, 3.839489297255623*^9}, { - 3.839489518429874*^9, 3.839489576749642*^9}, 3.839489614460577*^9, { - 3.839489646744204*^9, 3.839489717916*^9}, {3.839489779953936*^9, - 3.839489797877178*^9}, {3.839489858269053*^9, 3.839489894400456*^9}, - 3.83948999298129*^9, 3.839490039302783*^9, 3.839490198501548*^9, { - 3.8394903145949183`*^9, 3.839490366668486*^9}, 3.839490410230691*^9, - 3.83949068744411*^9, 3.839490882032105*^9, {3.839490915087521*^9, - 3.8394909440773563`*^9}, {3.839491208442045*^9, 3.839491227913024*^9}, - 3.839491282583577*^9, 3.83949138318426*^9, 3.839491847872534*^9, - 3.839491922779976*^9, {3.83949214072232*^9, 3.839492147793445*^9}, - 3.839492703416108*^9, {3.8394930877867403`*^9, 3.839493111348575*^9}, - 3.839493159337824*^9, 3.839493260745894*^9, 3.8394938495813704`*^9, { - 3.839494015878335*^9, 3.839494051166876*^9}, 3.839494155707307*^9, { - 3.839494232008819*^9, 3.8394942817479143`*^9}, {3.8394955838062563`*^9, - 3.839495620912838*^9}, {3.839495892980287*^9, 3.839495961352178*^9}, - 3.839495996781355*^9, 3.8394960290995007`*^9, {3.8394961117034683`*^9, - 3.839496132210868*^9}, {3.839496233750821*^9, 3.839496247464304*^9}, { - 3.839496287823802*^9, 3.839496304224265*^9}, 3.83949641106695*^9, - 3.839496651844782*^9, 3.839569714442939*^9, 3.839570692350816*^9, - 3.839571037545631*^9, 3.8395710776956797`*^9, 3.8395711376334047`*^9, - 3.839571186222416*^9, 3.839571351854351*^9, 3.8395716431049433`*^9, - 3.8395716961263447`*^9, 3.8395719356619263`*^9, 3.839571975466508*^9, { - 3.8395720292576017`*^9, 3.839572038127006*^9}, {3.83957217256413*^9, - 3.83957219391011*^9}, 3.839572236054682*^9, {3.839572276306333*^9, - 3.839572287892969*^9}, 3.839572342583872*^9, 3.839572572560753*^9, - 3.839572613884104*^9, {3.839572830197351*^9, 3.839572867853582*^9}, { - 3.839572962859436*^9, 3.839572978399377*^9}, 3.839573191565909*^9, - 3.83957323416776*^9, 3.839574190974876*^9, {3.839574358418882*^9, - 3.839574363836178*^9}, 3.839577688193771*^9, {3.839577931409347*^9, - 3.839577940725779*^9}, {3.839579623692398*^9, 3.839579641583359*^9}, - 3.839580176251998*^9, {3.839580243310802*^9, 3.839580260058309*^9}, - 3.83958034038577*^9, 3.839581557584*^9, {3.83958160487352*^9, - 3.839581615679512*^9}, 3.839581993151758*^9, 3.839582040886951*^9, - 3.839582108820992*^9, 3.839582559973913*^9, 3.839582771424386*^9, { - 3.839583107834976*^9, 3.839583154778919*^9}, {3.8395842000019083`*^9, - 3.839584229236342*^9}, 3.839584319284484*^9, 3.8395843940434847`*^9, - 3.839584572371277*^9, 3.839584947067206*^9, 3.839585081305537*^9, - 3.839586137503179*^9, 3.8395862976249247`*^9, 3.839586429104487*^9, - 3.839587842464167*^9, 3.839647990219092*^9, 3.8396572711023006`*^9, - 3.839658508039483*^9, 3.839658567146755*^9, {3.8396586610674477`*^9, - 3.8396586758654203`*^9}, 3.839659271097528*^9, 3.839661799778942*^9, { - 3.839664337728752*^9, 3.8396643582360373`*^9}, {3.839664548980212*^9, - 3.839664603837595*^9}, 3.839664641104356*^9, 3.8396691346008053`*^9, - 3.8396700455490303`*^9, 3.839670127013689*^9, 3.8405260833413677`*^9, { - 3.840527418121812*^9, 3.840527428230318*^9}, 3.840528597876438*^9, { - 3.84052880585203*^9, 3.840528823602725*^9}, 3.840610810412817*^9, - 3.8408694556097403`*^9, 3.840872804624278*^9, {3.840875797574889*^9, - 3.8408758121424313`*^9}, {3.840877666763609*^9, 3.840877677233533*^9}, - 3.840878067793683*^9, {3.840880830069907*^9, 3.84088085023195*^9}, { - 3.840880881407505*^9, 3.840880885369958*^9}, 3.840880931429838*^9, - 3.840880983523183*^9, 3.840882901582034*^9, 3.8411116534753313`*^9, - 3.841111732347678*^9, 3.841112335446682*^9, 3.8411123976633244`*^9, - 3.8411142717862453`*^9, 3.841114943143334*^9, 3.841115089052647*^9, - 3.841115194051117*^9, 3.8411159468161507`*^9, 3.841116777994376*^9, - 3.841117271305902*^9, {3.841118706967044*^9, 3.841118717367868*^9}, { - 3.8411193655082083`*^9, 3.84111937034937*^9}, 3.8411197766851873`*^9, - 3.8411198234566708`*^9, 3.841119866102133*^9, 3.841120115400488*^9, - 3.841121153260182*^9, 3.841121472842341*^9, 3.841121535767632*^9, - 3.8411219087701063`*^9, 3.841121980800602*^9, 3.841130166199669*^9, - 3.8411305965940332`*^9, {3.841130719276822*^9, 3.8411307399666243`*^9}, - 3.8411312190210743`*^9, 3.841134375147834*^9, 3.8411344263576813`*^9, { - 3.8411344705668488`*^9, 3.841134479867544*^9}, {3.8411346037463017`*^9, - 3.8411346144767933`*^9}, 3.8411356496009407`*^9, 3.841135748390747*^9, - 3.8412137046354218`*^9, 3.841225535005499*^9, 3.8413034028742332`*^9, - 3.841304441693802*^9, 3.841304530951276*^9, {3.841305187833931*^9, - 3.841305194151207*^9}, 3.84130523777777*^9, 3.8413053474536552`*^9, - 3.84131085931179*^9, 3.841316307349918*^9, {3.841390485438642*^9, - 3.84139049061092*^9}, {3.841390578562212*^9, 3.841390608215399*^9}, - 3.8413906429454*^9, 3.841390808978589*^9, {3.841390952687045*^9, - 3.841390955726741*^9}, 3.841391292844046*^9, 3.841392160458399*^9, - 3.841392337553176*^9, 3.841463592414769*^9, 3.8414645935303507`*^9, - 3.8419946154384203`*^9, 3.8419951467045593`*^9, {3.842003427326373*^9, - 3.842003430834703*^9}, 3.8420689347601557`*^9, 3.8423302325436993`*^9, { - 3.842330473156518*^9, 3.8423305425457087`*^9}, 3.842330808232905*^9, - 3.842337094201315*^9, 3.842350008585443*^9, 3.842422355862982*^9, - 3.842422825653632*^9, 3.842518125710841*^9, 3.8425199173222017`*^9, - 3.842522124701228*^9, 3.84252265769657*^9, 3.842524726883174*^9, - 3.842525664574233*^9, 3.8425911702192574`*^9, 3.842599362647512*^9, - 3.8425999121077147`*^9, {3.842683507527425*^9, 3.8426835166404753`*^9}, - 3.8426839854239273`*^9, 3.842684659612424*^9, 3.842684905721077*^9, - 3.842686808625209*^9, 3.842687684839149*^9, 3.842688591998864*^9, - 3.842688755934224*^9, 3.842688847438697*^9, 3.842939609314446*^9, - 3.843290150798224*^9}, - CellLabel->"Out[38]=",ExpressionUUID->"ecc36c6e-ba9c-4ff6-a8bf-cf5fa2322ec1"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"m0", "=", - RowBox[{"annealFit", "[", - RowBox[{ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"2", ",", - RowBox[{"h", "[", "0", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "12"}]]}], "]"}], "[", "2", "]"}], ",", "s0"}], - "]"}]}]], "Input", - CellChangeTimes->{{3.84146414095971*^9, 3.841464175798479*^9}, { - 3.841464380993734*^9, 3.841464386312539*^9}, {3.841464731456504*^9, - 3.8414647327580833`*^9}, {3.8414659180452547`*^9, 3.841465925914133*^9}, { - 3.8417325952371683`*^9, 3.841732596483498*^9}, {3.8417326274288807`*^9, - 3.841732641972403*^9}, 3.841732857201058*^9, 3.8418264400423203`*^9, { - 3.841994521950222*^9, 3.841994537926578*^9}, {3.841994622633304*^9, - 3.8419946862012978`*^9}, {3.841994769452505*^9, 3.841994815043598*^9}, { - 3.841995234420775*^9, 3.841995234498967*^9}, {3.841996465180764*^9, - 3.8419964772179747`*^9}, {3.841996717281023*^9, 3.841996742630658*^9}, { - 3.842071313310508*^9, 3.84207131621989*^9}, {3.84207758447983*^9, - 3.842077584949933*^9}, {3.842330409754711*^9, 3.8423304192747793`*^9}, { - 3.842330498373253*^9, 3.8423305020442667`*^9}, {3.8423308149171867`*^9, - 3.842330815562167*^9}, {3.842525668337566*^9, 3.842525670440715*^9}, { - 3.8425258552453413`*^9, 3.842525869365773*^9}, {3.842683991508649*^9, - 3.842683999547966*^9}, {3.842684095430543*^9, 3.84268409678189*^9}, { - 3.84268455503272*^9, 3.842684577822506*^9}, {3.8426846796264467`*^9, - 3.842684704913135*^9}, {3.842684931758143*^9, 3.8426849338609467`*^9}, { - 3.842686812243655*^9, 3.8426868223997726`*^9}, {3.84268885110296*^9, - 3.842688851597097*^9}}, - CellLabel->"In[11]:=",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{"0.038992753306699636`", ",", - RowBox[{"{", - RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.2113984981855266`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.18040968433960122`"}], ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.1295236147887016`"}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2447338967832837`"}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4997458274069301`"}]}], - "}"}]}], "}"}]], "Output", CellChangeTimes->{ - 3.8419964785762787`*^9, 3.84199677282102*^9, 3.84200344191339*^9, - 3.84206895108984*^9, {3.8420710602360163`*^9, 3.842071111430875*^9}, - 3.8420712659210167`*^9, 3.842071317704265*^9, 3.842077585540234*^9, - 3.842330421912195*^9, 3.8423305030734386`*^9, 3.84233082141175*^9, - 3.8423370988960533`*^9, 3.8423500263699703`*^9, 3.84242235980014*^9, - 3.842422829133266*^9, 3.8425181316804523`*^9, 3.84251817373398*^9, - 3.842518313713923*^9, 3.842518651369175*^9, 3.842519792420923*^9, { - 3.8425199179520893`*^9, 3.842519947097322*^9}, 3.84252003513834*^9, - 3.8425221251919127`*^9, 3.8425222574690847`*^9, 3.8425226580365667`*^9, - 3.84252472721786*^9, {3.842525852462645*^9, 3.84252587069119*^9}, - 3.842591048051862*^9, 3.842591092929531*^9, 3.842591150283875*^9, { - 3.842591243590889*^9, 3.842591250853861*^9}, {3.842591292002294*^9, - 3.8425913208009033`*^9}, 3.842591408956765*^9, 3.84259595244488*^9, - 3.8425999147321863`*^9, 3.842599955499799*^9, {3.8426835078997917`*^9, - 3.842683518420891*^9}, 3.8426840067914743`*^9, 3.8426840975914927`*^9, { - 3.8426845781892967`*^9, 3.842684580099658*^9}, {3.842684660142858*^9, - 3.842684705525476*^9}, 3.8426848229599752`*^9, 3.842684854404305*^9, { - 3.842684909579274*^9, 3.84268497329652*^9}, {3.8426868092581167`*^9, - 3.842686823124484*^9}, {3.842687689463532*^9, 3.842687712290174*^9}, - 3.8426885921980677`*^9, 3.842688763237523*^9, {3.8426888478907022`*^9, - 3.842688852144611*^9}, 3.8429396094976053`*^9}, - CellLabel->"Out[11]=",ExpressionUUID->"8b63b6e2-6e50-4f84-8f46-d90b3d33fd5f"] + 3.843731944895442*^9, 3.843732133201442*^9, {3.843732782028946*^9, + 3.8437328111197443`*^9}, {3.843733350142552*^9, 3.843733354397788*^9}, + 3.843735384793891*^9}, + CellLabel-> + "Out[376]=",ExpressionUUID->"b1622600-354e-42b3-a170-00c398736669"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"m1", "=", - RowBox[{"annealFit", "[", + RowBox[{"ListLogPlot", "[", + RowBox[{"{", "\[IndentingNewLine]", RowBox[{ - RowBox[{ - RowBox[{"res", "[", - RowBox[{"2", ",", - RowBox[{"h", "[", "1", "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "12"}]]}], "]"}], "[", "3", "]"}], ",", - RowBox[{"Join", "[", - RowBox[{ - RowBox[{"m0", "[", - RowBox[{"[", "2", "]"}], "]"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "->", "0"}], "}"}]}], "]"}]}], - "]"}]}]], "Input", - CellChangeTimes->{{3.8414646994225616`*^9, 3.841464738150351*^9}, { - 3.841995249227251*^9, 3.8419952496592083`*^9}, {3.841995323982559*^9, - 3.841995342013027*^9}, {3.841996549668969*^9, 3.841996553267212*^9}, { - 3.841996704350882*^9, 3.841996707910059*^9}, {3.841996888674657*^9, - 3.8419968959214983`*^9}, {3.842330945573291*^9, 3.842330946196393*^9}, { - 3.842684015508815*^9, 3.842684033892668*^9}, {3.8426840696138*^9, - 3.842684090925758*^9}, {3.842684712827132*^9, 3.842684747433868*^9}, { - 3.842684917519058*^9, 3.842684917701166*^9}, 3.842684982816225*^9, { - 3.8426868283520937`*^9, 3.8426868324241533`*^9}, {3.84268877003605*^9, - 3.842688811884371*^9}, {3.8426888568312063`*^9, 3.8426888573011*^9}}, - CellLabel->"In[12]:=",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{"0.006363487991021926`", ",", - RowBox[{"{", - RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.3497610103287452`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19612583387698465`"}], ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.199941956875278`"}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2401996118460512`"}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.39028245506270876`"}], ",", - + RowBox[{"Abs", "[", "\[CapitalPhi]s", "]"}], ",", "\[IndentingNewLine]", + RowBox[{"Chop", "[", RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.014909109302639726`"}]}]}], "}"}]}], "}"}]], "Output", - CellChangeTimes->{{3.841464725026127*^9, 3.8414647403021297`*^9}, - 3.8414661034706583`*^9, 3.841466164507646*^9, 3.8417276199972258`*^9, - 3.841733575639007*^9, 3.8417351199137087`*^9, 3.841826723584857*^9, - 3.841995253003222*^9, 3.841995358247252*^9, 3.841996554233857*^9, - 3.841996896776102*^9, 3.842003892988432*^9, 3.842069102769897*^9, - 3.8420711153992767`*^9, 3.842071270954679*^9, 3.8420776854500017`*^9, - 3.8420782329786*^9, 3.842330904607053*^9, 3.84233094745854*^9, + RowBox[{ + RowBox[{"Abs", "@", + RowBox[{ + RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", + RowBox[{ + RowBox[{"NFN", "[", "2", "]"}], ",", + RowBox[{"HN", "[", "2", "]"}]}], "]"}], "[", + RowBox[{"7", ",", "1"}], "]"}]}], "//.", + RowBox[{"rules", "[", + RowBox[{"HN", "[", "2", "]"}], "]"}]}], "/.", + RowBox[{ + RowBox[{"SN", "[", "2", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}], "\[IndentingNewLine]", + "}"}], "]"}]], "Input", + CellChangeTimes->{{3.8291280118781147`*^9, 3.829128118103051*^9}, { + 3.829128192513464*^9, 3.82912819312051*^9}, {3.829128371940607*^9, + 3.829128493270048*^9}, {3.8291285364471493`*^9, 3.8291285366627502`*^9}, { + 3.829128816403723*^9, 3.829128817219697*^9}, {3.829212218892186*^9, + 3.829212225564254*^9}, {3.8292122694048777`*^9, 3.829212364606584*^9}, { + 3.829212422415756*^9, 3.8292124291194887`*^9}, {3.8292138886103983`*^9, + 3.829213892914075*^9}, {3.829214258440802*^9, 3.829214267624782*^9}, { + 3.829218996805491*^9, 3.829219001117147*^9}, {3.8371574167259398`*^9, + 3.83715742501366*^9}, {3.838872732879181*^9, 3.8388727537664413`*^9}, { + 3.838873204703073*^9, 3.8388732099027357`*^9}, {3.838873255752236*^9, + 3.8388732834641113`*^9}, {3.8388734328271227`*^9, 3.8388734358267*^9}, { + 3.838874157024147*^9, 3.8388741604719753`*^9}, {3.839307790346795*^9, + 3.839307797698661*^9}, {3.8393093420874243`*^9, 3.839309343767222*^9}, { + 3.83940985668435*^9, 3.8394098593718357`*^9}, {3.839479308878851*^9, + 3.8394793092698917`*^9}, {3.839479351678846*^9, 3.839479359894719*^9}, { + 3.839484132822569*^9, 3.83948413582975*^9}, {3.839484417835371*^9, + 3.839484422402855*^9}, {3.8394844606917543`*^9, 3.8394845443653107`*^9}, { + 3.839485068790997*^9, 3.839485069686892*^9}, {3.839485153592383*^9, + 3.839485166081131*^9}, {3.8394852464151793`*^9, 3.8394852711790447`*^9}, { + 3.839485306757688*^9, 3.8394853151639977`*^9}, {3.8394853654853773`*^9, + 3.839485432894374*^9}, 3.839485523256114*^9, {3.839485578601307*^9, + 3.839485649138336*^9}, {3.8394857007256727`*^9, 3.839485723219755*^9}, { + 3.839485936266061*^9, 3.839486030177397*^9}, {3.839486075309197*^9, + 3.839486142116439*^9}, {3.839486179828413*^9, 3.839486218245903*^9}, { + 3.83948626754249*^9, 3.8394862713893957`*^9}, {3.8394864169524717`*^9, + 3.8394864816965714`*^9}, {3.839486986826584*^9, 3.8394869896504993`*^9}, { + 3.8394870445001507`*^9, 3.839487076477178*^9}, {3.839487130772867*^9, + 3.839487144740963*^9}, {3.8394876124142523`*^9, 3.83948761275005*^9}, { + 3.839487645182592*^9, 3.839487645638852*^9}, {3.839488048646216*^9, + 3.839488090182976*^9}, {3.839488424581703*^9, 3.8394884272211113`*^9}, { + 3.8394892409164047`*^9, 3.839489242332054*^9}, {3.839489275213091*^9, + 3.839489292188744*^9}, {3.839489492289144*^9, 3.839489571665984*^9}, { + 3.8394896094678373`*^9, 3.839489713796721*^9}, {3.839489762837819*^9, + 3.839489797445959*^9}, {3.839489857544436*^9, 3.839489893848106*^9}, { + 3.8394903055442333`*^9, 3.839490366136724*^9}, {3.839490881170803*^9, + 3.8394908814338083`*^9}, {3.839490914539504*^9, 3.839490928595656*^9}, { + 3.839492144689028*^9, 3.839492147329255*^9}, {3.839493141315516*^9, + 3.8394931647319393`*^9}, {3.839493641949576*^9, 3.83949364210872*^9}, { + 3.839494014823594*^9, 3.839494050556492*^9}, {3.8394955822727327`*^9, + 3.8394956194090643`*^9}, {3.839495922911708*^9, 3.839495935527544*^9}, { + 3.839496282949663*^9, 3.8394963032934713`*^9}, {3.839572033112393*^9, + 3.8395720353280907`*^9}, {3.839572166843008*^9, 3.839572169346869*^9}, { + 3.839586112218432*^9, 3.8395861234262123`*^9}, {3.839586281734277*^9, + 3.8395862878848553`*^9}, {3.839586416192438*^9, 3.839586419599288*^9}, { + 3.839658669660975*^9, 3.8396586751241493`*^9}, 3.839664357017618*^9, { + 3.839664563809626*^9, 3.8396646004174013`*^9}, {3.840528591761121*^9, + 3.8405285944877768`*^9}, {3.8405288185331697`*^9, 3.8405288189559*^9}, { + 3.840875796597721*^9, 3.840875807414563*^9}, {3.841134596143955*^9, + 3.841134611103293*^9}, {3.841135733956856*^9, 3.84113574469188*^9}, { + 3.841213686096504*^9, 3.841213700287394*^9}, {3.841390489772376*^9, + 3.841390490115335*^9}, {3.8413905856695023`*^9, 3.8413906076135798`*^9}, { + 3.841390948891877*^9, 3.8413909555318604`*^9}, {3.841994614807858*^9, + 3.841994615111747*^9}, {3.842003430402297*^9, 3.8420034305840683`*^9}, { + 3.842068927800907*^9, 3.842068934425075*^9}, {3.842330470596788*^9, + 3.8423305414929953`*^9}, {3.842337092908471*^9, 3.842337093388023*^9}, { + 3.8432901492065973`*^9, 3.8432901502064457`*^9}, {3.843711154761228*^9, + 3.843711155264271*^9}, {3.843711284203223*^9, 3.843711285394446*^9}, { + 3.843731704281345*^9, 3.843731715745541*^9}, {3.843731765026568*^9, + 3.8437317874828873`*^9}, {3.843731895485012*^9, 3.8437319099340353`*^9}, { + 3.843732114473131*^9, 3.84373211856878*^9}, {3.843732346133548*^9, + 3.8437323639012527`*^9}, {3.843732395645845*^9, 3.843732395981793*^9}, { + 3.843732651570488*^9, 3.843732675242991*^9}, {3.8437330390347557`*^9, + 3.843733058330291*^9}, {3.8437353923025923`*^9, 3.84373542030167*^9}, { + 3.8437359755682907`*^9, 3.8437360272169533`*^9}, {3.8437361227477913`*^9, + 3.843736137403366*^9}}, + CellLabel-> + "In[493]:=",ExpressionUUID->"b80d3f22-eb0b-4407-8e47-23e8047f75de"], + +Cell[BoxData[ + GraphicsBox[{{{ + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{3., -2.199250858729474}, {3., -2.1992508587114377`}}], + LineBox[{{3., -2.1992508587114377`}, {3., -2.199250858693401}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{4., -4.108835370274531}, {4., -4.108835369665774}}], + LineBox[{{4., -4.108835369665774}, {4., -4.108835369057016}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{5., -8.23957016697712}, {5., -8.239569788186014}}], + LineBox[{{5., -8.239569788186014}, {5., -8.23956940939505}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{6., -7.57318515764723}, {6., -7.573184963114589}}], + LineBox[{{6., -7.573184963114589}, {6., -7.573184768581987}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{7., -8.473827235511466}, {7., -8.473822447718424}}], + LineBox[{{7., -8.473822447718424}, {7., -8.473817659948304}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{8., -10.012900713523315`}, {8., -10.012878401308493`}}], + LineBox[{{8., -10.012878401308493`}, {8., -10.012856089591494`}}]}}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{{}, { + LineBox[{{9., -14.959957382976183`}, {9., -14.9568216011655}}], + LineBox[{{9., -14.9568216011655}, {9., -14.953695621752207`}}]}}, + Antialiasing->False]}}, { + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{3., -2.199250858693401}, + Offset[{3, 0}, {3., -2.199250858693401}]}, {{ + 3., -2.199250858693401}, + Offset[{-3, 0}, {3., -2.199250858693401}]}, {{ + 3., -2.199250858729474}, + Offset[{3, 0}, {3., -2.199250858729474}]}, {{ + 3., -2.199250858729474}, + Offset[{-3, 0}, {3., -2.199250858729474}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{4., -4.108835369057016}, + Offset[{3, 0}, {4., -4.108835369057016}]}, {{ + 4., -4.108835369057016}, + Offset[{-3, 0}, {4., -4.108835369057016}]}, {{ + 4., -4.108835370274531}, + Offset[{3, 0}, {4., -4.108835370274531}]}, {{ + 4., -4.108835370274531}, + Offset[{-3, 0}, {4., -4.108835370274531}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{5., -8.23956940939505}, + Offset[{3, 0}, {5., -8.23956940939505}]}, {{ + 5., -8.23956940939505}, + Offset[{-3, 0}, {5., -8.23956940939505}]}, {{ + 5., -8.23957016697712}, + Offset[{3, 0}, {5., -8.23957016697712}]}, {{ + 5., -8.23957016697712}, + Offset[{-3, 0}, {5., -8.23957016697712}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{6., -7.573184768581987}, + Offset[{3, 0}, {6., -7.573184768581987}]}, {{ + 6., -7.573184768581987}, + Offset[{-3, 0}, {6., -7.573184768581987}]}, {{ + 6., -7.57318515764723}, + Offset[{3, 0}, {6., -7.57318515764723}]}, {{ + 6., -7.57318515764723}, + Offset[{-3, 0}, {6., -7.57318515764723}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{7., -8.473817659948304}, + Offset[{3, 0}, {7., -8.473817659948304}]}, {{ + 7., -8.473817659948304}, + Offset[{-3, 0}, {7., -8.473817659948304}]}, {{ + 7., -8.473827235511466}, + Offset[{3, 0}, {7., -8.473827235511466}]}, {{ + 7., -8.473827235511466}, + Offset[{-3, 0}, {7., -8.473827235511466}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{8., -10.012856089591494`}, + Offset[{3, 0}, {8., -10.012856089591494`}]}, {{ + 8., -10.012856089591494`}, + Offset[{-3, 0}, {8., -10.012856089591494`}]}, {{ + 8., -10.012900713523315`}, + Offset[{3, 0}, {8., -10.012900713523315`}]}, {{ + 8., -10.012900713523315`}, + Offset[{-3, 0}, {8., -10.012900713523315`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}, + {RGBColor[0.368417, 0.506779, 0.709798], + StyleBox[{ + GeometricTransformationBox[ + LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], + GeometricTransformationBox[ + LineBox[{{{9., -14.953695621752207`}, + Offset[{3, 0}, {9., -14.953695621752207`}]}, {{ + 9., -14.953695621752207`}, + Offset[{-3, 0}, {9., -14.953695621752207`}]}, {{ + 9., -14.959957382976183`}, + Offset[{3, 0}, {9., -14.959957382976183`}]}, {{ + 9., -14.959957382976183`}, + Offset[{-3, 0}, {9., -14.959957382976183`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, + Antialiasing->False]}}}, { + {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + PointBox[{{1., 0.1804309238382727}, {2., -1.1431595731895612`}, { + 3., -2.1992508587114377`}, {4., -4.108835369665774}, { + 5., -8.239569788186014}, {6., -7.573184963114589}, { + 7., -8.473822447718424}, {8., -10.012878401308493`}, { + 9., -14.9568216011655}, {10., -12.354572653848617`}, { + 11., -13.127375919227873`}}]}, + {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + PointBox[{{1., 0.1804309238382549}, {2., -1.1431595731894557`}, { + 3., -2.1992508587114474`}, {4., -4.110117300794899}, { + 5., -8.526091023048032}, {6., -7.416377554873354}, { + 7., -8.251371053172717}, {8., -10.231282745437296`}}]}}, {{}, {}}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0., -16.144778690140278`}, + DisplayFunction->Identity, + Frame->{{False, False}, {False, False}}, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{ + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic, Automatic}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + Method->{ + "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& )}}, + PlotRange->{{0., 11.}, {-16.144778690140278`, 0.1804309238382727}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.05]}}, + Ticks->FrontEndValueCache[{Automatic, + Charting`ScaledTicks[{Log, Exp}]}, {Automatic, {{-16.11809565095832, + FormBox[ + TemplateBox[{"10", + RowBox[{"-", "7"}]}, "Superscript", SyntaxForm -> SuperscriptBox], + TraditionalForm], {0.01, 0.}}, {-11.512925464970229`, + FormBox[ + TemplateBox[{"10", + RowBox[{"-", "5"}]}, "Superscript", SyntaxForm -> SuperscriptBox], + TraditionalForm], {0.01, 0.}}, {-6.907755278982137, + FormBox["0.001`", TraditionalForm], {0.01, 0.}}, {-2.3025850929940455`, + FormBox[ + TagBox[ + InterpretationBox[ + StyleBox["\"0.100\"", ShowStringCharacters -> False], 0.1, + AutoDelete -> True], NumberForm[#, { + DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + 0.}}, {-13.815510557964274`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-9.210340371976182, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.605170185988091, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {0., + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 2.302585092994046, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 2.70805020110221, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 2.995732273553991, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { + 3.2188758248682006`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}}}]]], "Output", + CellChangeTimes->{{3.8394864302572823`*^9, 3.839486485737481*^9}, { + 3.8394868108920527`*^9, 3.839486833544271*^9}, {3.839486968169405*^9, + 3.839486994739235*^9}, {3.839487050609737*^9, 3.839487081545911*^9}, { + 3.839487139032605*^9, 3.839487149734391*^9}, 3.8394880559648848`*^9, + 3.839488095210012*^9, 3.839488432022138*^9, 3.839488966485567*^9, + 3.839489132907782*^9, 3.8394891742679043`*^9, {3.83948923216856*^9, + 3.839489247298073*^9}, {3.8394892811100388`*^9, 3.839489297255623*^9}, { + 3.839489518429874*^9, 3.839489576749642*^9}, 3.839489614460577*^9, { + 3.839489646744204*^9, 3.839489717916*^9}, {3.839489779953936*^9, + 3.839489797877178*^9}, {3.839489858269053*^9, 3.839489894400456*^9}, + 3.83948999298129*^9, 3.839490039302783*^9, 3.839490198501548*^9, { + 3.8394903145949183`*^9, 3.839490366668486*^9}, 3.839490410230691*^9, + 3.83949068744411*^9, 3.839490882032105*^9, {3.839490915087521*^9, + 3.8394909440773563`*^9}, {3.839491208442045*^9, 3.839491227913024*^9}, + 3.839491282583577*^9, 3.83949138318426*^9, 3.839491847872534*^9, + 3.839491922779976*^9, {3.83949214072232*^9, 3.839492147793445*^9}, + 3.839492703416108*^9, {3.8394930877867403`*^9, 3.839493111348575*^9}, + 3.839493159337824*^9, 3.839493260745894*^9, 3.8394938495813704`*^9, { + 3.839494015878335*^9, 3.839494051166876*^9}, 3.839494155707307*^9, { + 3.839494232008819*^9, 3.8394942817479143`*^9}, {3.8394955838062563`*^9, + 3.839495620912838*^9}, {3.839495892980287*^9, 3.839495961352178*^9}, + 3.839495996781355*^9, 3.8394960290995007`*^9, {3.8394961117034683`*^9, + 3.839496132210868*^9}, {3.839496233750821*^9, 3.839496247464304*^9}, { + 3.839496287823802*^9, 3.839496304224265*^9}, 3.83949641106695*^9, + 3.839496651844782*^9, 3.839569714442939*^9, 3.839570692350816*^9, + 3.839571037545631*^9, 3.8395710776956797`*^9, 3.8395711376334047`*^9, + 3.839571186222416*^9, 3.839571351854351*^9, 3.8395716431049433`*^9, + 3.8395716961263447`*^9, 3.8395719356619263`*^9, 3.839571975466508*^9, { + 3.8395720292576017`*^9, 3.839572038127006*^9}, {3.83957217256413*^9, + 3.83957219391011*^9}, 3.839572236054682*^9, {3.839572276306333*^9, + 3.839572287892969*^9}, 3.839572342583872*^9, 3.839572572560753*^9, + 3.839572613884104*^9, {3.839572830197351*^9, 3.839572867853582*^9}, { + 3.839572962859436*^9, 3.839572978399377*^9}, 3.839573191565909*^9, + 3.83957323416776*^9, 3.839574190974876*^9, {3.839574358418882*^9, + 3.839574363836178*^9}, 3.839577688193771*^9, {3.839577931409347*^9, + 3.839577940725779*^9}, {3.839579623692398*^9, 3.839579641583359*^9}, + 3.839580176251998*^9, {3.839580243310802*^9, 3.839580260058309*^9}, + 3.83958034038577*^9, 3.839581557584*^9, {3.83958160487352*^9, + 3.839581615679512*^9}, 3.839581993151758*^9, 3.839582040886951*^9, + 3.839582108820992*^9, 3.839582559973913*^9, 3.839582771424386*^9, { + 3.839583107834976*^9, 3.839583154778919*^9}, {3.8395842000019083`*^9, + 3.839584229236342*^9}, 3.839584319284484*^9, 3.8395843940434847`*^9, + 3.839584572371277*^9, 3.839584947067206*^9, 3.839585081305537*^9, + 3.839586137503179*^9, 3.8395862976249247`*^9, 3.839586429104487*^9, + 3.839587842464167*^9, 3.839647990219092*^9, 3.8396572711023006`*^9, + 3.839658508039483*^9, 3.839658567146755*^9, {3.8396586610674477`*^9, + 3.8396586758654203`*^9}, 3.839659271097528*^9, 3.839661799778942*^9, { + 3.839664337728752*^9, 3.8396643582360373`*^9}, {3.839664548980212*^9, + 3.839664603837595*^9}, 3.839664641104356*^9, 3.8396691346008053`*^9, + 3.8396700455490303`*^9, 3.839670127013689*^9, 3.8405260833413677`*^9, { + 3.840527418121812*^9, 3.840527428230318*^9}, 3.840528597876438*^9, { + 3.84052880585203*^9, 3.840528823602725*^9}, 3.840610810412817*^9, + 3.8408694556097403`*^9, 3.840872804624278*^9, {3.840875797574889*^9, + 3.8408758121424313`*^9}, {3.840877666763609*^9, 3.840877677233533*^9}, + 3.840878067793683*^9, {3.840880830069907*^9, 3.84088085023195*^9}, { + 3.840880881407505*^9, 3.840880885369958*^9}, 3.840880931429838*^9, + 3.840880983523183*^9, 3.840882901582034*^9, 3.8411116534753313`*^9, + 3.841111732347678*^9, 3.841112335446682*^9, 3.8411123976633244`*^9, + 3.8411142717862453`*^9, 3.841114943143334*^9, 3.841115089052647*^9, + 3.841115194051117*^9, 3.8411159468161507`*^9, 3.841116777994376*^9, + 3.841117271305902*^9, {3.841118706967044*^9, 3.841118717367868*^9}, { + 3.8411193655082083`*^9, 3.84111937034937*^9}, 3.8411197766851873`*^9, + 3.8411198234566708`*^9, 3.841119866102133*^9, 3.841120115400488*^9, + 3.841121153260182*^9, 3.841121472842341*^9, 3.841121535767632*^9, + 3.8411219087701063`*^9, 3.841121980800602*^9, 3.841130166199669*^9, + 3.8411305965940332`*^9, {3.841130719276822*^9, 3.8411307399666243`*^9}, + 3.8411312190210743`*^9, 3.841134375147834*^9, 3.8411344263576813`*^9, { + 3.8411344705668488`*^9, 3.841134479867544*^9}, {3.8411346037463017`*^9, + 3.8411346144767933`*^9}, 3.8411356496009407`*^9, 3.841135748390747*^9, + 3.8412137046354218`*^9, 3.841225535005499*^9, 3.8413034028742332`*^9, + 3.841304441693802*^9, 3.841304530951276*^9, {3.841305187833931*^9, + 3.841305194151207*^9}, 3.84130523777777*^9, 3.8413053474536552`*^9, + 3.84131085931179*^9, 3.841316307349918*^9, {3.841390485438642*^9, + 3.84139049061092*^9}, {3.841390578562212*^9, 3.841390608215399*^9}, + 3.8413906429454*^9, 3.841390808978589*^9, {3.841390952687045*^9, + 3.841390955726741*^9}, 3.841391292844046*^9, 3.841392160458399*^9, + 3.841392337553176*^9, 3.841463592414769*^9, 3.8414645935303507`*^9, + 3.8419946154384203`*^9, 3.8419951467045593`*^9, {3.842003427326373*^9, + 3.842003430834703*^9}, 3.8420689347601557`*^9, 3.8423302325436993`*^9, { + 3.842330473156518*^9, 3.8423305425457087`*^9}, 3.842330808232905*^9, + 3.842337094201315*^9, 3.842350008585443*^9, 3.842422355862982*^9, + 3.842422825653632*^9, 3.842518125710841*^9, 3.8425199173222017`*^9, + 3.842522124701228*^9, 3.84252265769657*^9, 3.842524726883174*^9, + 3.842525664574233*^9, 3.8425911702192574`*^9, 3.842599362647512*^9, + 3.8425999121077147`*^9, {3.842683507527425*^9, 3.8426835166404753`*^9}, + 3.8426839854239273`*^9, 3.842684659612424*^9, 3.842684905721077*^9, + 3.842686808625209*^9, 3.842687684839149*^9, 3.842688591998864*^9, + 3.842688755934224*^9, 3.842688847438697*^9, 3.842939609314446*^9, + 3.843290150798224*^9, 3.843710927596472*^9, {3.843711152426567*^9, + 3.84371115609522*^9}, {3.843711263367496*^9, 3.8437112859535503`*^9}, { + 3.843731712017668*^9, 3.8437317164406548`*^9}, 3.843731812999857*^9, { + 3.843731908514165*^9, 3.8437319145246572`*^9}, {3.843732113833405*^9, + 3.843732122386688*^9}, 3.843732371583538*^9, 3.8437324418910522`*^9, { + 3.8437326711436357`*^9, 3.843732679390757*^9}, {3.843733056365028*^9, + 3.8437330631925383`*^9}, 3.8437333364732037`*^9, 3.843735425793211*^9, { + 3.8437359934634533`*^9, 3.843736031958579*^9}, 3.843736095326563*^9, { + 3.8437361273437557`*^9, 3.843736163141509*^9}}, + CellLabel-> + "Out[493]=",ExpressionUUID->"51d9ee8e-4cc3-4bcc-b254-f53467cac03f"] +}, Open ]], + +Cell[BoxData[""], "Input", + CellChangeTimes->{{3.8437147274261427`*^9, 3.843714729922184*^9}, { + 3.843715541673305*^9, 3.843715549689198*^9}, + 3.843732919183404*^9},ExpressionUUID->"c6e9f8ad-3b09-4a82-be20-\ +5c15306095f7"], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"fitAll", "=", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"resAll", "[", + RowBox[{"3", ",", + RowBox[{"h", "[", "2", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "6"}]]}], "]"}], "[", "2", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"S", "[", "2", "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "->", "0"}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "->", "0"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "3"}]]}], "]"}]}]], "Input", + CellChangeTimes->{{3.84146414095971*^9, 3.841464175798479*^9}, { + 3.841464380993734*^9, 3.841464386312539*^9}, {3.841464731456504*^9, + 3.8414647327580833`*^9}, {3.8414659180452547`*^9, 3.841465925914133*^9}, { + 3.8417325952371683`*^9, 3.841732596483498*^9}, {3.8417326274288807`*^9, + 3.841732641972403*^9}, 3.841732857201058*^9, 3.8418264400423203`*^9, { + 3.841994521950222*^9, 3.841994537926578*^9}, {3.841994622633304*^9, + 3.8419946862012978`*^9}, {3.841994769452505*^9, 3.841994815043598*^9}, { + 3.841995234420775*^9, 3.841995234498967*^9}, {3.841996465180764*^9, + 3.8419964772179747`*^9}, {3.841996717281023*^9, 3.841996742630658*^9}, { + 3.842071313310508*^9, 3.84207131621989*^9}, {3.84207758447983*^9, + 3.842077584949933*^9}, {3.842330409754711*^9, 3.8423304192747793`*^9}, { + 3.842330498373253*^9, 3.8423305020442667`*^9}, {3.8423308149171867`*^9, + 3.842330815562167*^9}, {3.842525668337566*^9, 3.842525670440715*^9}, { + 3.8425258552453413`*^9, 3.842525869365773*^9}, {3.842683991508649*^9, + 3.842683999547966*^9}, {3.842684095430543*^9, 3.84268409678189*^9}, { + 3.84268455503272*^9, 3.842684577822506*^9}, {3.8426846796264467`*^9, + 3.842684704913135*^9}, {3.842684931758143*^9, 3.8426849338609467`*^9}, { + 3.842686812243655*^9, 3.8426868223997726`*^9}, {3.84268885110296*^9, + 3.842688851597097*^9}, {3.843711321179616*^9, 3.843711330499958*^9}, + 3.843711795060121*^9, 3.8437127075816717`*^9, {3.843731528382538*^9, + 3.8437316312569847`*^9}, {3.843731661690056*^9, 3.84373168751271*^9}, { + 3.8437318811822147`*^9, 3.843731885100402*^9}, {3.8437320829294043`*^9, + 3.843732094712236*^9}, {3.84373360678996*^9, 3.8437336094441233`*^9}, { + 3.843735549992126*^9, + 3.843735550640082*^9}},ExpressionUUID->"d7e7fb71-cf24-4ff4-8b55-\ +30964f0ae08c"], + +Cell[BoxData[ + TemplateBox[{ + "General", "munfl", + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ +\"-\\\", \\\"4.1858935277736344`*^-308\\\"}], \\\")\\\"}]}]\\) is too small \ +to represent as a normalized machine number; precision may be lost.\"", 2, + 129, 62, 31652614240606783354, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{ + 3.8437316951968307`*^9, 3.843731886697137*^9, {3.843732072706987*^9, + 3.843732096949669*^9}}, + CellLabel-> + "During evaluation of \ +In[129]:=",ExpressionUUID->"878453de-b9da-4a3e-bff5-d563e58c984a"], + +Cell[BoxData[ + TemplateBox[{ + "General", "munfl", + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ +\"-\\\", \\\"2.9645920442127316`*^-308\\\"}], \\\")\\\"}]}]\\) is too small \ +to represent as a normalized machine number; precision may be lost.\"", 2, + 129, 63, 31652614240606783354, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{ + 3.8437316951968307`*^9, 3.843731886697137*^9, {3.843732072706987*^9, + 3.843732096973472*^9}}, + CellLabel-> + "During evaluation of \ +In[129]:=",ExpressionUUID->"543aa25e-0c46-4263-8219-3c42c63c6826"], + +Cell[BoxData[ + TemplateBox[{ + "General", "munfl", + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", RowBox[{\\\"(\\\", RowBox[{\\\ +\"-\\\", \\\"6.695025678091192`*^-308\\\"}], \\\")\\\"}]}]\\) is too small to \ +represent as a normalized machine number; precision may be lost.\"", 2, 129, + 64, 31652614240606783354, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{ + 3.8437316951968307`*^9, 3.843731886697137*^9, {3.843732072706987*^9, + 3.843732097001243*^9}}, + CellLabel-> + "During evaluation of \ +In[129]:=",ExpressionUUID->"a91008f4-a66c-4e00-9a19-3c8c337ea4fd"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"General\\\", \ +\\\"::\\\", \\\"munfl\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ +during this calculation.\"", 2, 129, 65, 31652614240606783354, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{ + 3.8437316951968307`*^9, 3.843731886697137*^9, {3.843732072706987*^9, + 3.8437320970082817`*^9}}, + CellLabel-> + "During evaluation of \ +In[129]:=",ExpressionUUID->"708ce0ba-7451-4a83-9278-29373ec439a0"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"1.2580955145296386`*^-13", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.302836109592777`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1987592734154995`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.335847766805377`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.4300080645469722`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3632847825432361`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.020867396609041706`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.034469731500416545`"}], + ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", "0.001040801613933242`"}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.010730818105775974`"}]}], + "}"}]}], "}"}]], "Output", + CellChangeTimes->{ + 3.8419964785762787`*^9, 3.84199677282102*^9, 3.84200344191339*^9, + 3.84206895108984*^9, {3.8420710602360163`*^9, 3.842071111430875*^9}, + 3.8420712659210167`*^9, 3.842071317704265*^9, 3.842077585540234*^9, + 3.842330421912195*^9, 3.8423305030734386`*^9, 3.84233082141175*^9, + 3.8423370988960533`*^9, 3.8423500263699703`*^9, 3.84242235980014*^9, + 3.842422829133266*^9, 3.8425181316804523`*^9, 3.84251817373398*^9, + 3.842518313713923*^9, 3.842518651369175*^9, 3.842519792420923*^9, { + 3.8425199179520893`*^9, 3.842519947097322*^9}, 3.84252003513834*^9, + 3.8425221251919127`*^9, 3.8425222574690847`*^9, 3.8425226580365667`*^9, + 3.84252472721786*^9, {3.842525852462645*^9, 3.84252587069119*^9}, + 3.842591048051862*^9, 3.842591092929531*^9, 3.842591150283875*^9, { + 3.842591243590889*^9, 3.842591250853861*^9}, {3.842591292002294*^9, + 3.8425913208009033`*^9}, 3.842591408956765*^9, 3.84259595244488*^9, + 3.8425999147321863`*^9, 3.842599955499799*^9, {3.8426835078997917`*^9, + 3.842683518420891*^9}, 3.8426840067914743`*^9, 3.8426840975914927`*^9, { + 3.8426845781892967`*^9, 3.842684580099658*^9}, {3.842684660142858*^9, + 3.842684705525476*^9}, 3.8426848229599752`*^9, 3.842684854404305*^9, { + 3.842684909579274*^9, 3.84268497329652*^9}, {3.8426868092581167`*^9, + 3.842686823124484*^9}, {3.842687689463532*^9, 3.842687712290174*^9}, + 3.8426885921980677`*^9, 3.842688763237523*^9, {3.8426888478907022`*^9, + 3.842688852144611*^9}, 3.8429396094976053`*^9, 3.843710928047863*^9, + 3.8437112705860643`*^9, {3.843711327962545*^9, 3.8437113308029823`*^9}, { + 3.8437113701595163`*^9, 3.843711395150468*^9}, {3.843711795328362*^9, + 3.843711798494534*^9}, 3.8437123767819366`*^9, 3.843712708682995*^9, + 3.843714601870726*^9, 3.843715528619041*^9, 3.843720957205656*^9, { + 3.843731550932982*^9, 3.843731633333198*^9}, {3.8437316648072653`*^9, + 3.84373169535324*^9}, 3.843731886834847*^9, {3.843732072928688*^9, + 3.84373209712532*^9}}, + CellLabel-> + "Out[129]=",ExpressionUUID->"23be90ec-d684-4f05-9496-222b14c870b4"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"fitAll2", "=", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"resAll", "[", + RowBox[{"4", ",", + RowBox[{"h", "[", "2", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "2", "]"}], ",", + RowBox[{"fitAll", "[", + RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}]], "Input", + CellChangeTimes->{{3.84146414095971*^9, 3.841464175798479*^9}, { + 3.841464380993734*^9, 3.841464386312539*^9}, {3.841464731456504*^9, + 3.8414647327580833`*^9}, {3.8414659180452547`*^9, 3.841465925914133*^9}, { + 3.8417325952371683`*^9, 3.841732596483498*^9}, {3.8417326274288807`*^9, + 3.841732641972403*^9}, 3.841732857201058*^9, 3.8418264400423203`*^9, { + 3.841994521950222*^9, 3.841994537926578*^9}, {3.841994622633304*^9, + 3.8419946862012978`*^9}, {3.841994769452505*^9, 3.841994815043598*^9}, { + 3.841995234420775*^9, 3.841995234498967*^9}, {3.841996465180764*^9, + 3.8419964772179747`*^9}, {3.841996717281023*^9, 3.841996742630658*^9}, { + 3.842071313310508*^9, 3.84207131621989*^9}, {3.84207758447983*^9, + 3.842077584949933*^9}, {3.842330409754711*^9, 3.8423304192747793`*^9}, { + 3.842330498373253*^9, 3.8423305020442667`*^9}, {3.8423308149171867`*^9, + 3.842330815562167*^9}, {3.842525668337566*^9, 3.842525670440715*^9}, { + 3.8425258552453413`*^9, 3.842525869365773*^9}, {3.842683991508649*^9, + 3.842683999547966*^9}, {3.842684095430543*^9, 3.84268409678189*^9}, { + 3.84268455503272*^9, 3.842684577822506*^9}, {3.8426846796264467`*^9, + 3.842684704913135*^9}, {3.842684931758143*^9, 3.8426849338609467`*^9}, { + 3.842686812243655*^9, 3.8426868223997726`*^9}, {3.84268885110296*^9, + 3.842688851597097*^9}, {3.843711321179616*^9, 3.843711330499958*^9}, + 3.843711795060121*^9, 3.8437127075816717`*^9, {3.843731528382538*^9, + 3.843731668192461*^9}, {3.843731889285021*^9, 3.8437318894046297`*^9}, { + 3.843732026343978*^9, 3.8437320623436623`*^9}, {3.843732100961691*^9, + 3.843732102920307*^9}}, + CellLabel-> + "In[131]:=",ExpressionUUID->"71729ee5-357f-4cce-b306-ed7f0fd8693f"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"0.12411347469294128`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.302836109592777`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19875927341549948`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.335847766805377`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.4300080645469722`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.36328478254323604`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.020867396609041893`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.03446973150041644`"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", "0.001040801613933203`"}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.010730818105775952`"}]}], + "}"}]}], "}"}]], "Output", + CellChangeTimes->{ + 3.8419964785762787`*^9, 3.84199677282102*^9, 3.84200344191339*^9, + 3.84206895108984*^9, {3.8420710602360163`*^9, 3.842071111430875*^9}, + 3.8420712659210167`*^9, 3.842071317704265*^9, 3.842077585540234*^9, + 3.842330421912195*^9, 3.8423305030734386`*^9, 3.84233082141175*^9, + 3.8423370988960533`*^9, 3.8423500263699703`*^9, 3.84242235980014*^9, + 3.842422829133266*^9, 3.8425181316804523`*^9, 3.84251817373398*^9, + 3.842518313713923*^9, 3.842518651369175*^9, 3.842519792420923*^9, { + 3.8425199179520893`*^9, 3.842519947097322*^9}, 3.84252003513834*^9, + 3.8425221251919127`*^9, 3.8425222574690847`*^9, 3.8425226580365667`*^9, + 3.84252472721786*^9, {3.842525852462645*^9, 3.84252587069119*^9}, + 3.842591048051862*^9, 3.842591092929531*^9, 3.842591150283875*^9, { + 3.842591243590889*^9, 3.842591250853861*^9}, {3.842591292002294*^9, + 3.8425913208009033`*^9}, 3.842591408956765*^9, 3.84259595244488*^9, + 3.8425999147321863`*^9, 3.842599955499799*^9, {3.8426835078997917`*^9, + 3.842683518420891*^9}, 3.8426840067914743`*^9, 3.8426840975914927`*^9, { + 3.8426845781892967`*^9, 3.842684580099658*^9}, {3.842684660142858*^9, + 3.842684705525476*^9}, 3.8426848229599752`*^9, 3.842684854404305*^9, { + 3.842684909579274*^9, 3.84268497329652*^9}, {3.8426868092581167`*^9, + 3.842686823124484*^9}, {3.842687689463532*^9, 3.842687712290174*^9}, + 3.8426885921980677`*^9, 3.842688763237523*^9, {3.8426888478907022`*^9, + 3.842688852144611*^9}, 3.8429396094976053`*^9, 3.843710928047863*^9, + 3.8437112705860643`*^9, {3.843711327962545*^9, 3.8437113308029823`*^9}, { + 3.8437113701595163`*^9, 3.843711395150468*^9}, {3.843711795328362*^9, + 3.843711798494534*^9}, 3.8437123767819366`*^9, 3.843712708682995*^9, + 3.843714601870726*^9, 3.843715528619041*^9, 3.843720957205656*^9, { + 3.843731550932982*^9, 3.8437316958635607`*^9}, 3.843731890371482*^9, { + 3.843732027598762*^9, 3.843732063336987*^9}, {3.843732104975114*^9, + 3.843732106094247*^9}}, + CellLabel-> + "Out[131]=",ExpressionUUID->"4b914b17-9403-41a8-939a-2d765b5354c6"] +}, Open ]], + +Cell[BoxData[ + RowBox[{"\[Theta]c", ":=", + RowBox[{"7", "/", "5"}]}]], "Input", + CellChangeTimes->{{3.843735830626322*^9, 3.843735842389235*^9}}, + CellLabel-> + "In[401]:=",ExpressionUUID->"7ef8ee62-41a4-45fa-8dac-fae83c979244"], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"Solve", "[", + RowBox[{ + RowBox[{ + RowBox[{"0", "==", + RowBox[{"rule\[Theta]0", "[", + RowBox[{"h", "[", "0", "]"}], "]"}]}], "/.", + RowBox[{ + RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], ",", "\[Theta]0"}], + "]"}]], "Input", + CellChangeTimes->{{3.843736736576861*^9, 3.843736780670817*^9}}, + CellLabel-> + "In[666]:=",ExpressionUUID->"9a00ab65-b447-44be-a4e3-b4d39b092b44"], + +Cell[BoxData["$Aborted"], "Output", + CellChangeTimes->{{3.8437367406219463`*^9, 3.843736793523494*^9}}, + CellLabel-> + "Out[666]=",ExpressionUUID->"2666b194-e53c-4199-bac1-60cb3cc85756"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"NFN", "[", "2", "]"}], "=", "3"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"HN", "[", "2", "]"}], "=", + RowBox[{"h", "[", "0", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"SN", "[", "2", "]"}], "=", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{ + RowBox[{"NFN", "[", "2", "]"}], ",", + RowBox[{"HN", "[", "2", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "6"}]]}], "]"}], "[", "2", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"Rest", "[", + RowBox[{"S", "[", "2", "]"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "->", "0"}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "1"}]]}], "]"}]}]}], "Input", + CellChangeTimes->{{3.84146414095971*^9, 3.841464175798479*^9}, { + 3.841464380993734*^9, 3.841464386312539*^9}, {3.841464731456504*^9, + 3.8414647327580833`*^9}, {3.8414659180452547`*^9, 3.841465925914133*^9}, { + 3.8417325952371683`*^9, 3.841732596483498*^9}, {3.8417326274288807`*^9, + 3.841732641972403*^9}, 3.841732857201058*^9, 3.8418264400423203`*^9, { + 3.841994521950222*^9, 3.841994537926578*^9}, {3.841994622633304*^9, + 3.8419946862012978`*^9}, {3.841994769452505*^9, 3.841994815043598*^9}, { + 3.841995234420775*^9, 3.841995234498967*^9}, {3.841996465180764*^9, + 3.8419964772179747`*^9}, {3.841996717281023*^9, 3.841996742630658*^9}, { + 3.842071313310508*^9, 3.84207131621989*^9}, {3.84207758447983*^9, + 3.842077584949933*^9}, {3.842330409754711*^9, 3.8423304192747793`*^9}, { + 3.842330498373253*^9, 3.8423305020442667`*^9}, {3.8423308149171867`*^9, + 3.842330815562167*^9}, {3.842525668337566*^9, 3.842525670440715*^9}, { + 3.8425258552453413`*^9, 3.842525869365773*^9}, {3.842683991508649*^9, + 3.842683999547966*^9}, {3.842684095430543*^9, 3.84268409678189*^9}, { + 3.84268455503272*^9, 3.842684577822506*^9}, {3.8426846796264467`*^9, + 3.842684704913135*^9}, {3.842684931758143*^9, 3.8426849338609467`*^9}, { + 3.842686812243655*^9, 3.8426868223997726`*^9}, {3.84268885110296*^9, + 3.842688851597097*^9}, {3.843711321179616*^9, 3.843711330499958*^9}, + 3.843711795060121*^9, 3.8437127075816717`*^9, {3.843732922415675*^9, + 3.843732987009624*^9}, {3.843733322008758*^9, 3.843733322991043*^9}, { + 3.843733625373261*^9, 3.8437336396847363`*^9}, {3.843735562560853*^9, + 3.843735566272418*^9}, {3.8437357525807257`*^9, 3.843735758677109*^9}, { + 3.843735852590043*^9, 3.8437358557816*^9}, {3.8437359199919024`*^9, + 3.8437359408157*^9}, {3.843736062850573*^9, 3.843736085425962*^9}, { + 3.8437361472843533`*^9, 3.843736157467476*^9}, {3.843736557699114*^9, + 3.843736564149287*^9}}, + CellLabel-> + "In[597]:=",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"3.4968103047327845`*^-15", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]0", "\[Rule]", "0.19609644617745897`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.1211395147593324`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.1248785679585636`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3655857056170356`"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", "0.005418506300095735`"}]}], + "}"}]}], "}"}]], "Output", + CellChangeTimes->{{3.843732981914864*^9, 3.8437329882826242`*^9}, { + 3.8437333059812737`*^9, 3.843733324512714*^9}, {3.84373363581549*^9, + 3.8437336632854357`*^9}, {3.843734881094233*^9, 3.8437348854701443`*^9}, { + 3.843735639466529*^9, 3.8437356494943047`*^9}, 3.8437357854817543`*^9, { + 3.843735856691206*^9, 3.843735872164836*^9}, {3.8437359238766203`*^9, + 3.8437359572880707`*^9}, {3.843736069203878*^9, 3.843736085922205*^9}, + 3.843736157758947*^9, {3.843736564372109*^9, 3.843736577172632*^9}}, + CellLabel-> + "Out[599]=",ExpressionUUID->"a41954f4-33ee-4255-936e-409c32471613"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{ + RowBox[{"SN", "[", "2", "]"}], "=", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{ + RowBox[{"NFN", "[", "2", "]"}], ",", + RowBox[{"HN", "[", "2", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "2", "]"}], ",", + RowBox[{ + RowBox[{"SN", "[", "2", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}]], "Input", + CellChangeTimes->{{3.843732998625887*^9, 3.84373302598542*^9}, + 3.84373577017391*^9, {3.843736583835957*^9, 3.8437365929314117`*^9}}, + CellLabel-> + "In[603]:=",ExpressionUUID->"3515a135-edc1-4f4f-8610-378ace64a9b7"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"0.030476295143843007`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]0", "\[Rule]", "0.18517435929014825`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "1.9880131518478075`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "0.9889222726604562`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3808085976189584`"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", "0.0035050672916264462`"}]}], + "}"}]}], "}"}]], "Output", + CellChangeTimes->{ + 3.8437330276683073`*^9, {3.843733311252123*^9, 3.84373332657629*^9}, + 3.843733666357335*^9, {3.84373488164362*^9, 3.843734886021062*^9}, { + 3.843735642252029*^9, 3.8437356500663357`*^9}, 3.843735874931855*^9, { + 3.8437359459645233`*^9, 3.8437359584464903`*^9}, {3.84373607611525*^9, + 3.8437360868113317`*^9}, 3.843736160502068*^9, {3.8437365709654503`*^9, + 3.843736595578948*^9}}, + CellLabel-> + "Out[603]=",ExpressionUUID->"1930b4b2-6535-4d94-8958-2ad399c4c17b"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"NFN", "[", "3", "]"}], "=", "4"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"HN", "[", "3", "]"}], "=", + RowBox[{"h", "[", "0", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"SN", "[", "3", "]"}], "=", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{ + RowBox[{"NFN", "[", "3", "]"}], ",", + RowBox[{"HN", "[", "3", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "6"}]]}], "]"}], "[", "3", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{ + RowBox[{"SN", "[", "2", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "->", "0"}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "2"}]]}], "]"}]}]}], "Input", + CellChangeTimes->{{3.8414646994225616`*^9, 3.841464738150351*^9}, { + 3.841995249227251*^9, 3.8419952496592083`*^9}, {3.841995323982559*^9, + 3.841995342013027*^9}, {3.841996549668969*^9, 3.841996553267212*^9}, { + 3.841996704350882*^9, 3.841996707910059*^9}, {3.841996888674657*^9, + 3.8419968959214983`*^9}, {3.842330945573291*^9, 3.842330946196393*^9}, { + 3.842684015508815*^9, 3.842684033892668*^9}, {3.8426840696138*^9, + 3.842684090925758*^9}, {3.842684712827132*^9, 3.842684747433868*^9}, { + 3.842684917519058*^9, 3.842684917701166*^9}, 3.842684982816225*^9, { + 3.8426868283520937`*^9, 3.8426868324241533`*^9}, {3.84268877003605*^9, + 3.842688811884371*^9}, {3.8426888568312063`*^9, 3.8426888573011*^9}, { + 3.8437113329813137`*^9, 3.843711333755453*^9}, {3.84371137226149*^9, + 3.843711379652136*^9}, 3.843711801221244*^9, {3.843712110569861*^9, + 3.843712148874167*^9}, {3.843712283934578*^9, 3.8437123065012197`*^9}, { + 3.843712712214057*^9, 3.8437127342454643`*^9}, {3.843714605001205*^9, + 3.843714611504135*^9}, {3.8437147330425386`*^9, 3.843714736338622*^9}, { + 3.8437155523377323`*^9, 3.843715556729746*^9}, {3.8437209621496696`*^9, + 3.8437209743495398`*^9}, {3.8437331278355*^9, 3.843733190781966*^9}, { + 3.8437332295346813`*^9, 3.843733259133748*^9}, {3.843733387665255*^9, + 3.843733418064506*^9}, {3.8437336711110983`*^9, 3.843733743222622*^9}, { + 3.843733867081066*^9, 3.843733872992916*^9}, {3.843735890984241*^9, + 3.843735896262557*^9}, {3.8437361764852953`*^9, 3.843736235604746*^9}, { + 3.843736600964464*^9, 3.843736610147759*^9}}, + CellLabel-> + "In[612]:=",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"1.8361256843554485`*^-14", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]0", "\[Rule]", "0.18470627754897062`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "1.9832614028098186`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "0.9844223578152484`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.38084744043029206`"}], ",", + + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", "0.0036887681034081243`"}], + ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.000042419697328186556`"}]}]}], "}"}]}], "}"}]], "Output",\ + + CellChangeTimes->{{3.841464725026127*^9, 3.8414647403021297`*^9}, + 3.8414661034706583`*^9, 3.841466164507646*^9, 3.8417276199972258`*^9, + 3.841733575639007*^9, 3.8417351199137087`*^9, 3.841826723584857*^9, + 3.841995253003222*^9, 3.841995358247252*^9, 3.841996554233857*^9, + 3.841996896776102*^9, 3.842003892988432*^9, 3.842069102769897*^9, + 3.8420711153992767`*^9, 3.842071270954679*^9, 3.8420776854500017`*^9, + 3.8420782329786*^9, 3.842330904607053*^9, 3.84233094745854*^9, 3.842337102662011*^9, 3.8423500295150013`*^9, 3.842422362900515*^9, 3.8424228372702703`*^9, 3.842518658238249*^9, 3.842520047625738*^9, 3.842522126433864*^9, 3.842522663606366*^9, 3.842524735833218*^9, @@ -1116,131 +1955,212 @@ Cell[BoxData[ 3.842684927173637*^9}, {3.8426849813312817`*^9, 3.842684998324686*^9}, { 3.842685099973398*^9, 3.842685109300309*^9}, 3.842686834534837*^9, 3.842687716609871*^9, {3.8426887766092243`*^9, 3.842688779723242*^9}, - 3.842688813081666*^9, 3.84268885793502*^9, 3.8429396116125317`*^9}, - CellLabel->"Out[12]=",ExpressionUUID->"40726a7d-a8f4-41f7-ba57-3792c95d26f6"] + 3.842688813081666*^9, 3.84268885793502*^9, 3.8429396116125317`*^9, + 3.8437109286899443`*^9, 3.843711292955374*^9, 3.84371133501194*^9, { + 3.843711393608118*^9, 3.8437113965945587`*^9}, 3.843711802176591*^9, { + 3.843712109537891*^9, 3.843712149727068*^9}, {3.843712180346582*^9, + 3.843712198533038*^9}, {3.843712288811365*^9, 3.8437123247202797`*^9}, { + 3.843712379380515*^9, 3.843712400446784*^9}, {3.843712712875577*^9, + 3.8437127350372334`*^9}, 3.8437146132757397`*^9, 3.843714736784836*^9, { + 3.843715529436762*^9, 3.843715557192747*^9}, 3.843720975619553*^9, { + 3.843733159681653*^9, 3.843733277377586*^9}, {3.843733397152657*^9, + 3.843733424004898*^9}, {3.843733677256922*^9, 3.843733746284148*^9}, + 3.843733874409992*^9, {3.8437339150865383`*^9, 3.843733924267795*^9}, { + 3.843735882959608*^9, 3.843735902470063*^9}, {3.843736194480228*^9, + 3.843736236088088*^9}, {3.843736610939752*^9, 3.843736633436749*^9}}, + CellLabel-> + "Out[614]=",ExpressionUUID->"6a6bc5ce-5b34-459f-a22c-941737cf0565"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"m2", "=", + RowBox[{ + RowBox[{"SN", "[", "3", "]"}], "=", RowBox[{"annealFit", "[", RowBox[{ RowBox[{ RowBox[{"res", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "2", "]"}], ",", + RowBox[{ + RowBox[{"NFN", "[", "3", "]"}], ",", + RowBox[{"HN", "[", "3", "]"}], ",", SuperscriptBox["10", - RowBox[{"-", "12"}]]}], "]"}], "[", "4", "]"}], ",", - RowBox[{"Join", "[", - RowBox[{ - RowBox[{"m1", "[", - RowBox[{"[", "2", "]"}], "]"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "->", "0"}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "->", "0"}]}], "}"}]}], "]"}]}], - "]"}]}]], "Input", - CellChangeTimes->{{3.841464800663937*^9, 3.8414648092082663`*^9}, { - 3.841485136434773*^9, 3.841485139912166*^9}, {3.8418271675674467`*^9, - 3.841827173829061*^9}, {3.8418272431358757`*^9, 3.841827252838594*^9}, { - 3.8419952877320557`*^9, 3.841995290092039*^9}, {3.8419965784135103`*^9, - 3.841996650284897*^9}, {3.8420796632379017`*^9, 3.8420796662279243`*^9}, { - 3.842079696973995*^9, 3.842079709908813*^9}, {3.842080958844912*^9, - 3.842080971507966*^9}, {3.8420810131822977`*^9, 3.8420810161723013`*^9}, { - 3.842081087975649*^9, 3.842081100630134*^9}, {3.842081146161045*^9, - 3.8420812229204082`*^9}, {3.842330964068717*^9, 3.8423309647887774`*^9}, { - 3.842684106526346*^9, 3.842684205629874*^9}, {3.8426850740415382`*^9, - 3.8426850748155527`*^9}, {3.842686839840394*^9, 3.842686854280414*^9}, { - 3.8426888615498257`*^9, 3.8426888620292683`*^9}}, - CellLabel->"In[13]:=",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], + RowBox[{"-", "12"}]]}], "]"}], "[", "3", "]"}], ",", + RowBox[{ + RowBox[{"SN", "[", "3", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "3"}]]}], "]"}]}]], "Input", + CellChangeTimes->{{3.843733424825795*^9, 3.84373344235317*^9}, { + 3.843733890034122*^9, 3.843733909569607*^9}, {3.843736626780848*^9, + 3.843736631204155*^9}}, + CellLabel-> + "In[615]:=",ExpressionUUID->"85da52aa-1039-4e9e-bfb7-957631df3f51"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"1.5293825633486213`*^-307\ -\\\"}]\\) is too small to represent as a normalized machine number; precision \ -may be lost.\"", 2, 13, 1, 31647447333701645841, "Local"}, + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \ +\\\"1.758853488707864`*^-307\\\"}]\\) is too small to represent as a \ +normalized machine number; precision may be lost.\"", 2, 615, 333, + 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{ - 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, - 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, - 3.842939614362793*^9}, + 3.8437362400836897`*^9, {3.843736627729396*^9, 3.843736633993026*^9}}, CellLabel-> "During evaluation of \ -In[13]:=",ExpressionUUID->"5a24fe28-6276-4fd4-95da-e7d41470cfa5"], +In[615]:=",ExpressionUUID->"2fe14a28-35b5-494c-b582-a29acfb73210"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \ -\\\"7.646912816743107`*^-308\\\"}]\\) is too small to represent as a \ -normalized machine number; precision may be lost.\"", 2, 13, 2, - 31647447333701645841, "Local"}, + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"8.79426744353932`*^-308\\\ +\"}]\\) is too small to represent as a normalized machine number; precision \ +may be lost.\"", 2, 615, 334, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{ - 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, - 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, - 3.842939614404681*^9}, + 3.8437362400836897`*^9, {3.843736627729396*^9, 3.843736634018302*^9}}, CellLabel-> "During evaluation of \ -In[13]:=",ExpressionUUID->"f0febc88-991c-4815-bd8b-0f06f44c10da"], +In[615]:=",ExpressionUUID->"c3673e99-ca9e-49c1-8e5b-21d883c4ef41"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \ -\\\"1.794144034531151`*^-307\\\"}]\\) is too small to represent as a \ -normalized machine number; precision may be lost.\"", 2, 13, 3, - 31647447333701645841, "Local"}, + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"4.39713372176966`*^-308\\\ +\"}]\\) is too small to represent as a normalized machine number; precision \ +may be lost.\"", 2, 615, 335, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{ - 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, - 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, - 3.842939614446074*^9}, + 3.8437362400836897`*^9, {3.843736627729396*^9, 3.8437366340245256`*^9}}, CellLabel-> "During evaluation of \ -In[13]:=",ExpressionUUID->"e2b171da-938a-4d19-a0d7-11af06561d02"], +In[615]:=",ExpressionUUID->"ed4d4442-9e2c-4228-aa01-9be8e1ebdab4"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"General\\\", \ \\\"::\\\", \\\"munfl\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 13, 4, 31647447333701645841, "Local"}, +during this calculation.\"", 2, 615, 336, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{ - 3.842685024993597*^9, {3.842685055041971*^9, 3.842685076973411*^9}, - 3.842686856579173*^9, 3.842687719969969*^9, 3.842688864271476*^9, - 3.842939614496109*^9}, + 3.8437362400836897`*^9, {3.843736627729396*^9, 3.8437366340295267`*^9}}, CellLabel-> "During evaluation of \ -In[13]:=",ExpressionUUID->"bcad07b7-c78f-4e35-a48f-14bad35fc031"], +In[615]:=",ExpressionUUID->"f82cd4be-bb5b-4c28-a352-6894793aca94"], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.08768579924222823`", ",", + RowBox[{"0.08428790389262919`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.449037399770833`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1956310634797022`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.18480465016808767`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.2433174743125224`"}]}], ",", + RowBox[{"-", "1.9845580160553957`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.2964274810848326`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "0.9858043006164164`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3707956103394163`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.38062302524501546`"}], ",", + RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.10871082597145154`"}]}], ",", + RowBox[{"A", "[", "3", "]"}], "\[Rule]", "0.0037444812211801072`"}], + ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.0031747260088083834`"}]}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.00004858533264762448`"}]}]}], "}"}]}], "}"}]], "Output",\ + + CellChangeTimes->{ + 3.843733446295603*^9, 3.8437337146220617`*^9, 3.8437337522548437`*^9, { + 3.843733882044881*^9, 3.843733926818181*^9}, {3.843736223213347*^9, + 3.843736240222663*^9}, {3.843736627808776*^9, 3.8437366340654573`*^9}}, + CellLabel-> + "Out[615]=",ExpressionUUID->"5dd79a11-570c-44ec-9746-87e670b03ee1"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"NFN", "[", "4", "]"}], "=", "6"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"HN", "[", "4", "]"}], "=", + RowBox[{"h", "[", "0", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"SN", "[", "4", "]"}], "=", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{ + RowBox[{"NFN", "[", "4", "]"}], ",", + RowBox[{"HN", "[", "4", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "4", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{ + RowBox[{"SN", "[", "3", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "->", "0"}], ",", + RowBox[{ + RowBox[{"A", "[", "6", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "3"}]]}], "]"}]}]}], "Input", + CellChangeTimes->{{3.841464800663937*^9, 3.8414648092082663`*^9}, { + 3.841485136434773*^9, 3.841485139912166*^9}, {3.8418271675674467`*^9, + 3.841827173829061*^9}, {3.8418272431358757`*^9, 3.841827252838594*^9}, { + 3.8419952877320557`*^9, 3.841995290092039*^9}, {3.8419965784135103`*^9, + 3.841996650284897*^9}, {3.8420796632379017`*^9, 3.8420796662279243`*^9}, { + 3.842079696973995*^9, 3.842079709908813*^9}, {3.842080958844912*^9, + 3.842080971507966*^9}, {3.8420810131822977`*^9, 3.8420810161723013`*^9}, { + 3.842081087975649*^9, 3.842081100630134*^9}, {3.842081146161045*^9, + 3.8420812229204082`*^9}, {3.842330964068717*^9, 3.8423309647887774`*^9}, { + 3.842684106526346*^9, 3.842684205629874*^9}, {3.8426850740415382`*^9, + 3.8426850748155527`*^9}, {3.842686839840394*^9, 3.842686854280414*^9}, { + 3.8426888615498257`*^9, 3.8426888620292683`*^9}, {3.8437113375652514`*^9, + 3.843711338267385*^9}, {3.8437113822298717`*^9, 3.843711387580381*^9}, + 3.843711807621063*^9, {3.843712220237281*^9, 3.843712222275484*^9}, { + 3.843712741637844*^9, 3.8437127442936077`*^9}, {3.843714626858206*^9, + 3.843714672745311*^9}, {3.843714738546775*^9, 3.843714743410553*^9}, { + 3.843715536121208*^9, 3.843715570945911*^9}, {3.843720982902687*^9, + 3.843721025950254*^9}, {3.843733469833881*^9, 3.84373348958615*^9}, { + 3.8437335388198547`*^9, 3.8437335888838177`*^9}, {3.8437362603746147`*^9, + 3.843736262293276*^9}, {3.84373630427111*^9, 3.843736339518784*^9}, { + 3.843736645885356*^9, 3.843736708357525*^9}}, + CellLabel-> + "In[658]:=",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"0.11850229981256294`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]0", "\[Rule]", "0.19207911374064776`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.059254255024947`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.0565625617489884`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.38941300435485016`"}], ",", + RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.028926044331647412`"}]}]}], "}"}]}], "}"}]], "Output", + RowBox[{"-", "0.016729812628691623`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.01271523138887888`"}], ",", + + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.003635026940123705`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "6", "]"}], "\[Rule]", "0.00040151390448694524`"}]}], + "}"}]}], "}"}]], "Output", CellChangeTimes->{ 3.841464815669158*^9, 3.841466117830838*^9, 3.8414661767800817`*^9, 3.841485159686406*^9, 3.841727626690813*^9, 3.841733647995943*^9, @@ -1260,31 +2180,55 @@ Cell[BoxData[ 3.842684146243494*^9}, {3.842684193399394*^9, 3.842684212191085*^9}, 3.842684585139443*^9, 3.8426850355674686`*^9, {3.8426850695328503`*^9, 3.8426850888395967`*^9}, 3.842686856923085*^9, 3.842687720314756*^9, - 3.842688864582823*^9, 3.842939614697124*^9}, - CellLabel->"Out[13]=",ExpressionUUID->"ae92cda0-8cb1-4b46-b9fb-7b158645793b"] + 3.842688864582823*^9, 3.842939614697124*^9, {3.843711315582027*^9, + 3.84371134133536*^9}, 3.84371139970343*^9, 3.843711810704735*^9, { + 3.843712217154181*^9, 3.8437122243328867`*^9}, 3.843712746995593*^9, { + 3.843714635509528*^9, 3.843714674653837*^9}, 3.8437147449322987`*^9, { + 3.8437151365392313`*^9, 3.8437151439668293`*^9}, {3.8437152285781927`*^9, + 3.843715242432947*^9}, {3.8437155647004766`*^9, 3.8437155723086567`*^9}, { + 3.8437210159312057`*^9, 3.843721027621621*^9}, {3.843721369829105*^9, + 3.8437213762359753`*^9}, {3.843733494958956*^9, 3.843733592567816*^9}, + 3.8437337258861427`*^9, {3.843733761345716*^9, 3.843733785769959*^9}, { + 3.8437362673362293`*^9, 3.843736269975911*^9}, {3.843736305110955*^9, + 3.843736346441326*^9}, {3.843736659850691*^9, 3.843736719937346*^9}}, + CellLabel-> + "Out[660]=",ExpressionUUID->"9c259a8c-bce3-49eb-b1dd-e7bcabedadcf"] }, Open ]], Cell[CellGroupData[{ -Cell[BoxData[ - RowBox[{"m3", "=", +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"NFN", "[", "5", "]"}], "=", "12"}], + ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"HN", "[", "5", "]"}], "=", + RowBox[{"h", "[", "0", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"SN", "[", "5", "]"}], "=", RowBox[{"annealFit", "[", RowBox[{ RowBox[{ - RowBox[{"res", "[", - RowBox[{"3", ",", - RowBox[{"h", "[", "3", "]"}], ",", + RowBox[{"resAll", "[", + RowBox[{ + RowBox[{"NFN", "[", "5", "]"}], ",", + RowBox[{"HN", "[", "5", "]"}], ",", SuperscriptBox["10", - RowBox[{"-", "12"}]]}], "]"}], "[", "5", "]"}], ",", + RowBox[{"-", "6"}]]}], "]"}], "[", "5", "]"}], ",", RowBox[{"Join", "[", RowBox[{ - RowBox[{"m2", "[", + RowBox[{ + RowBox[{"SN", "[", "4", "]"}], "[", RowBox[{"[", "2", "]"}], "]"}], ",", RowBox[{"{", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "->", "0"}], "}"}]}], "]"}], ",", - SuperscriptBox["10", - RowBox[{"-", "2"}]]}], "]"}]}]], "Input", + RowBox[{ + RowBox[{"A", "[", "11", "]"}], "->", "0"}], ",", + RowBox[{ + RowBox[{"A", "[", "12", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + "0"}], "]"}]}]}], "Input", CellChangeTimes->{{3.841464843337102*^9, 3.841464855633377*^9}, { 3.841485164363418*^9, 3.8414851683768*^9}, {3.8418272638322353`*^9, 3.841827269478693*^9}, {3.84182733709054*^9, 3.841827341167844*^9}, { @@ -1300,36 +2244,18 @@ Cell[BoxData[ 3.8423500619540243`*^9}, 3.842350096428383*^9, {3.842684233945053*^9, 3.8426842551309147`*^9}, {3.842686859825161*^9, 3.8426868713447027`*^9}, { 3.842688868821604*^9, 3.842688869325324*^9}, {3.843107546051009*^9, - 3.843107574732148*^9}}, + 3.843107574732148*^9}, {3.8437113437400503`*^9, 3.843711344347715*^9}, { + 3.843711401309723*^9, 3.843711405372888*^9}, 3.843711816108514*^9, + 3.843712331726219*^9, {3.843712752926405*^9, 3.843712755013856*^9}, { + 3.8437146798257504`*^9, 3.8437146937217607`*^9}, {3.843714747218815*^9, + 3.843714750314851*^9}, {3.843715580442203*^9, 3.8437155966341877`*^9}, { + 3.843721036343636*^9, 3.8437210629192343`*^9}, {3.843736286709857*^9, + 3.843736292941805*^9}, {3.843736352712152*^9, 3.843736411040065*^9}, { + 3.843736441338368*^9, 3.843736445208787*^9}, 3.84373649566679*^9}, CellLabel-> - "In[291]:=",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{"0.06252382881617301`", ",", - RowBox[{"{", - RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.4714751697840263`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.1955308023159779`"}], ",", - RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.231827971980599`"}]}], ",", - RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.280129052120687`"}], ",", - RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.3631670538022145`"}], ",", - RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.1135931680004365`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", - RowBox[{"-", "0.0031399391233768725`"}]}], ",", - RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.028808882001466706`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "4.2322753340627116`*^-7"}]}]}], "}"}]}], "}"}]], "Output",\ + "In[586]:=",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], +Cell[BoxData["$Aborted"], "Output", CellChangeTimes->{ 3.8414648792576437`*^9, 3.841466213483655*^9, 3.841485177503057*^9, 3.841727640264741*^9, 3.841733779145525*^9, 3.8417351450580807`*^9, @@ -1347,35 +2273,52 @@ Cell[BoxData[ 3.842596015417769*^9, 3.842683535184237*^9, {3.842684241491486*^9, 3.842684287348134*^9}, {3.842684595785584*^9, 3.842684606123411*^9}, 3.842686874990638*^9, 3.842687724707451*^9, 3.842688875012278*^9, - 3.842939620828459*^9, {3.843107553124093*^9, 3.843107593477028*^9}}, + 3.842939620828459*^9, {3.843107553124093*^9, 3.843107593477028*^9}, + 3.8437113498673983`*^9, 3.8437114091356907`*^9, {3.843711577235059*^9, + 3.843711582582844*^9}, 3.843711820145151*^9, 3.8437123360081167`*^9, + 3.843712758792292*^9, 3.843714694795176*^9, 3.8437147527109613`*^9, { + 3.84371559234904*^9, 3.843715598985958*^9}, {3.843721067307371*^9, + 3.843721076592401*^9}, {3.8437214015438147`*^9, 3.843721422620565*^9}, + 3.843736294815735*^9, {3.843736357965226*^9, 3.84373643699953*^9}, + 3.8437364934294033`*^9, 3.8437365536409883`*^9}, CellLabel-> - "Out[291]=",ExpressionUUID->"b68b4626-9db5-48b8-b533-e358a6253859"] + "Out[588]=",ExpressionUUID->"430992b3-b545-4ad5-857e-1cd7f9e0f50a"] }, Open ]], Cell[CellGroupData[{ -Cell[BoxData[ - RowBox[{"m4", "=", +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"NFN", "[", "6", "]"}], "=", "4"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"HN", "[", "6", "]"}], "=", + RowBox[{"h", "[", "4", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"SN", "[", "6", "]"}], "=", RowBox[{"annealFit", "[", RowBox[{ RowBox[{ RowBox[{"res", "[", - RowBox[{"4", ",", - RowBox[{"h", "[", "4", "]"}], ",", + RowBox[{ + RowBox[{"NFN", "[", "6", "]"}], ",", + RowBox[{"H", "[", "6", "]"}], ",", SuperscriptBox["10", - RowBox[{"-", "12"}]]}], "]"}], "[", "6", "]"}], ",", + RowBox[{"-", "10"}]]}], "]"}], "[", "6", "]"}], ",", RowBox[{"Join", "[", RowBox[{ - RowBox[{"m3", "[", + RowBox[{ + RowBox[{"SN", "[", "5", "]"}], "[", RowBox[{"[", "2", "]"}], "]"}], ",", RowBox[{"{", RowBox[{ RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "->", "0"}], ",", + RowBox[{"A", "[", "4", "]"}], "->", "0"}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + RowBox[{"gC", "[", "4", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", SuperscriptBox["10", - RowBox[{"-", "3"}]]}], "]"}]}]], "Input", + RowBox[{"-", "4"}]]}], "]"}]}]}], "Input", CellChangeTimes->{{3.8414649234989033`*^9, 3.841464929562983*^9}, 3.8414650365927067`*^9, {3.841466519588132*^9, 3.8414665280647097`*^9}, { 3.841466604931347*^9, 3.8414666419938307`*^9}, {3.8414666855258636`*^9, @@ -1395,90 +2338,95 @@ Cell[BoxData[ 3.842331118191568*^9}, {3.842684312154997*^9, 3.842684343987566*^9}, { 3.8426851236186237`*^9, 3.842685123808796*^9}, {3.842686890593161*^9, 3.842686899497368*^9}, {3.842688881398118*^9, 3.842688882653798*^9}, { - 3.842939642760597*^9, 3.842939644575281*^9}}, - CellLabel->"In[17]:=",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], + 3.842939642760597*^9, 3.842939644575281*^9}, {3.843711363180492*^9, + 3.843711363748081*^9}, {3.843711408342869*^9, 3.843711413060946*^9}, + 3.8437118859504538`*^9, 3.8437124208648443`*^9, {3.8437127620389013`*^9, + 3.843712768838229*^9}, {3.843712823160831*^9, 3.843712823415069*^9}, { + 3.8437147810852947`*^9, 3.843714807651908*^9}, {3.843715266436727*^9, + 3.8437153468136*^9}, {3.843715612186802*^9, 3.8437156288191233`*^9}, { + 3.843721084273182*^9, 3.843721117688121*^9}}, + CellLabel-> + "In[413]:=",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"1.6171220844600463`*^-307\ + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"2.0023045794287002`*^-307\ \\\"}]\\) is too small to represent as a normalized machine number; precision \ -may be lost.\"", 2, 17, 9, 31647447333701645841, "Local"}, +may be lost.\"", 2, 415, 331, 31652502335122491207, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.8429396591103697`*^9}, + CellChangeTimes->{3.84372112943382*^9}, CellLabel-> "During evaluation of \ -In[17]:=",ExpressionUUID->"1ed89cf4-fd5c-48bc-bead-0c386fce6f08"], +In[413]:=",ExpressionUUID->"b628b1ce-8f72-4517-8684-e5b37106425b"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"2.1579197778306597`*^-307\ + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"1.0011522897143501`*^-307\ \\\"}]\\) is too small to represent as a normalized machine number; precision \ -may be lost.\"", 2, 17, 10, 31647447333701645841, "Local"}, +may be lost.\"", 2, 415, 332, 31652502335122491207, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842939659198283*^9}, + CellChangeTimes->{3.843721129519565*^9}, CellLabel-> "During evaluation of \ -In[17]:=",ExpressionUUID->"2bc8ebfc-4ed7-4408-9239-534aa27339a8"], +In[413]:=",ExpressionUUID->"21f24859-445c-4727-9669-cfd60008d6c9"], Cell[BoxData[ TemplateBox[{ "General", "munfl", - "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \ -\\\"8.085610422300231`*^-308\\\"}]\\) is too small to represent as a \ -normalized machine number; precision may be lost.\"", 2, 17, 11, - 31647447333701645841, "Local"}, + "\"\\!\\(\\*RowBox[{\\\"0.1`\\\", \\\" \\\", \\\"1.5149545665513955`*^-307\ +\\\"}]\\) is too small to represent as a normalized machine number; precision \ +may be lost.\"", 2, 415, 333, 31652502335122491207, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.8429396592803783`*^9}, + CellChangeTimes->{3.843721129605254*^9}, CellLabel-> "During evaluation of \ -In[17]:=",ExpressionUUID->"ac9b937b-6466-471b-99dc-173a1c6d2133"], +In[413]:=",ExpressionUUID->"34862ce4-ccc3-473a-983f-83c9d41299b9"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"General\\\", \ \\\"::\\\", \\\"munfl\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 17, 12, 31647447333701645841, "Local"}, +during this calculation.\"", 2, 415, 334, 31652502335122491207, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.842939659362919*^9}, + CellChangeTimes->{3.843721129685548*^9}, CellLabel-> "During evaluation of \ -In[17]:=",ExpressionUUID->"f9529706-6eef-4cd9-a0cd-121ca1a87f4c"], +In[413]:=",ExpressionUUID->"800b496e-93af-4967-9f4d-19bc30cefc7c"], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.022887672295205563`", ",", + RowBox[{"0.0005989943165717576`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.435757396344316`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19736542866520598`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.4191290472262938`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19726885518394613`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.3981472287670393`"}]}], ",", + RowBox[{"-", "2.410307653102931`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5091177600111922`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.526429619415671`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.39200424741821555`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.41671735163630635`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.19533215126551273`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.029301113923157842`"}], - ",", + RowBox[{"-", "0.20297641627344776`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.09002270806803321`"}]}], ",", + RowBox[{"-", "0.091790591460744`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.003990623031590741`"}], + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.01475651256511747`"}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0039972526592865106`"}], ",", RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.00011912993661574494`"}]}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.013153698851402483`"}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.01723324643602473`"}]}], - "}"}]}], "}"}]], "Output", + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.00020390149309852383`"}]}]}], "}"}]}], "}"}]], "Output",\ + CellChangeTimes->{ 3.841465025789603*^9, 3.8414651161396313`*^9, 3.841466522687828*^9, 3.841466555475013*^9, 3.841466668800694*^9, {3.841466708777321*^9, @@ -1502,164 +2450,424 @@ Cell[BoxData[ 3.842684364689314*^9, 3.84268439701243*^9, 3.842684631120551*^9, 3.842685124486528*^9, 3.842685208628757*^9, 3.842686910745422*^9, 3.842687741468136*^9, 3.8426888994788837`*^9, {3.842939629109034*^9, - 3.842939660855831*^9}}, - CellLabel->"Out[17]=",ExpressionUUID->"5c1d6394-093e-42c9-b567-0392ee8b8dd0"] + 3.842939660855831*^9}, 3.843711365823695*^9, 3.843711439289988*^9, + 3.8437114788889103`*^9, 3.843711603837839*^9, 3.8437116346369457`*^9, { + 3.843711880883437*^9, 3.843711908217847*^9}, 3.843712438680501*^9, { + 3.8437127904467697`*^9, 3.843712837616493*^9}, 3.843714808157996*^9, { + 3.843715268598218*^9, 3.843715355019931*^9}, 3.8437156380406713`*^9, { + 3.843721113575069*^9, 3.84372113118095*^9}}, + CellLabel-> + "Out[415]=",ExpressionUUID->"4b16f87a-8343-4a14-b1b1-eede27195bbc"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"m5", "=", + RowBox[{ + RowBox[{"SN", "[", "6", "]"}], "=", + RowBox[{"annealFit", "[", + RowBox[{ + RowBox[{ + RowBox[{"res", "[", + RowBox[{"4", ",", + RowBox[{"h", "[", "4", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "6", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"SN", "[", "6", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "]"}]}]], "Input", + CellChangeTimes->{{3.8414649234989033`*^9, 3.841464929562983*^9}, + 3.8414650365927067`*^9, {3.841466519588132*^9, 3.8414665280647097`*^9}, { + 3.841466604931347*^9, 3.8414666419938307`*^9}, {3.8414666855258636`*^9, + 3.841466727221444*^9}, {3.84146676121622*^9, 3.8414667820495234`*^9}, { + 3.84148396767577*^9, 3.8414839726025257`*^9}, {3.84148521082797*^9, + 3.8414853154915857`*^9}, {3.841733796562776*^9, 3.841733797057767*^9}, { + 3.841735203005892*^9, 3.841735209699418*^9}, {3.8418273747300787`*^9, + 3.841827382400734*^9}, {3.841995502776061*^9, 3.841995503240049*^9}, { + 3.842078951611676*^9, 3.8420789636867447`*^9}, {3.8420798300405483`*^9, + 3.842079836854927*^9}, {3.8420799055859203`*^9, 3.842079914272601*^9}, { + 3.842079967859085*^9, 3.8420799681136713`*^9}, {3.842080021564961*^9, + 3.842080025220539*^9}, {3.8420800859182*^9, 3.8420800990122004`*^9}, { + 3.84208019154412*^9, 3.8420802080213737`*^9}, {3.842080276960822*^9, + 3.842080288543285*^9}, 3.842080409972135*^9, {3.842080584925744*^9, + 3.8420805890525837`*^9}, {3.84208137234144*^9, 3.8420814145320263`*^9}, { + 3.842081680882601*^9, 3.842081699569503*^9}, {3.84233110907162*^9, + 3.842331118191568*^9}, {3.842684312154997*^9, 3.842684343987566*^9}, { + 3.8426851236186237`*^9, 3.842685123808796*^9}, {3.842686890593161*^9, + 3.842686899497368*^9}, {3.842688881398118*^9, 3.842688882653798*^9}, { + 3.842939642760597*^9, 3.842939644575281*^9}, {3.843711363180492*^9, + 3.843711363748081*^9}, {3.843711408342869*^9, 3.843711413060946*^9}, + 3.8437118859504538`*^9, 3.8437124208648443`*^9, {3.8437127620389013`*^9, + 3.843712768838229*^9}, {3.843712823160831*^9, 3.8437128563836823`*^9}}, + CellLabel-> + "In[310]:=",ExpressionUUID->"7802d202-3d6d-4dfa-88dc-b610c353f8e0"], + +Cell[BoxData[ + TemplateBox[{ + "CompiledFunction", "cfex", + "\"Could not complete external evaluation at instruction \\!\\(\\*RowBox[{\ +\\\"29\\\"}]\\); proceeding with uncompiled evaluation.\"", 2, 310, 297, + 31652502335122491207, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.843715279632785*^9}, + CellLabel-> + "During evaluation of \ +In[310]:=",ExpressionUUID->"a6afe7e7-a295-46f1-b353-09cdec263eb6"], + +Cell[BoxData[ + TemplateBox[{ + "CompiledFunction", "cfex", + "\"Could not complete external evaluation at instruction \\!\\(\\*RowBox[{\ +\\\"29\\\"}]\\); proceeding with uncompiled evaluation.\"", 2, 310, 298, + 31652502335122491207, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{3.8437152799790373`*^9}, + CellLabel-> + "During evaluation of \ +In[310]:=",ExpressionUUID->"72e76bc6-8558-45fb-a4d6-1f3c0d25dce3"], + +Cell[BoxData["$Aborted"], "Output", + CellChangeTimes->{ + 3.841465025789603*^9, 3.8414651161396313`*^9, 3.841466522687828*^9, + 3.841466555475013*^9, 3.841466668800694*^9, {3.841466708777321*^9, + 3.841466735908298*^9}, {3.841466779836482*^9, 3.8414667940802307`*^9}, + 3.841466824528336*^9, 3.841483997419278*^9, {3.841485225140195*^9, + 3.84148530730641*^9}, 3.841485346491642*^9, 3.841727678198784*^9, { + 3.841733795931508*^9, 3.841733821796796*^9}, 3.8417351720463753`*^9, + 3.8417352475906267`*^9, 3.841827146904572*^9, 3.841827657292903*^9, + 3.841995539806638*^9, 3.842069877907194*^9, 3.842078936824607*^9, + 3.8420789875120153`*^9, 3.8420798602764683`*^9, 3.8420799402629004`*^9, + 3.842079995444352*^9, 3.842080060576466*^9, 3.842080162099564*^9, + 3.842080245811408*^9, 3.842080332954257*^9, 3.842080431518053*^9, + 3.842080625337432*^9, {3.842081406500411*^9, 3.8420814324198103`*^9}, + 3.8420817204515057`*^9, 3.842331129758831*^9, 3.842337135231529*^9, + 3.842350258463331*^9, 3.842422914621627*^9, 3.842520300921358*^9, + 3.842522170672875*^9, 3.842522709159134*^9, 3.842524787519485*^9, + 3.842525918290428*^9, 3.842591965284109*^9, 3.8425930322238503`*^9, { + 3.8425962448099003`*^9, 3.84259626059803*^9}, 3.842596465859194*^9, + 3.84259659587401*^9, 3.8425968948300667`*^9, 3.8425970957348948`*^9, + 3.842683555724093*^9, {3.842684315768067*^9, 3.842684333014844*^9}, + 3.842684364689314*^9, 3.84268439701243*^9, 3.842684631120551*^9, + 3.842685124486528*^9, 3.842685208628757*^9, 3.842686910745422*^9, + 3.842687741468136*^9, 3.8426888994788837`*^9, {3.842939629109034*^9, + 3.842939660855831*^9}, 3.843711365823695*^9, 3.843711439289988*^9, + 3.8437114788889103`*^9, 3.843711603837839*^9, 3.8437116346369457`*^9, { + 3.843711880883437*^9, 3.843711908217847*^9}, 3.843712438680501*^9, { + 3.8437127904467697`*^9, 3.8437128636726007`*^9}, 3.843715283104864*^9}, + CellLabel-> + "Out[310]=",ExpressionUUID->"5faec697-031f-4594-9d93-9cfbc6c71780"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"NFN", "[", "7", "]"}], "=", "5"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"HN", "[", "7", "]"}], "=", + RowBox[{"h", "[", "4", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"SN", "[", "7", "]"}], "=", RowBox[{"RemoteEvaluate", "@", RowBox[{"annealFit", "[", RowBox[{ RowBox[{ - RowBox[{"res", "[", - RowBox[{"4", ",", - RowBox[{"h", "[", "5", "]"}], ",", + RowBox[{"res2", "[", + RowBox[{ + RowBox[{"NFN", "[", "7", "]"}], ",", + RowBox[{"HN", "[", "7", "]"}], ",", SuperscriptBox["10", - RowBox[{"-", "10"}]]}], "]"}], "[", "7", "]"}], ",", + RowBox[{"-", "6"}]]}], "]"}], "[", "7", "]"}], ",", RowBox[{"Join", "[", RowBox[{ - RowBox[{"m4", "[", + RowBox[{ + RowBox[{"SN", "[", "6", "]"}], "[", RowBox[{"[", "2", "]"}], "]"}], ",", RowBox[{"{", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "->", "0"}], "}"}]}], "]"}]}], - "]"}]}]}]], "Input", - CellChangeTimes->CompressedData[" -1:eJwd0d1Lk3EchvG1YpYahG6IGUwlKuhFqdRILWdu1swUcY/rYKGCkrJZhE0j -RCKdCA4nCOZB014kFWSlQ3TpqLE2aQZJbQZacxPR8Al7UauB2ff+HVx8/oAr -ofxGUYVQIBAcoaC0o87VbuZlh7b5aaj//MkPU9ZMAVjTGrUMo0TXVuBY+sFN -eEbq/w0XR40nTKS4VJwMDTfnLDby2MfgMHS0+hag6MU/5nlfY+5Lsl9hVMKf -4knFAhleefsidHP1BihRxbXATKO3B859CzF9S/LxILkqj7DBd8LaV7Bzn8cJ -hyT12p09vMx1t1YH5xNHMnaRu/fnZcL1QOElWKroyoeeIV0xPK5Rq6CreyZn -Lzm1plXA05y59z7p/hX7BJ4LXX5qIE/OfmHyj4uutJBVD6MLoPWA7SqcPeXX -QEvfqMlK7vEPdsAYb/EYdNZNjMOznXa9qJeXacs8TNv7HAtsW93xHC7WSKRh -ZLiwOR5WxqTkwuxoVR7Ux2WsJ5FLaamb8NbAFpdMKkOJavhgpuvCIMk/q5DD -MJ1Xc/QRfbEvM4WpDo4j5/MPl0BrU/dr2DdxzwEj21V/SsjvTfa/sNrk3oZT -gWnmhrL5jpoMvjUwnV/tDVAsesM0J2X9gBFlW8zrK+nlH0j1xiTzP30vXOA= - - "], - CellLabel->"In[19]:=",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], + RowBox[{"A", "[", "5", "]"}], "->", "0"}], "}"}]}], "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "4"}]]}], "]"}]}]}]}], "Input", + CellChangeTimes->{{3.841228339736465*^9, 3.841228391406146*^9}, { + 3.841228542777034*^9, 3.8412285565292*^9}, {3.841228600579276*^9, + 3.841228610938241*^9}, {3.84122872113229*^9, 3.841228734972561*^9}, { + 3.841229172428575*^9, 3.8412291846367283`*^9}, {3.841316222857486*^9, + 3.8413162470884*^9}, {3.841316614704316*^9, 3.8413166159591093`*^9}, { + 3.841317435994903*^9, 3.841317460414875*^9}, {3.841393205908807*^9, + 3.841393219668706*^9}, {3.841393715760593*^9, 3.841393720854136*^9}, { + 3.841393918673491*^9, 3.841393919841535*^9}, {3.841398218184306*^9, + 3.841398224352285*^9}, {3.841398275625342*^9, 3.8413983103457737`*^9}, { + 3.841465187752646*^9, 3.841465195640109*^9}, {3.8414668535980053`*^9, + 3.8414668583469276`*^9}, {3.841466954527582*^9, 3.8414669887273407`*^9}, { + 3.841467043427465*^9, 3.841467050761374*^9}, {3.841485350550021*^9, + 3.84148536340427*^9}, {3.841727748948023*^9, 3.841727768811008*^9}, { + 3.841733922405547*^9, 3.841733926963769*^9}, {3.841735282582388*^9, + 3.841735288612965*^9}, {3.841735373816004*^9, 3.841735406975078*^9}, { + 3.841827973707725*^9, 3.841827981339726*^9}, {3.841828290651012*^9, + 3.84182830188962*^9}, {3.841995701955594*^9, 3.8419957023556423`*^9}, { + 3.8419961541504793`*^9, 3.8419961600600033`*^9}, {3.841997048669786*^9, + 3.841997060156499*^9}, {3.8419973696591063`*^9, 3.841997402658601*^9}, { + 3.842080673878352*^9, 3.842080689694323*^9}, {3.8420814639269342`*^9, + 3.842081473093053*^9}, {3.842331164744335*^9, 3.8423311791767483`*^9}, { + 3.842595566669559*^9, 3.842595567242036*^9}, {3.8426835740690928`*^9, + 3.8426835772282543`*^9}, {3.842684428797449*^9, 3.842684436054278*^9}, { + 3.842686914673712*^9, 3.8426869259537277`*^9}, {3.8426869742363787`*^9, + 3.842686974402561*^9}, {3.8426879481654*^9, 3.842687948212266*^9}, { + 3.842687981966036*^9, 3.842687982156992*^9}, {3.8426889060058126`*^9, + 3.842688911918096*^9}, {3.84293966587212*^9, 3.842939669936199*^9}, { + 3.843711662825963*^9, 3.8437116708656683`*^9}, {3.8437119736392927`*^9, + 3.843711974543284*^9}, 3.8437125085543222`*^9, {3.843712574291185*^9, + 3.843712577058402*^9}, {3.843712891153672*^9, 3.843712891216428*^9}, { + 3.843714848580677*^9, 3.843714888910726*^9}, {3.843714970351266*^9, + 3.843714999695292*^9}, {3.8437153738156*^9, 3.843715380750386*^9}, { + 3.843715451615923*^9, 3.843715458743846*^9}, {3.8437156794451923`*^9, + 3.843715711884416*^9}, {3.843721147362162*^9, 3.8437211698730717`*^9}, { + 3.8437212341389503`*^9, 3.8437212358021297`*^9}}, + CellLabel-> + "In[422]:=",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], Cell[CellGroupData[{ +Cell["\<\ +CompiledFunction::cfne: + Numerical error encountered; proceeding with uncompiled evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843721309174179*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"511d0852-d858-4548-8196-98f35415e50e"], + Cell["\<\ General::munfl: - -307 - 0.1 1.66577 10 is too small to represent as a normalized machine - number; precision may be lost.\ + 70 -5 + (7.57561 10 ) is too small to represent as a normalized machine number; + precision may be lost.\ \>", "Print", ShowCellLabel->True, - CellChangeTimes->{3.842939742369667*^9}, + CellChangeTimes->{3.843721309253282*^9}, CellLabel-> - "Remote kernel",ExpressionUUID->"d88f05b7-867e-4007-b490-523af8835859"], + "Remote kernel",ExpressionUUID->"f79394b9-541f-492c-93db-8756d1b14926"], Cell["\<\ General::munfl: - -308 - 0.1 8.32884 10 is too small to represent as a normalized machine - number; precision may be lost.\ + 70 -5 + (7.57561 10 ) is too small to represent as a normalized machine number; + precision may be lost.\ \>", "Print", ShowCellLabel->True, - CellChangeTimes->{3.8429397432530947`*^9}, + CellChangeTimes->{3.8437213093325453`*^9}, CellLabel-> - "Remote kernel",ExpressionUUID->"6e79534c-a26a-442a-96ea-7a3db22b040d"], + "Remote kernel",ExpressionUUID->"b1edbebb-e977-4520-964f-b1cec1161771"], Cell["\<\ General::munfl: - -307 - 0.1 1.13721 10 is too small to represent as a normalized machine - number; precision may be lost.\ + 70 -6 + (7.57561 10 ) is too small to represent as a normalized machine number; + precision may be lost.\ \>", "Print", ShowCellLabel->True, - CellChangeTimes->{3.842939744120633*^9}, + CellChangeTimes->{3.84372130941184*^9}, CellLabel-> - "Remote kernel",ExpressionUUID->"c2b325db-60f5-4577-b04a-1ef2e7ea4b07"], + "Remote kernel",ExpressionUUID->"bfd58a31-019e-410a-b9e9-ab659fab9ac9"], Cell["\<\ General::stop: Further output of General::munfl will be suppressed during this calculation.\ \>", "Print", ShowCellLabel->True, - CellChangeTimes->{3.8429397441576977`*^9}, + CellChangeTimes->{3.843721309491207*^9}, CellLabel-> - "Remote kernel",ExpressionUUID->"f6bbed36-a30c-4318-a016-b54804343acb"] + "Remote kernel",ExpressionUUID->"3b1d2871-5059-4719-bd90-6aaba8c9caef"] }, Open ]], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.00004438027751226374`", ",", + RowBox[{"131.3249466582363`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.4323668647862395`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19730236197017473`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.395183014233631`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19712957686138352`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.42285516121067`"}]}], ",", + RowBox[{"-", "2.434314383420567`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5453691206248084`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5610016987826405`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4131963567936482`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4548499410086436`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.22076846011611728`"}]}], ",", - RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.036245425139259865`"}], - ",", + RowBox[{"-", "0.21940227641432558`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.12160894976267282`"}]}], ",", + RowBox[{"-", "0.10072518384300388`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.010119794698736752`"}], + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", + RowBox[{"-", "0.00243412059045142`"}]}], ",", + RowBox[{ + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0009918239195323972`"}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.012222303004004684`"}], ",", RowBox[{ RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.001143426002373578`"}]}], ",", + RowBox[{"-", "0.0006144609325469323`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.026345666471523435`"}], ",", + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.0017720918296079988`"}]}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{ + 3.8413939151770983`*^9, 3.841398118546665*^9, 3.841398237839446*^9, + 3.8413995587444963`*^9, 3.8414653249934998`*^9, 3.841466926914564*^9, + 3.841466986860621*^9, 3.841467043482155*^9, 3.84146709424573*^9, + 3.841485467638752*^9, 3.841727748862999*^9, 3.841727845501019*^9, + 3.8417339203216867`*^9, 3.841734014800623*^9, 3.841735351995893*^9, + 3.8417353959882593`*^9, 3.8417354896902313`*^9, 3.841828070375112*^9, + 3.841828416853786*^9, 3.841995813054018*^9, 3.841996254320202*^9, + 3.841997122449973*^9, 3.841997394648814*^9, 3.841997465320455*^9, + 3.842080768211817*^9, 3.842081567020575*^9, 3.842331172701756*^9, + 3.842331261127962*^9, 3.842337177456024*^9, 3.842350482004504*^9, + 3.842423099140039*^9, 3.842522070270151*^9, 3.8425221100622883`*^9, + 3.84252223202293*^9, 3.842522745630643*^9, 3.842524872724287*^9, + 3.842525981587693*^9, 3.84259555903785*^9, 3.8425957734859037`*^9, + 3.8425991906247473`*^9, 3.842600356395894*^9, 3.8426006491969223`*^9, + 3.842683638776207*^9, 3.842684483174741*^9, 3.842684542295457*^9, + 3.842686962014628*^9, 3.842687003865261*^9, 3.842687775020803*^9, + 3.842687975209934*^9, 3.842688013036537*^9, 3.842688940852756*^9, + 3.842939679662961*^9, 3.842939745593294*^9, 3.8437117223983297`*^9, + 3.843711968668192*^9, 3.8437120195861197`*^9, 3.8437125604955606`*^9, + 3.84371263576726*^9, 3.843712952429131*^9, 3.843713023755987*^9, + 3.8437148808670673`*^9, 3.843714921963626*^9, {3.843714964757214*^9, + 3.8437150164962597`*^9}, 3.843715396032799*^9, 3.843715474097043*^9, + 3.8437157630255613`*^9, 3.843715849137591*^9, 3.843721214762032*^9, + 3.8437212799635353`*^9, 3.843721339285296*^9}, + CellLabel-> + "Out[424]=",ExpressionUUID->"98dfdb17-c250-42bc-8b48-17896671f0f4"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{ + RowBox[{"SN", "[", "7", "]"}], "=", + RowBox[{"RemoteEvaluate", "@", + RowBox[{"annealFit", "[", + RowBox[{ RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.00010094806835660409`"}]}], - "}"}]}], "}"}]], "Output", + RowBox[{"res2", "[", + RowBox[{"7", ",", + RowBox[{"h", "[", "2", "]"}], ",", + SuperscriptBox["10", + RowBox[{"-", "12"}]]}], "]"}], "[", "7", "]"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{ + RowBox[{"SN", "[", "7", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{"{", "}"}]}], "]"}]}], "]"}]}]}]], "Input", CellChangeTimes->CompressedData[" -1:eJwVzk0ogwEcx/FHYxvloDQrLiRkbcleyMUeTXlpaOR1aW0mheawUhuWrClN -bnYYe7aa0kxbs1JbaXLYSzK22FamoXZgue0ixv9/+PW5/A7fRqVGpi4jCEII -i7nUVM5WIAe2Htxv4NVzIIh+JFKKdzAUozZpVIFskGT7y0Hh3pwUvV/VTKAV -2fAserqmHa8GvcdW+w44w/S60b7hKqcJ9NsyHnTu5W9yF0xQBjmqYImW0E/i -9sgPdsnqI6gx4DDS7QWS3RsLorzFkTYGyLqZGEI5ZPs4KgjTiQ5w/zSnQqPa -Q4kLlLCdo2jIwuOfg/lmse8C5OsXWlNg7UlEx3DAr3RtQNNB4gDt4QniqJxb -l2GCyjufqhKsEb3KOaDJ7FlHv36TTVyw/czsRzukLRnU+5SenwR1FnUEVWqj -cfRxXVyaAru9eto0uOzKqdDIZX4DHeM4ttHwoLWIfouKqiT2/XSuoP/XTt6m +1:eJwd0dtL02EAh/G1apoWhW5JGawk6sJSydRKzVZu2jyFuLnAhQ4mHaZF6TQ6 +EelUarnBwrxILZNMkFUu0amrxprSDBq1Gc3anIiav7CDWglm7/e9ePj8Ac82 +xdlcJZvFYkWTIF9fYa9vYgQ7lplhqP780QvjZnVjsLQuZBKGcE5MwZ7E7Qtw +H9/7G453a6N0RG4hNwZqznmMZuKuD/5n0Frn9kHO03/UFPe1tD5iu0grhj+5 +AyIfMai4PB0OSis1kCcJr4HJWlcz9HxbpLonhL1+4oww2AzfssteQsMGhw12 +8ipVK5sZgf1SWQkcjehKWkUM3JyRDOfGjh2FhaKGLOjoLMmDu+UyCbQ3OlPX +EYdmVSK4V9rUcoM4+GtTKzy4mPlQQ9wz8oXKPMjNriGeuheaA01bzMfhSKxX +Do1t3ToTcY23Qw/DXHk90FbR3wsPGCxqTgsjUBU5qOZ3qUZ4a2bFEzheyuMH +EIPY1VthcVhcGjwcKsmA6vCkuWjiREL8Ajz/eEkaQxQvRsjgXWfDkQ4i80gp +hAElLnnkffLFMkllx1ulUuJo1s58aKpqfAXb+q9b4dp6yZ984vcqy194Wje4 +DIfGhqnz4uqLMqL/jYZqm7ZcgVzOa2pT9KEfMLhoiXpyKlHxniibH6CKSz9J +MlsZwe0ujxRuLDddhncUTip7QP8CavoKbLA2wTedRUyvXf8V7r96JjKbWNB5 +gRrVvjoWGp4HUq2+HCU0p9yk/gfqFZVb + "], + CellLabel-> + "In[386]:=",ExpressionUUID->"f29012dd-767e-4ccd-8cc2-503ba7241dd4"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"304286.46524492855`", ",", + RowBox[{"{", + RowBox[{ + RowBox[{"\[Theta]c", "\[Rule]", "1.4053359861589603`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.1971833552689971`"}], ",", + RowBox[{"AH", "\[Rule]", + RowBox[{"-", "2.4312045282525254`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.5563436838890758`"}], ",", + RowBox[{ + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4425061471506051`"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.10300712626955466`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.01691457744278105`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.21957782807237258`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.003020187852593811`"}]}], ",", + RowBox[{ + RowBox[{"A", "[", "6", "]"}], "\[Rule]", "0.00038595536852966604`"}], + ",", + RowBox[{ + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.007670746496387066`"}], + ",", + RowBox[{ + RowBox[{"A", "[", "7", "]"}], "\[Rule]", + RowBox[{"-", "0.00002087954039631728`"}]}]}], "}"}]}], "}"}]], "Output",\ + CellChangeTimes->CompressedData[" +1:eJwVzlsogwEYxvHPcShKMStSJGQsGSMlPk05jpDTRmwmhUgrxZBDlEhuKKdt +oTRksVJbYbnYLDmfVmgoFyx3SnJ6n4un381z8Y+Qt5cq3RiGyaDZ9UqNc9HF +5vadrT+Ru3cmM3w9v6l/Jvftml4PjYsNE9/neJIpY9IieNrWXg697q01cLVD +VeZPGhbmtENktY9hHWYX+C2PkMZFxyaUPvxVjJLnmn4ZrOeKmuEbczRvJFNL +Q21w2KQb9ta6WF6m3QwFTZJYDsk9KM+HfDauDCZbvZlEcmLVqYCHqmmxnhTz +lovh/oxAuEG+RGVtbZPCnsaYGzJoxdbN0dHv19IPb83MJEwXJJ9AWUKIw4eU +H28pfMlA0aOMT46Mb3bB95+LyAQybm3cCBOLoh3QcH1bV0F2zyhtUK46PIFX +XVm/lWSaocejimzROxXQtvOihiV83QC05s19wC/Rh+ICfd9JrTB8r7C2cMnF +XjYEq2GfpXMQqnfqrPDbOR0vIT+T3FOg35RvIzyeDWiG/6Qo/lI= "], - CellLabel->"Out[19]=",ExpressionUUID->"8774a6d9-0286-4a73-9c47-aea4f47d539e"] + CellLabel-> + "Out[386]=",ExpressionUUID->"856b1968-3f0f-4f85-ad84-7cb3e73f0a0d"] }, Open ]], Cell[CellGroupData[{ -Cell[BoxData[ - RowBox[{"m6", "=", +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{"NFN", "[", "8", "]"}], "=", "8"}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{ + RowBox[{"HN", "[", "8", "]"}], "=", + RowBox[{"h", "[", "3", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"SN", "[", "8", "]"}], "=", RowBox[{"RemoteEvaluate", "@", RowBox[{"annealFit", "[", RowBox[{ RowBox[{ RowBox[{"res", "[", - RowBox[{"5", ",", - RowBox[{"h", "[", "6", "]"}], ",", + RowBox[{ + RowBox[{"NFN", "[", "8", "]"}], ",", + RowBox[{"HN", "[", "8", "]"}], ",", SuperscriptBox["10", RowBox[{"-", "6"}]]}], "]"}], "[", "8", "]"}], ",", RowBox[{"Join", "[", RowBox[{ - RowBox[{"m5", "[", + RowBox[{ + RowBox[{"SN", "[", "7", "]"}], "[", RowBox[{"[", "2", "]"}], "]"}], ",", RowBox[{"{", RowBox[{ RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "->", "0"}], ",", + RowBox[{"gC", "[", "3", "]"}], "->", "0"}], ",", RowBox[{ - RowBox[{"A", "[", "5", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", + RowBox[{"A", "[", "8", "]"}], "->", "0"}]}], "}"}]}], "]"}], ",", SuperscriptBox["10", - RowBox[{"-", "3"}]]}], "]"}]}]}]], "Input", + RowBox[{"-", "5"}]]}], "]"}]}]}]}], "Input", CellChangeTimes->{{3.841228339736465*^9, 3.841228391406146*^9}, { 3.841228542777034*^9, 3.8412285565292*^9}, {3.841228600579276*^9, 3.841228610938241*^9}, {3.84122872113229*^9, 3.841228734972561*^9}, { @@ -1702,61 +2910,154 @@ Cell[BoxData[ 3.84268908089705*^9}, 3.842689217989202*^9, {3.842689321494268*^9, 3.8426893215735407`*^9}, {3.84268953374725*^9, 3.842689535833342*^9}, { 3.8426896540848227`*^9, 3.842689654387868*^9}, {3.842936128483087*^9, - 3.842936137168179*^9}}, - CellLabel->"In[20]:=",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], + 3.842936137168179*^9}, {3.8437130416597033`*^9, 3.84371305213933*^9}, { + 3.843715934056658*^9, 3.843715975505213*^9}}, + CellLabel-> + "In[389]:=",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], + +Cell[CellGroupData[{ + +Cell["\<\ +CompiledFunction::cfn: + Numerical error encountered at instruction 212; proceeding with uncompiled + evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.84371602898495*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"8a120e5f-a5a3-4f17-b3ed-fe4c02b738db"], + +Cell["\<\ +CompiledFunction::cfn: + Numerical error encountered at instruction 212; proceeding with uncompiled + evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843716047060897*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"de95d3ae-aa9a-4b14-bde6-721d748d8480"], + +Cell["\<\ +CompiledFunction::cfne: + Numerical error encountered; proceeding with uncompiled evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843716052259479*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"c9fd7d2b-d1a0-48f2-b8b6-bbecc90bd16c"], + +Cell["\<\ +General::munfl: + 54 -6 + (2.12132 10 ) is too small to represent as a normalized machine number; + precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843716052339076*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"756862c8-5721-4b71-9543-cd0dd99e93c0"], + +Cell["\<\ +General::munfl: + 54 -6 + (2.12132 10 ) is too small to represent as a normalized machine number; + precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.8437160524183693`*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"7e805f4a-3d92-47ae-8493-1e29f9635c40"], + +Cell["\<\ +General::munfl: + 54 -7 + (2.12132 10 ) is too small to represent as a normalized machine number; + precision may be lost.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843716052497731*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"d575551e-b2b0-4da3-a620-4e466d368394"], + +Cell["\<\ +General::stop: Further output of General::munfl + will be suppressed during this calculation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843716052577257*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"3bfcd99d-0da9-4b75-b727-45a2f3b0a0e8"], + +Cell["\<\ +CompiledFunction::cfn: + Numerical error encountered at instruction 212; proceeding with uncompiled + evaluation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843716062254755*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"8abe42c0-cb42-47f7-8d0e-35a497bc69c1"], + +Cell["\<\ +General::stop: Further output of CompiledFunction::cfn + will be suppressed during this calculation.\ +\>", "Print", + ShowCellLabel->True, + CellChangeTimes->{3.843716062334365*^9}, + CellLabel-> + "Remote kernel",ExpressionUUID->"a75f4be0-6356-4a2d-ac60-ca5a174ca99a"] +}, Open ]], Cell[BoxData[ RowBox[{"{", - RowBox[{"9.324321373318527`*^-11", ",", + RowBox[{"3419.6988261403444`", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.4523249567315764`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19773211466509105`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.4017104680646788`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19705890107101348`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.474179448818734`"}]}], ",", + RowBox[{"-", "2.465909302676139`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.638356246881029`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.606500268806754`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.31489233655152`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.4812987525320637`"}], ",", RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.2393215432661635`"}]}], ",", + RowBox[{"A", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.12968904806924655`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.28515075380279437`"}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.02079714311105976`"}], ",", + RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.35609439949817756`"}]}], ",", + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.2593495761671524`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0032907286753125244`"}], - ",", + RowBox[{"A", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.004378573171935516`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.022359055088766188`"}]}], ",", + RowBox[{"A", "[", "6", "]"}], "\[Rule]", "0.00026901419330847235`"}], + ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.23041063813548635`"}], ",", - + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", + RowBox[{"-", "0.0014155250087730586`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.00271439354651574`"}], ",", + RowBox[{"A", "[", "7", "]"}], "\[Rule]", "0.00006570308637686371`"}], + ",", RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", - RowBox[{"-", "0.0002479115688626555`"}]}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.0004950946700765749`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.03575026991711773`"}]}]}], "}"}]}], "}"}]], "Output", - CellChangeTimes->{3.8426871199786043`*^9, 3.84268729156643*^9, - 3.8426873840839453`*^9, 3.8426875065822372`*^9, 3.842687850710799*^9, - 3.8426879287424593`*^9, 3.842688129334385*^9, 3.842688321147443*^9, - 3.842689043055613*^9, 3.842689199474453*^9, 3.842689302538309*^9, - 3.842689431347052*^9, 3.842689628417358*^9, 3.8426898058853607`*^9, - 3.8429362869080553`*^9, 3.842936618345025*^9, 3.842936810857802*^9, - 3.842939015862775*^9, 3.842939177612258*^9, 3.8429399143691998`*^9}, - CellLabel->"Out[20]=",ExpressionUUID->"b9674ad4-9299-43fc-9857-72ddd0bea7a1"] + RowBox[{"A", "[", "8", "]"}], "\[Rule]", + RowBox[{"-", "9.639787259972194`*^-6"}]}]}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{3.843713711772421*^9, 3.8437160836635036`*^9}, + CellLabel-> + "Out[391]=",ExpressionUUID->"63af0244-4204-4b51-95aa-39d445b52770"] }, Open ]], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"m61", "=", + RowBox[{ + RowBox[{"SN", "[", "8", "]"}], "=", RowBox[{"RemoteEvaluate", "@", RowBox[{"annealFit", "[", RowBox[{ @@ -1766,7 +3067,8 @@ Cell[BoxData[ RowBox[{"h", "[", "6", "]"}], ",", SuperscriptBox["10", RowBox[{"-", "10"}]]}], "]"}], "[", "8", "]"}], ",", - RowBox[{"m6", "[", + RowBox[{ + RowBox[{"SN", "[", "8", "]"}], "[", RowBox[{"[", "2", "]"}], "]"}]}], "]"}]}]}]], "Input", CellChangeTimes->{{3.841826409040468*^9, 3.841826597778789*^9}, { 3.841826667389724*^9, 3.841826667595948*^9}, {3.8418267356853933`*^9, @@ -1777,55 +3079,57 @@ Cell[BoxData[ 3.841828101110017*^9}, {3.841828420412331*^9, 3.8418284236037703`*^9}, { 3.8418295127754307`*^9, 3.8418295264076853`*^9}, {3.842337631182955*^9, 3.8423376428942547`*^9}, {3.842689848971694*^9, 3.842689861239624*^9}, { - 3.842936328099833*^9, 3.842936330571859*^9}}, - CellLabel->"In[21]:=",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], + 3.842936328099833*^9, 3.842936330571859*^9}, {3.843713428202511*^9, + 3.843713463955022*^9}}, + CellLabel-> + "In[216]:=",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], Cell[BoxData[ RowBox[{"{", - RowBox[{"0.000029345590802540856`", ",", + RowBox[{"5.013393180553336`*^-6", ",", RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.4523249567315764`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19773211466509105`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.6336622744929286`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.20659105841225178`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.474179448818734`"}]}], ",", + RowBox[{"-", "1.1645044432474647`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.638356246881029`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", + RowBox[{"-", "0.8706715079315551`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.31489233655152005`"}], ",", - + RowBox[{"A", "[", "2", "]"}], "\[Rule]", + RowBox[{"-", "0.06569045251778349`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.23932154326616337`"}]}], ",", + RowBox[{"gC", "[", "1", "]"}], "\[Rule]", "0.44665051626790214`"}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.2851507538027944`"}], ",", + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.08147030668594896`"}], ",", + RowBox[{ + RowBox[{"A", "[", "3", "]"}], "\[Rule]", "0.22906712491992604`"}], ",", RowBox[{ - RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.35609439949817756`"}]}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", + RowBox[{"-", "0.02856604607330985`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0032907286753125343`"}], + RowBox[{"gC", "[", "4", "]"}], "\[Rule]", "0.001738523072725005`"}], ",", RowBox[{ - RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.022359055088766185`"}]}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", + RowBox[{"-", "0.0061775137874550065`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.23041063813548635`"}], ",", - + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", + RowBox[{"-", "0.00020143993517174644`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.002714393546515741`"}], + RowBox[{"gC", "[", "6", "]"}], "\[Rule]", "5.223170809365255`*^-6"}], ",", - RowBox[{ - RowBox[{"gC", "[", "6", "]"}], "\[Rule]", - RowBox[{"-", "0.0002479115688626553`"}]}], ",", RowBox[{ RowBox[{"A", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.03575026991711773`"}]}]}], "}"}]}], "}"}]], "Output", + RowBox[{"-", "0.002847077663736732`"}]}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{3.8424241569967003`*^9, 3.8425230885506783`*^9, 3.8425265804928293`*^9, 3.842689928496808*^9, 3.842936326163786*^9, 3.842936411779951*^9, 3.842938841600656*^9, 3.842939287572624*^9, - 3.8429400042764673`*^9}, - CellLabel->"Out[21]=",ExpressionUUID->"caa1284c-d3de-42bf-9f1c-5c6b4244304f"] + 3.8429400042764673`*^9, 3.843713452929576*^9, 3.843713544961042*^9}, + CellLabel-> + "Out[216]=",ExpressionUUID->"1bc01698-52fd-4bce-98c8-84ff43606c38"] }, Open ]], Cell[CellGroupData[{ @@ -2835,7 +4139,168 @@ In[28]:=",ExpressionUUID->"19f98077-4ff8-4918-9a55-7133637e973a"] Cell[BoxData[ RowBox[{ - RowBox[{"test", "=", + RowBox[{"test", "=", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"(", + RowBox[{"(", + RowBox[{ + RowBox[{"\[CapitalPhi]s", "[", + RowBox[{"[", + RowBox[{";;", + RowBox[{"3", "+", "1"}]}], "]"}], "]"}], "-", "#"}], ")"}], + RowBox[{"(*", + RowBox[{"/", + RowBox[{"\[CapitalPhi]s", "[", + RowBox[{"[", + RowBox[{";;", + RowBox[{"ntest", "+", "1"}]}], "]"}], "]"}]}], "*)"}], ")"}], + "&"}], "@", + RowBox[{ + RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", + RowBox[{ + RowBox[{"NF", "[", "#", "]"}], ",", + RowBox[{"H", "[", "#", "]"}]}], "]"}], "[", + RowBox[{"3", ",", "1"}], "]"}]}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"2", ",", "9"}], "]"}]}]}], ";"}]], "Input", + CellChangeTimes->{{3.8322388835042*^9, 3.832238885982521*^9}, { + 3.832238918751101*^9, 3.832238980544174*^9}, {3.832239034801425*^9, + 3.8322390392969837`*^9}, {3.832239749854486*^9, 3.832239844064394*^9}, { + 3.832240962876375*^9, 3.832240972475827*^9}, {3.8395784873824053`*^9, + 3.83957849607055*^9}, {3.83957950080114*^9, 3.839579518425556*^9}, { + 3.83958804978193*^9, 3.839588073901841*^9}, {3.8396605353589077`*^9, + 3.839660538358272*^9}, {3.83966057553535*^9, 3.839660617032419*^9}, { + 3.839660754707074*^9, 3.8396607547789183`*^9}, {3.8396621782291803`*^9, + 3.8396621845492477`*^9}, {3.839663529342072*^9, 3.839663542742002*^9}, { + 3.840873373815803*^9, 3.840873445078918*^9}, {3.840874252726038*^9, + 3.840874278606474*^9}, {3.840876682418293*^9, 3.84087670662568*^9}, + 3.840877995706422*^9, 3.8408793931665916`*^9, 3.8408795281927958`*^9, + 3.84087980844783*^9, 3.840880690661016*^9, {3.840883794798806*^9, + 3.840883797038789*^9}, {3.840884545541564*^9, 3.8408845538856707`*^9}, { + 3.8408846573436317`*^9, 3.840884666823792*^9}, {3.8408848015624523`*^9, + 3.840884804922502*^9}, 3.840885718323984*^9, {3.840885790541791*^9, + 3.840885802684683*^9}, {3.8411119812699337`*^9, 3.8411119909977083`*^9}, + 3.841112515316641*^9, 3.8411154290306177`*^9, 3.841115669962463*^9, + 3.841115828005046*^9, 3.8411175933298197`*^9, {3.841117940111762*^9, + 3.841118038801834*^9}, {3.8411181421001263`*^9, 3.841118156508168*^9}, { + 3.841119106341078*^9, 3.84111910763673*^9}, {3.84111914217358*^9, + 3.841119149725647*^9}, {3.841119955795576*^9, 3.841119961259308*^9}, { + 3.8411218811098623`*^9, 3.84112188750177*^9}, {3.841133333672965*^9, + 3.8411333646003513`*^9}, 3.841133421761998*^9, {3.841134255360888*^9, + 3.8411342638889303`*^9}, {3.841135064199835*^9, 3.841135064583659*^9}, { + 3.8411351234249287`*^9, 3.841135124680657*^9}, {3.841135211194282*^9, + 3.841135211274117*^9}, {3.841135406390181*^9, 3.841135406461857*^9}, { + 3.84113552787227*^9, 3.8411355279520473`*^9}, {3.841136166748022*^9, + 3.841136166811797*^9}, {3.841136398016295*^9, 3.84113639810402*^9}, { + 3.841136489617982*^9, 3.8411364896975927`*^9}, {3.84113669342939*^9, + 3.84113672040588*^9}, {3.841137494860138*^9, 3.84113752066864*^9}, { + 3.841196950341856*^9, 3.8411969777902308`*^9}, {3.841198298254539*^9, + 3.841198298326271*^9}, {3.841198447129076*^9, 3.8411984482090397`*^9}, { + 3.841199114917082*^9, 3.841199119884962*^9}, {3.841199466549716*^9, + 3.841199466619011*^9}, {3.841201347909103*^9, 3.8412013530528297`*^9}, { + 3.841202928897876*^9, 3.8412029425058126`*^9}, {3.8412040252936583`*^9, + 3.8412040628862677`*^9}, {3.841204228641543*^9, 3.841204233873122*^9}, { + 3.841204573927539*^9, 3.841204581199667*^9}, {3.841205324805374*^9, + 3.841205342061594*^9}, {3.841205972657172*^9, 3.841205979025239*^9}, { + 3.8412065774120607`*^9, 3.841206586731984*^9}, {3.841206662325692*^9, + 3.8412066624054823`*^9}, 3.841207051644765*^9, {3.841207138974884*^9, + 3.8412071390623493`*^9}, {3.841207422075746*^9, 3.841207422203761*^9}, { + 3.841213509820256*^9, 3.841213509932082*^9}, {3.841228425671258*^9, + 3.8412284729036703`*^9}, {3.841228675963469*^9, 3.841228686787562*^9}, { + 3.841229112443954*^9, 3.841229121571589*^9}, 3.841299158149366*^9, + 3.841299566996804*^9, 3.841301356709453*^9, {3.841301625730665*^9, + 3.841301648672155*^9}, {3.841301731820641*^9, 3.841301732324624*^9}, { + 3.841302358296423*^9, 3.841302358792099*^9}, {3.841312529523774*^9, + 3.841312533419903*^9}, 3.841315636429351*^9, {3.841393121651956*^9, + 3.841393121755248*^9}, {3.841393249153199*^9, 3.841393273790092*^9}, + 3.841393700421857*^9, 3.841398192512566*^9, {3.841398248217105*^9, + 3.841398248368924*^9}, {3.841399566779069*^9, 3.8413995828254623`*^9}, + 3.841399972537139*^9, {3.8414001770689287`*^9, 3.841400177212687*^9}, { + 3.841464749238928*^9, 3.841464785841058*^9}, 3.841464824834222*^9, + 3.841464891539579*^9, 3.841465158808465*^9, 3.841465331579411*^9, { + 3.8414658328897333`*^9, 3.841465852857485*^9}, {3.841466561097473*^9, + 3.8414665630973454`*^9}, {3.841466932485777*^9, 3.8414669341900043`*^9}, { + 3.841467101315713*^9, 3.841467104995029*^9}, {3.841472547040936*^9, + 3.8414725544327183`*^9}, {3.8414733744967413`*^9, 3.841473388856886*^9}, { + 3.841473450698228*^9, 3.8414734807303057`*^9}, {3.841485108824091*^9, + 3.841485111280056*^9}, {3.841485185017519*^9, 3.841485187193397*^9}, { + 3.841485484679089*^9, 3.841485487671123*^9}, {3.841485702195181*^9, + 3.841485704778964*^9}, {3.841730916100902*^9, 3.841730925141005*^9}, { + 3.841731203522408*^9, 3.8417312109703093`*^9}, {3.841734018910133*^9, + 3.84173402362984*^9}, {3.841734714315658*^9, 3.8417347201469107`*^9}, { + 3.841734973441475*^9, 3.841734989319797*^9}, {3.841735266172834*^9, + 3.8417352681888866`*^9}, {3.841735347206047*^9, 3.841735349750581*^9}, { + 3.8417355136010637`*^9, 3.841735515537148*^9}, {3.841736151366416*^9, + 3.841736154252789*^9}, {3.8418267002293262`*^9, 3.841826700908839*^9}, { + 3.841827022229425*^9, 3.841827025210784*^9}, {3.841827069605363*^9, + 3.841827070995617*^9}, {3.841827192310936*^9, 3.841827202653894*^9}, { + 3.841827316777439*^9, 3.8418273216080513`*^9}, {3.841827837874792*^9, + 3.841827850121397*^9}, {3.841827897203548*^9, 3.841827898866517*^9}, { + 3.841828245746533*^9, 3.841828250201119*^9}, {3.841828576575222*^9, + 3.8418285794468193`*^9}, {3.8418289627268457`*^9, 3.841828965421782*^9}, { + 3.841829039167369*^9, 3.841829042007312*^9}, {3.84182947981529*^9, + 3.841829483295195*^9}, {3.8418302597577257`*^9, 3.841830259925316*^9}, { + 3.84199538756015*^9, 3.8419953900461187`*^9}, {3.841996274663054*^9, + 3.841996278166213*^9}, {3.841996521579771*^9, 3.841996522978904*^9}, { + 3.8419970052840242`*^9, 3.8419970193718567`*^9}, {3.8419973435864277`*^9, + 3.8419973472739477`*^9}, {3.8419975177893867`*^9, + 3.8419975239652863`*^9}, {3.841997884748868*^9, 3.841997890763851*^9}, { + 3.8420041447174597`*^9, 3.8420041781101303`*^9}, {3.842004239479266*^9, + 3.842004242071266*^9}, {3.84206898552192*^9, 3.842069078843721*^9}, { + 3.8420691999100437`*^9, 3.842069241622735*^9}, {3.8420697158872147`*^9, + 3.842069746655909*^9}, {3.842069888210289*^9, 3.8420699077465277`*^9}, { + 3.842070541727347*^9, 3.84207055363832*^9}, {3.842079184212873*^9, + 3.842079187155411*^9}, {3.842079219019874*^9, 3.8420792397080917`*^9}, { + 3.842079325046709*^9, 3.842079329926035*^9}, {3.842079628604021*^9, + 3.842079629563249*^9}, {3.8420796856853647`*^9, 3.842079687236236*^9}, { + 3.8420797866794786`*^9, 3.8420797904062223`*^9}, {3.842079866592558*^9, + 3.842079867279723*^9}, {3.8420801727363577`*^9, 3.842080175165329*^9}, { + 3.842080262984415*^9, 3.8420802646710453`*^9}, {3.842080344026059*^9, + 3.842080348104516*^9}, {3.8420805266687517`*^9, 3.842080528363811*^9}, { + 3.842080794658084*^9, 3.842080831081118*^9}, {3.842080983844451*^9, + 3.842080984604033*^9}, {3.842081114415646*^9, 3.842081115430518*^9}, { + 3.842081160808421*^9, 3.842081161903408*^9}, {3.842081231705904*^9, + 3.842081234512889*^9}, {3.842081279546413*^9, 3.842081284690896*^9}, { + 3.84208131509134*^9, 3.842081316090143*^9}, {3.8420814411176863`*^9, + 3.842081443660351*^9}, {3.84208157732831*^9, 3.842081579991308*^9}, { + 3.842081729995171*^9, 3.8420817311380463`*^9}, {3.8420819686554947`*^9, + 3.842081979774498*^9}, {3.842083163678668*^9, 3.8420831900607443`*^9}, { + 3.84208328073446*^9, 3.8420832814942417`*^9}, {3.842338085574991*^9, + 3.842338122695022*^9}, {3.8423419036628428`*^9, 3.8423419057897663`*^9}, { + 3.842411926198134*^9, 3.8424119445903883`*^9}, {3.84242112346338*^9, + 3.842421124350946*^9}, {3.8425246463904753`*^9, 3.842524647582129*^9}, { + 3.8425261808183413`*^9, 3.84252618263431*^9}, {3.842526599403637*^9, + 3.842526600402379*^9}, {3.842584762496993*^9, 3.842584763605804*^9}, { + 3.842586556447665*^9, 3.8425865573026247`*^9}, {3.842588774167568*^9, + 3.842588775015543*^9}, {3.8425898936602573`*^9, 3.842589894419978*^9}, { + 3.842591690750532*^9, 3.842591694796809*^9}, {3.842591763999857*^9, + 3.8425917648060007`*^9}, {3.842595028980213*^9, 3.8425950297146683`*^9}, { + 3.8426006595073023`*^9, 3.842600660337736*^9}, {3.8426012597092857`*^9, + 3.8426012598444643`*^9}, {3.842671531683838*^9, 3.8426715648646193`*^9}, { + 3.842681238154293*^9, 3.8426812428420362`*^9}, 3.842689972295826*^9, + 3.842693186141412*^9, 3.842936082549595*^9, 3.84293875338476*^9, + 3.842938857602396*^9, 3.842940621121595*^9, 3.842945739862487*^9, + 3.8430171395294952`*^9, 3.843036809620142*^9, 3.8430368501491833`*^9, { + 3.8430378234400663`*^9, 3.843037824206767*^9}, 3.8430383694571238`*^9, + 3.843106605962316*^9, {3.8431066621712503`*^9, 3.843106662882271*^9}, { + 3.843107502514744*^9, 3.843107516057703*^9}, {3.843107662253826*^9, + 3.843107664900504*^9}, {3.843117576267062*^9, 3.843117577898965*^9}, { + 3.8431965775334883`*^9, 3.843196577687647*^9}, {3.8431966249287167`*^9, + 3.843196626848268*^9}, {3.8432906922751417`*^9, 3.843290744856604*^9}, { + 3.843544475418182*^9, 3.843544475561912*^9}, 3.843728579496278*^9}, + CellLabel->"In[62]:=",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], + +Cell[BoxData[ + RowBox[{ + RowBox[{"testN", "=", RowBox[{ RowBox[{ RowBox[{ @@ -2859,14 +4324,16 @@ Cell[BoxData[ RowBox[{ RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", RowBox[{ - RowBox[{"NF", "[", "#", "]"}], ",", - RowBox[{"H", "[", "#", "]"}]}], "]"}], "[", + RowBox[{"NFN", "[", "#", "]"}], ",", + RowBox[{"HN", "[", "#", "]"}]}], "]"}], "[", RowBox[{"3", ",", "1"}], "]"}]}], "//.", RowBox[{"rules", "[", - RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", - RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", + RowBox[{"HN", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{ + RowBox[{"SN", "[", "#", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}]}], "&"}], "/@", RowBox[{"Range", "[", - RowBox[{"2", ",", "11"}], "]"}]}]}], ";"}]], "Input", + RowBox[{"2", ",", "7"}], "]"}]}]}], ";"}]], "Input", CellChangeTimes->{{3.8322388835042*^9, 3.832238885982521*^9}, { 3.832238918751101*^9, 3.832238980544174*^9}, {3.832239034801425*^9, 3.8322390392969837`*^9}, {3.832239749854486*^9, 3.832239844064394*^9}, { @@ -2991,26 +4458,300 @@ Cell[BoxData[ 3.843107664900504*^9}, {3.843117576267062*^9, 3.843117577898965*^9}, { 3.8431965775334883`*^9, 3.843196577687647*^9}, {3.8431966249287167`*^9, 3.843196626848268*^9}, {3.8432906922751417`*^9, 3.843290744856604*^9}, { - 3.843544475418182*^9, 3.843544475561912*^9}}, - CellLabel->"In[76]:=",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], + 3.843544475418182*^9, 3.843544475561912*^9}, {3.843711493350559*^9, + 3.843711511166733*^9}, {3.843711780315908*^9, 3.843711780531684*^9}, { + 3.8437132382950573`*^9, 3.843713238486903*^9}, {3.843714705098333*^9, + 3.843714714850075*^9}, 3.843714760674944*^9, {3.843714821732319*^9, + 3.8437148226360064`*^9}, {3.843715025408133*^9, 3.8437150256479177`*^9}, { + 3.84371565316367*^9, 3.843715658219496*^9}, {3.843720925237215*^9, + 3.843720926332776*^9}, {3.843721352548551*^9, 3.843721353244376*^9}}, + CellLabel-> + "In[447]:=",ExpressionUUID->"e351157f-b944-4cbd-bedd-89b185c451f7"], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"ListLogPlot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{"Abs", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"test", "[", + RowBox[{"[", + RowBox[{"All", ",", "1"}], "]"}], "]"}], ",", + RowBox[{"testN", "[", + RowBox[{"[", + RowBox[{"All", ",", "1"}], "]"}], "]"}]}], "}"}], "]"}], "]"}], ",", + + RowBox[{"Joined", "->", "True"}]}], "]"}]], "Input", + CellChangeTimes->{{3.843711517183962*^9, 3.8437115486234922`*^9}, { + 3.8437120377524652`*^9, 3.843712041968378*^9}}, + CellLabel-> + "In[448]:=",ExpressionUUID->"9437364d-2d5a-4493-b405-40564b9ff897"], + +Cell[BoxData[ + GraphicsBox[{{}, {{}, {}, + {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{1., -5.856599682468509}, {2., -7.466296480483548}, { + 3., -10.136275338080454`}, {4., -9.68356928742401}, { + 5., -9.90021444246551}, {6., -11.061638913188895`}, { + 7., -11.714565536474149`}, {8., -12.90430640260919}, { + 9., -12.501821175077207`}, {10., -12.454323964080883`}}]}, + {RGBColor[0.880722, 0.611041, 0.142051], PointSize[0.012833333333333334`], + AbsoluteThickness[1.6], + LineBox[{{1., -5.889483104795928}, {2., -7.21004959549613}, { + 3., -10.447639851985066`}, {4., -9.604873018380678}, { + 5., -9.965684093091653}, {6., -10.09045681925718}}]}}, {{}, {}}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0., -13.455829449406343`}, + DisplayFunction->Identity, + Frame->{{False, False}, {False, False}}, + FrameLabel->{{None, None}, {None, None}}, + FrameTicks->{{ + Charting`ScaledTicks[{Log, Exp}], + Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic, Automatic}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + Method->{ + "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& )}}, + PlotRange->{{0., 10.}, {-13.455829449406343`, -5.856599682468509}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.02], + Scaled[0.05]}}, + Ticks->FrontEndValueCache[{Automatic, + Charting`ScaledTicks[{Log, Exp}]}, {Automatic, {{-12.206072645530174`, + FormBox[ + TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`", + TemplateBox[{"10", + RowBox[{"-", "6"}]}, "Superscript", SyntaxForm -> + SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01, + 0.}}, {-11.512925464970229`, + FormBox[ + TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`", + TemplateBox[{"10", + RowBox[{"-", "5"}]}, "Superscript", SyntaxForm -> + SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01, + 0.}}, {-9.903487552536127, + FormBox[ + TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`", + TemplateBox[{"10", + RowBox[{"-", "5"}]}, "Superscript", SyntaxForm -> + SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01, + 0.}}, {-9.210340371976182, + FormBox[ + TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`", + TemplateBox[{"10", + RowBox[{"-", "4"}]}, "Superscript", SyntaxForm -> + SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01, + 0.}}, {-7.600902459542082, + FormBox[ + TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`", + TemplateBox[{"10", + RowBox[{"-", "4"}]}, "Superscript", SyntaxForm -> + SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01, + 0.}}, {-6.907755278982137, + FormBox["0.001`", TraditionalForm], {0.01, 0.}}, {-14.508657738524219`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-14.326336181730264`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-14.172185501903007`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-14.038654109278484`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-13.9208710736221, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-13.815510557964274`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-13.122363377404328`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-12.716898269296165`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-12.429216196844383`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-12.02375108873622, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-11.86960040890896, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-11.736069016284437`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-11.618285980628055`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-10.819778284410283`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-10.41431317630212, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-10.126631103850338`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-9.721165995742174, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-9.567015315914915, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-9.433483923290392, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-9.315700887634009, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-8.517193191416238, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-8.111728083308073, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-7.824046010856292, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-7.418580902748128, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-7.264430222920869, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-7.1308988302963465`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-7.013115794639964, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-6.214608098422191, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-5.809142990314028, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-5.521460917862246, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-5.298317366548036, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-5.115995809754082, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.961845129926823, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.8283137373023015`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.710530701645918, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.605170185988091, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-3.912023005428146, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-3.506557897319982, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-3.2188758248682006`, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.995732273553991, + FormBox[ + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}}}]]], "Output", + CellChangeTimes->{{3.843711543560974*^9, 3.843711548810625*^9}, + 3.843711654155059*^9, 3.843711783197234*^9, 3.843711833733796*^9, + 3.843711923708846*^9, {3.8437120304228783`*^9, 3.843712042165395*^9}, + 3.843712207322343*^9, 3.843712264273999*^9, 3.843712364157179*^9, + 3.8437124080986977`*^9, 3.843712471840489*^9, 3.8437128760342817`*^9, + 3.843713031422683*^9, 3.8437132395918837`*^9, 3.843713420681241*^9, + 3.843713580121306*^9, 3.843714022236478*^9, 3.843714763161779*^9, + 3.843714823739139*^9, 3.843715027057715*^9, 3.8437151517439823`*^9, + 3.8437152488294373`*^9, 3.843715316199486*^9, 3.843715361104596*^9, { + 3.8437154045002117`*^9, 3.843715407952524*^9}, 3.843715440413783*^9, + 3.843715496479456*^9, 3.84371565940444*^9, 3.843715915273138*^9, + 3.843720927473126*^9, 3.843721354641965*^9, 3.843721386549151*^9, + 3.843721438510696*^9}, + CellLabel-> + "Out[448]=",ExpressionUUID->"82afe91a-043e-4f5a-9cc6-8913efd80837"] +}, Open ]], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"\[CapitalPhi]comp", "=", - RowBox[{ - RowBox[{ - RowBox[{"Flatten", "/@", - RowBox[{"Thread", "[", + RowBox[{"Flatten", "/@", + RowBox[{"(", + RowBox[{ + RowBox[{ RowBox[{"{", - RowBox[{ - RowBox[{"Range", "[", - RowBox[{"2", ",", "11"}], "]"}], ",", "#"}], "}"}], "]"}]}], "&"}], - "@", - RowBox[{"Abs", "@", - RowBox[{"Re", "@", "test"}]}]}]}]], "Input", - CellLabel-> - "In[102]:=",ExpressionUUID->"a5165092-eecf-4e85-a3a5-4304bb512853"], + RowBox[{"#", ",", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"Abs", "@", + RowBox[{"(", + RowBox[{"(", + RowBox[{ + RowBox[{"\[CapitalPhi]s", "[", + RowBox[{"[", + RowBox[{";;", + RowBox[{"4", "+", "1"}]}], "]"}], "]"}], "-", "#"}], ")"}], + RowBox[{"(*", + RowBox[{"/", + RowBox[{"\[CapitalPhi]s", "[", + RowBox[{"[", + RowBox[{";;", + RowBox[{"ntest", "+", "1"}]}], "]"}], "]"}]}], "*)"}], + ")"}]}], "&"}], "@", + RowBox[{ + RowBox[{"d\[CapitalPhi]d\[Eta]List", "[", + RowBox[{ + RowBox[{"NF", "[", "#", "]"}], ",", + RowBox[{"H", "[", "#", "]"}]}], "]"}], "[", + RowBox[{"4", ",", "1"}], "]"}]}], "//.", + RowBox[{"rules", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}]}], "}"}], "&"}], "/@", + RowBox[{"Range", "[", + RowBox[{"2", ",", "9"}], "]"}]}], ")"}]}]}]], "Input", + CellChangeTimes->{{3.8436457019100523`*^9, 3.843645745060442*^9}, { + 3.843645785133505*^9, 3.843645794581313*^9}, 3.843645826822052*^9, { + 3.843728289002473*^9, 3.843728315507414*^9}, {3.843728572090158*^9, + 3.8437285728080473`*^9}, {3.843729379624946*^9, 3.8437293826788063`*^9}, { + 3.843729487361848*^9, 3.843729505880847*^9}, {3.843730032211598*^9, + 3.8437300344347143`*^9}}, + CellLabel->"In[81]:=",ExpressionUUID->"cc0d041f-1be1-4721-9bff-7905d15cd7c6"], Cell[BoxData[ RowBox[{"{", @@ -3018,59 +4759,49 @@ Cell[BoxData[ RowBox[{"{", RowBox[{ "2", ",", "0.002860955318525926`", ",", "0.004496459219585747`", ",", - "0.0025781014469987568`", ",", "0.0004361990091461404`"}], "}"}], ",", + "0.0025781014469987568`", ",", "0.0004361990091461404`", ",", + "0.0015990766131468608`"}], "}"}], ",", RowBox[{"{", RowBox[{ "3", ",", "0.0005720429508622171`", ",", "0.0010847239134089692`", ",", - "0.000805365486839224`", ",", "0.00006427186448818359`"}], "}"}], ",", + "0.000805365486839224`", ",", "0.00006427186448818359`", ",", + "0.0003917599113948043`"}], "}"}], ",", RowBox[{"{", RowBox[{ "4", ",", "0.00003961608489011503`", ",", "0.0001278039316774393`", ",", - "0.00018174532718064074`", ",", "0.00013408467605927413`"}], "}"}], ",", - + "0.00018174532718064074`", ",", "0.00013408467605927413`", ",", + "0.00002358497641938859`"}], "}"}], ",", RowBox[{"{", RowBox[{ "5", ",", "0.0000622987443403833`", ",", "0.00016919055775577174`", ",", - "0.0002085264051783775`", ",", "0.0001300161350704411`"}], "}"}], ",", + "0.0002085264051783775`", ",", "0.0001300161350704411`", ",", + "3.732946060521912`*^-6"}], "}"}], ",", RowBox[{"{", RowBox[{ "6", ",", "0.00005016392362722222`", ",", "0.00014150435296594877`", ",", - "0.00016732830408854038`", ",", "0.00007562595035311148`"}], "}"}], ",", - + "0.00016732830408854038`", ",", "0.00007562595035311148`", ",", + "0.00005202003334785774`"}], "}"}], ",", RowBox[{"{", RowBox[{ "7", ",", "0.000015703311988302104`", ",", "0.00005762691693961264`", ",", - "0.00009304388663239349`", ",", "0.00007579125219901034`"}], "}"}], ",", + "0.00009304388663239349`", ",", "0.00007579125219901034`", ",", + "0.000010561158237231718`"}], "}"}], ",", RowBox[{"{", RowBox[{ "8", ",", "8.173890766238756`*^-6", ",", "0.000021607891761421527`", ",", - "0.000022883111337773654`", ",", "6.9772437447900015`*^-6"}], "}"}], ",", + "0.000022883111337773654`", ",", "6.9772437447900015`*^-6", ",", + "0.000010861362342928695`"}], "}"}], ",", RowBox[{"{", RowBox[{ "9", ",", "2.4873158455118727`*^-6", ",", "7.768088409076945`*^-6", ",", - "9.816343265717231`*^-6", ",", "3.88602466879287`*^-6"}], "}"}], ",", - RowBox[{"{", - RowBox[{ - "10", ",", "3.7198724605058686`*^-6", ",", "0.000011866786064407275`", - ",", "0.00001594714070687897`", ",", "8.677026311975505`*^-6"}], "}"}], - ",", - RowBox[{"{", - RowBox[{ - "11", ",", "0.00006257848162638524`", ",", "0.00006629563696586294`", ",", - "0.0000134012475765527`", ",", "0.0000386060655095978`"}], "}"}]}], - "}"}]], "Output", - CellChangeTimes->{3.843645832121037*^9}, - CellLabel-> - "Out[102]=",ExpressionUUID->"60456ed9-98a5-47b9-9e09-acba1c18f115"] + "9.816343265717231`*^-6", ",", "3.88602466879287`*^-6", ",", + "5.648983756909563`*^-6"}], "}"}]}], "}"}]], "Output", + CellChangeTimes->{{3.8437283026165257`*^9, 3.843728317456636*^9}, + 3.843728574441174*^9, 3.8437293837019167`*^9, {3.843729490738748*^9, + 3.8437295067979717`*^9}, 3.8437300369560337`*^9}, + CellLabel->"Out[81]=",ExpressionUUID->"a0e6b052-2a21-4317-a019-77888bf4863b"] }, Open ]], -Cell[BoxData[ - RowBox[{"\[CapitalPhi]comp", "="}]], "Input", - CellChangeTimes->{{3.8436457019100523`*^9, 3.843645745060442*^9}, { - 3.843645785133505*^9, 3.843645794581313*^9}, - 3.843645826822052*^9},ExpressionUUID->"cc0d041f-1be1-4721-9bff-\ -7905d15cd7c6"], - Cell[BoxData[ RowBox[{ RowBox[{"Export", "[", @@ -3079,38 +4810,48 @@ Cell[BoxData[ dat\>\"", ",", "\[CapitalPhi]comp"}], "]"}], ";"}]], "Input", CellChangeTimes->{{3.843645642651417*^9, 3.8436456883153763`*^9}, { 3.8436458349500504`*^9, 3.843645836790111*^9}}, - CellLabel-> - "In[103]:=",ExpressionUUID->"0c393b94-0e36-44bf-b752-a3d4e048e5f7"], + CellLabel->"In[82]:=",ExpressionUUID->"0c393b94-0e36-44bf-b752-a3d4e048e5f7"], Cell[CellGroupData[{ Cell[BoxData[ RowBox[{"pCov", "=", - RowBox[{"ListLogPlot", "[", + RowBox[{"Show", "[", RowBox[{ - RowBox[{ + RowBox[{"ListLogPlot", "[", RowBox[{ - RowBox[{"Thread", "[", + RowBox[{ + RowBox[{ + RowBox[{"Thread", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"Range", "[", + RowBox[{"2", ",", "9"}], "]"}], ",", "#"}], "}"}], "]"}], "&"}], "/@", + RowBox[{"Abs", "@", + RowBox[{"Re", "@", + RowBox[{"Transpose", "[", "test", "]"}]}]}]}], ",", + RowBox[{"Joined", "->", "True"}], ",", "\[IndentingNewLine]", + RowBox[{"AxesLabel", "->", RowBox[{"{", RowBox[{ - RowBox[{"Range", "[", - RowBox[{"2", ",", "11"}], "]"}], ",", "#"}], "}"}], "]"}], "&"}], "/@", - RowBox[{"Abs", "@", - RowBox[{"Re", "@", - RowBox[{"Transpose", "[", "test", "]"}]}]}]}], ",", - RowBox[{"Joined", "->", "True"}], ",", "\[IndentingNewLine]", - RowBox[{"AxesLabel", "->", - RowBox[{"{", - RowBox[{ - "\"\\"", ",", - "\"\<\!\(\*SuperscriptBox[SubscriptBox[\(\[CapitalDelta]\ + "\"\\"", ",", + "\"\<\!\(\*SuperscriptBox[SubscriptBox[\(\[CapitalDelta]\ \[ScriptCapitalF]\), \(0\)], \((n)\)]\)\>\""}], "}"}]}], ",", - RowBox[{"PlotLegends", "->", - RowBox[{"LineLegend", "[", - RowBox[{ - RowBox[{"{", - RowBox[{"0", ",", "1", ",", "2", ",", "3"}], "}"}], ",", - RowBox[{"LegendLabel", "->", "n"}]}], "]"}]}]}], "]"}]}]], "Input", + RowBox[{"PlotLegends", "->", + RowBox[{"LineLegend", "[", + RowBox[{ + RowBox[{"{", + RowBox[{"0", ",", "1", ",", "2", ",", "3"}], "}"}], ",", + RowBox[{"LegendLabel", "->", "n"}]}], "]"}]}]}], "]"}], ",", + "\[IndentingNewLine]", + RowBox[{"LogLogPlot", "[", + RowBox[{ + RowBox[{"0.1", + SuperscriptBox["x", + RowBox[{"-", "4"}]]}], ",", + RowBox[{"{", + RowBox[{"x", ",", "1", ",", "15"}], "}"}], ",", + RowBox[{"PlotStyle", "->", "Black"}]}], "]"}]}], "]"}]}]], "Input", CellChangeTimes->{{3.832239893402195*^9, 3.832239920192848*^9}, { 3.832239998082823*^9, 3.8322399985463333`*^9}, {3.832241054717428*^9, 3.832241055445216*^9}, {3.8395783785246964`*^9, 3.839578379276371*^9}, { @@ -3145,54 +4886,87 @@ Cell[BoxData[ 3.843039529478752*^9, 3.843039564958392*^9}, {3.843196593287835*^9, 3.8431966174240923`*^9}, {3.843197655500215*^9, 3.8431978351024923`*^9}, { 3.843290753554248*^9, 3.84329075362434*^9}, {3.8435444808595543`*^9, - 3.8435444810261106`*^9}, 3.843645699796947*^9, 3.843645829496767*^9}, - CellLabel-> - "In[101]:=",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], + 3.8435444810261106`*^9}, 3.843645699796947*^9, 3.843645829496767*^9, { + 3.8437120486246233`*^9, 3.843712055888475*^9}, {3.8437137199686747`*^9, + 3.8437137683289337`*^9}, {3.843728557513138*^9, 3.843728564873845*^9}}, + CellLabel->"In[63]:=",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], Cell[BoxData[ TemplateBox[{ - GraphicsBox[{{}, {{{}, {}, { - Hue[0.67, 0.6, 0.6], - Directive[ - PointSize[0.012833333333333334`], - RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], - LineBox[{{2., -5.856599682468509}, {3., -7.466296480483548}, { - 4., -10.136275338080454`}, {5., -9.68356928742401}, { - 6., -9.90021444246551}, {7., -11.061638913188895`}, { - 8., -11.714565536474149`}, {9., -12.90430640260919}, { - 10., -12.501821175077207`}, {11., -9.679089082939184}}]}, { - Hue[0.9060679774997897, 0.6, 0.6], - Directive[ - PointSize[0.012833333333333334`], - RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], - LineBox[{{2., -5.404465032019062}, {3., -6.826429782057151}, { - 4., -8.965013252194838}, {5., -8.684484917575256}, { - 6., -8.863180078343914}, {7., -9.761520791437412}, { - 8., -10.74245195067655}, {9., -11.765486445850913`}, { - 10., -11.341767147217661`}, {11., -9.62138647039091}}]}, { - Hue[0.1421359549995791, 0.6, 0.6], - Directive[ - PointSize[0.012833333333333334`], - RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], - LineBox[{{2., -5.960702024192532}, {3., -7.124214362663731}, { - 4., -8.612904152028939}, {5., -8.475444881202655}, { - 6., -8.695552782640089}, {7., -9.282439276847414}, { - 8., -10.68511141565525}, {9., -11.531461881147008`}, { - 10., -11.046231010829892`}, {11., -11.220162752613385`}}]}, { - Hue[0.37820393249936934`, 0.6, 0.6], - Directive[ - PointSize[0.012833333333333334`], - RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], - LineBox[{{2., -7.737411975757921}, {3., -9.652388588760953}, { - 4., -8.917039046694875}, {5., -8.947851999283966}, { - 6., -9.489711075039514}, {7., -9.487527678321284}, { - 8., -11.872856598145137`}, {9., -12.458123858909548`}, { - 10., -11.654831678775878`}, { - 11., -10.162101156283954`}}]}}}, {{}, {}}}, { + GraphicsBox[{{{}, {{{}, {}, { + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[1.6]], + LineBox[{{2., -5.856599682468509}, {3., -7.466296480483548}, { + 4., -10.136275338080454`}, {5., -9.68356928742401}, { + 6., -9.90021444246551}, {7., -11.061638913188895`}, { + 8., -11.714565536474149`}, {9., -12.90430640260919}}]}, { + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[1.6]], + LineBox[{{2., -5.404465032019062}, {3., -6.826429782057151}, { + 4., -8.965013252194838}, {5., -8.684484917575256}, { + 6., -8.863180078343914}, {7., -9.761520791437412}, { + 8., -10.74245195067655}, {9., -11.765486445850913`}}]}, { + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.560181, 0.691569, 0.194885], + AbsoluteThickness[1.6]], + LineBox[{{2., -5.960702024192532}, {3., -7.124214362663731}, { + 4., -8.612904152028939}, {5., -8.475444881202655}, { + 6., -8.695552782640089}, {7., -9.282439276847414}, { + 8., -10.68511141565525}, {9., -11.531461881147008`}}]}, { + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.012833333333333334`], + RGBColor[0.922526, 0.385626, 0.209179], + AbsoluteThickness[1.6]], + LineBox[{{2., -7.737411975757921}, {3., -9.652388588760953}, { + 4., -8.917039046694875}, {5., -8.947851999283966}, { + 6., -9.489711075039514}, {7., -9.487527678321284}, { + 8., -11.872856598145137`}, { + 9., -12.458123858909548`}}]}}}, {{}, {}}}, {{{{}, {}, + TagBox[{ + Directive[ + Opacity[1.], + AbsoluteThickness[1.6], + GrayLevel[0]], + LineBox[CompressedData[" +1:eJwVj3s0lHkcxmfGvBK5TzRN7hMzby5TLq9S8/tZbBtyKirKyaWk06RkagtZ +G51ZMnLYVEhoUbFORWcqlb5FHSLXslLaZgqLXHKLnUY7+8dznvP89fk8VpFH +tkUxaDTaZnX+72g6h/u4Mka4jhXx5raIAXhJLauU8kOXUmtLk+MYoN3g8egA +tRvNTRrHbo5nwHjRKUM/SoRkHc81hyQMkOsWeqynEpEgy97F8ioDer2r2l2o +DMTTnjt3rocBO8NdOaupAsSmZXmLfDRg6eF47ERVotmRhze5Fkz4qS+xwDn0 +Iapr5zq5fyXg5uAufx/DZ4iu36KncWsRGLhpVvV2NKM8xex+Xvhi2PxuIjg+ +pwOtr3c4MOaiA2lb+jjHVK9QiOqPJtHwEuh5Lxfcut2DUrxtX6U36EHXxVG3 +kqB3KNngwiq6nwE0aJxU0e7/jRIr3c3YXEPo99bV2VojRyr/iL2n9Y1gr7zw +a8/Bj2h9wY0QnxEjcDOuDn3A7ke2d5ylsqfGwNrWeVA4OoByu7yMT/7Jgpjh +1W9OlvyDnhSJfXMlS6Ejq3AiMGEYieP43QyxCZx9If013fczate3umK22xTq +9jyI/Vk5ioZD3E3OOy8D1feZxcyOcZRe8Vqxy5wNoaq7p0vrJ9DgtZywpHk2 +COnKbaa3v6DGyteCiYHl0NyWHE7lT6IdCbuEBu0cWOrHNa8RT6HimQVlxo0V +0N8SIEgOm0axJ/h6wblmEKe8NFnuMYNUZeL25KPmUDHN/ZJoPItci763Bm2y +gOhpN+8S1SyKb9MyfMS2BHnxgY3WPV+RrKwkRyVR70DLoqmGOeSWnCTcr7IE +aQfbOa5qHukIE9LKjlrBtHLNCX/Jvwh3SSqM3luB3L5T/8RRJZKJumevBVqD +VDs7WOX/DWX0unJET6xBXp3dJ+CqkMxR1sd0sIGHEcvu3tFdQDaS+BfPS2wg +6FEdPj+4gMZr/LNkelyIsxgxb+j6jhY+Rf7SeoYLdhcLuu2CafjQ5zQf1hQX +hlMVHt4NNCx401YhCFsJE+JDzWlr6di1KWTd8VcrYfs6TWdlOR3nZ02VDHra +wjeNjw25Zgz8Q0i7c8o9W5iv/jCtmcPAQq3WvVP2dhDkPvatbIGB8zLfinLy +7GAShE4xxzQwZWJ/JUSfB+MWRWsjFRrY5bj0ekASDzz3WSocAphYcl936/Ih +HnRXfrpjVc/EHHqnjd4ePrxouhfmJSCwvkV5q1MzH5ix9EpyDYE3PRtjm77k +g9DEd9bQhcCpIipqoZUPNZFvpR8oAs/dbVS2dPLhslJ1PwkRWL5lhHewlw8x +Dl6sewEErk4RpJYO8cEg+2WjfQyBtw/UUmwtEnwpExbrCIGzpBqpNG0SzvTt +CVPGErhpjX/roA4J8/yJmaZjBBYm9+2T6ZOgeGpkE32KwHbLaDmBpiTUTO9M +KslQ8zf5fM60JWEsv7gxLZPAzhOZ1HEeCTzPIePYLALHXOhOCSVJuJyZUCH8 +Xe2niGavclTzbAv/epuv5iWe/bHRlYS6ln7r+ssEZlp3Zd+kSJiLczxccYXA +Gxo5fRfWkhDz+DEz/qr6D6tKHLWBhOtRWlvCSwk8WjtT54/UfjpbCzaWq/0i +hNouniSsqM4bcLxO4IhFv23neJGwI1ix2qSCwAVVbcUMHxL+A9EaQ0Q= + "]]}, + Annotation[#, "Charting`Private`Tag$11248#1"]& ]}}, {}}}, { DisplayFunction -> Identity, GridLines -> {None, None}, DisplayFunction -> Identity, DisplayFunction -> Identity, DisplayFunction -> Identity, DisplayFunction -> Identity, AspectRatio -> @@ -3202,8 +4976,8 @@ Cell[BoxData[ FormBox[ "\"\\!\\(\\*SuperscriptBox[SubscriptBox[\\(\[CapitalDelta]\ \[ScriptCapitalF]\\), \\(0\\)], \\((n)\\)]\\)\"", TraditionalForm]}, - AxesOrigin -> {1.8125000000000004`, -13.491211551681658`}, - DisplayFunction :> Identity, Frame -> {{False, False}, {False, False}}, + AxesOrigin -> {1.854166666666667, -13.491211551681658`}, DisplayFunction :> + Identity, Frame -> {{False, False}, {False, False}}, FrameLabel -> {{None, None}, {None, None}}, FrameTicks -> {{ Charting`ScaledTicks[{Log, Exp}], Charting`ScaledFrameTicks[{Identity, Identity}]}, { @@ -3221,8 +4995,8 @@ Cell[BoxData[ Part[#, 1]], Exp[ Part[#, 2]]}& )}}, - PlotRange -> {{1.8125000000000004`, - 11.}, {-13.491211551681658`, -5.404465032019062}}, PlotRangeClipping -> + PlotRange -> {{1.854166666666667, + 9.}, {-13.491211551681658`, -5.404465032019062}}, PlotRangeClipping -> True, PlotRangePadding -> {{ Scaled[0.02], Scaled[0.02]}, { @@ -3603,9 +5377,12 @@ Cell[BoxData[ 3.843197719884576*^9, {3.843197778203732*^9, 3.843197835574469*^9}, { 3.843290749006317*^9, 3.8432907541455383`*^9}, {3.84354447787111*^9, 3.843544481390995*^9}, 3.843625361400646*^9, {3.843637958058268*^9, - 3.8436379640245533`*^9}, 3.8436380209554358`*^9, 3.843645829803891*^9}, - CellLabel-> - "Out[101]=",ExpressionUUID->"c8ad67f8-1370-46ba-9e04-3184f13e0bb5"] + 3.8436379640245533`*^9}, 3.8436380209554358`*^9, 3.843645829803891*^9, + 3.843710945764225*^9, {3.843712049022056*^9, 3.843712056130436*^9}, { + 3.843713720381803*^9, 3.843713768557667*^9}, 3.843714024529799*^9, + 3.843721523047819*^9, 3.8437285246688232`*^9, {3.843728558125668*^9, + 3.84372858209944*^9}}, + CellLabel->"Out[63]=",ExpressionUUID->"f4dafc1e-2d69-44f1-b6c9-4e92c5596f38"] }, Open ]], Cell[BoxData[ @@ -3619,6 +5396,47 @@ Cell[BoxData[ Cell[CellGroupData[{ +Cell[BoxData[ + RowBox[{"Export", "[", + RowBox[{ + "\"\<~/doc/research/first_order_singularities/paper/data/yl_comparison.dat\>\ +\"", ",", + RowBox[{ + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{"(", + RowBox[{"n", "\[Function]", + RowBox[{"{", + RowBox[{"#", ",", + RowBox[{"n", "[", "\"\\"", "]"}], ",", + RowBox[{"n", "[", "\"\\"", "]"}]}], "}"}]}], ")"}], + "@", + RowBox[{"(", + RowBox[{ + RowBox[{ + RowBox[{"Abs", "@", + RowBox[{"rule\[Theta]0", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "/.", + RowBox[{ + RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], ")"}]}], ")"}], "&"}], + "/@", + RowBox[{"Range", "[", + RowBox[{"2", ",", "9"}], "]"}]}]}], "]"}]], "Input", + CellChangeTimes->{{3.8437303090807257`*^9, 3.8437304670185757`*^9}}, + CellLabel->"In[88]:=",ExpressionUUID->"47e013e3-8ac7-4133-8111-4b3509d6e2bb"], + +Cell[BoxData["\<\"~/doc/research/first_order_singularities/paper/data/yl_\ +comparison.dat\"\>"], "Output", + CellChangeTimes->{ + 3.843730348825367*^9, {3.843730410064704*^9, 3.8437304334066877`*^9}, + 3.843730468565028*^9}, + CellLabel->"Out[88]=",ExpressionUUID->"37bddea5-8edb-4ad5-af54-8c1f0c2eab67"] +}, Open ]], + +Cell[CellGroupData[{ + Cell[BoxData[ RowBox[{"ListLogPlot", "[", RowBox[{ @@ -3643,7 +5461,7 @@ Cell[BoxData[ CellChangeTimes->{{3.843108152525075*^9, 3.843108170815724*^9}, { 3.843117745741742*^9, 3.843117745941431*^9}, {3.843545854483124*^9, 3.843545854627014*^9}}, - CellLabel->"In[75]:=",ExpressionUUID->"01387b01-3294-40e7-8b69-78378d670e52"], + CellLabel->"In[64]:=",ExpressionUUID->"01387b01-3294-40e7-8b69-78378d670e52"], Cell[BoxData[ GraphicsBox[{{{ @@ -3694,8 +5512,8 @@ Cell[BoxData[ Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{10., -10.970965930636607`}, {10., -9.607930658059637}}], - LineBox[{{10., -9.607930658059637}, {10., -9.05168220330819}}]}}, + LineBox[{{10., -36.04365338911715}, {10., -11.164554070053267`}}], + LineBox[{{10., -11.164554070053267`}, {10., -9.654012407985642}}]}}, Antialiasing->False]}}, { {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{ @@ -3826,14 +5644,10 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{10, -9.05168220330819}, - Offset[{3, 0}, {10, -9.05168220330819}]}, {{ - 10, -9.05168220330819}, - Offset[{-3, 0}, {10, -9.05168220330819}]}, {{ - 10, -10.970965930636607`}, - Offset[{3, 0}, {10, -10.970965930636607`}]}, {{ - 10, -10.970965930636607`}, - Offset[{-3, 0}, {10, -10.970965930636607`}]}}], {{{1., 0.}, {0., + LineBox[{{{10, -9.654012407985642}, + Offset[{3, 0}, {10, -9.654012407985642}]}, {{ + 10, -9.654012407985642}, + Offset[{-3, 0}, {10, -9.654012407985642}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}}}, {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], @@ -3842,7 +5656,7 @@ Cell[BoxData[ 3., -5.851168288784784}, {4., -5.800602992083543}, { 5., -7.297895133901858}, {6., -7.546157264081057}, { 7., -9.588223891678313}, {8., -10.257564108125548`}, { - 9., -12.392853344821907`}, {10., -9.607930658059637}}]}, {{}, {}}}, + 9., -12.392853344821907`}, {10., -11.164554070053267`}}]}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -4051,8 +5865,10 @@ Cell[BoxData[ 0.}}}}]]], "Output", CellChangeTimes->{{3.843108155152555*^9, 3.843108171091902*^9}, 3.843117746212892*^9, 3.8432908590333967`*^9, 3.843545855148696*^9, - 3.84362537523025*^9, 3.843637954756461*^9, 3.843638016627933*^9}, - CellLabel->"Out[75]=",ExpressionUUID->"e01e1b9e-f144-4c4f-8c95-ee686082376b"] + 3.84362537523025*^9, 3.843637954756461*^9, 3.843638016627933*^9, + 3.843710953972478*^9, 3.843713800076083*^9, 3.843714029296383*^9, + 3.8437285541831284`*^9, 3.8437285974218073`*^9}, + CellLabel->"Out[64]=",ExpressionUUID->"8cec0562-f526-4026-ab01-0593355140a7"] }, Open ]], Cell[CellGroupData[{ @@ -4061,15 +5877,16 @@ Cell[BoxData[ RowBox[{"ListPlot", "[", RowBox[{ RowBox[{ - RowBox[{"(", - RowBox[{ - RowBox[{ - RowBox[{"Re", "@", - RowBox[{"ruleAH", "[", - RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", - RowBox[{"S", "[", "#", "]"}]}], "/.", + RowBox[{"Abs", "@", + RowBox[{"(", RowBox[{ - RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], ")"}], "&"}], "/@", + RowBox[{ + RowBox[{"Re", "@", + RowBox[{"ruleAH", "[", + RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", + RowBox[{"S", "[", "#", "]"}]}], "/.", + RowBox[{ + RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], ")"}]}], "&"}], "/@", RowBox[{"Range", "[", RowBox[{"2", ",", "11"}], "]"}]}], "]"}]], "Input", CellChangeTimes->{{3.842336862881982*^9, 3.842336866191779*^9}, { @@ -4080,8 +5897,8 @@ Cell[BoxData[ 3.8430387966805*^9, 3.843038812840392*^9}, {3.843038863691227*^9, 3.843038867833747*^9}, {3.843108068941387*^9, 3.843108125684422*^9}, { 3.843117741837873*^9, 3.843117741925305*^9}, {3.84354585876329*^9, - 3.8435458589228354`*^9}}, - CellLabel->"In[74]:=",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], + 3.8435458589228354`*^9}, {3.8437286012484617`*^9, 3.843728611912702*^9}}, + CellLabel->"In[67]:=",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], Cell[BoxData[ GraphicsBox[{{{ @@ -4114,33 +5931,30 @@ Cell[BoxData[ Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{6., -0.023456222957275213`}, { - 6., -0.0034562229572752123`}}], - LineBox[{{6., -0.0034562229572752123`}, {6., - 0.016543777042724788`}}]}}, + LineBox[{{6., -0.016543777042724788`}, {6., + 0.0034562229572752123`}}], + LineBox[{{6., 0.0034562229572752123`}, {6., + 0.023456222957275213`}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{7., -0.06755274506093277}, {7., -0.047552745060932766`}}], - LineBox[{{7., -0.047552745060932766`}, { - 7., -0.027552745060932766`}}]}}, + LineBox[{{7., 0.027552745060932766`}, {7., 0.047552745060932766`}}], + LineBox[{{7., 0.047552745060932766`}, {7., 0.06755274506093277}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{8., -0.0656943559101739}, {8., -0.0456943559101739}}], - LineBox[{{8., -0.0456943559101739}, {8., -0.0256943559101739}}]}}, + LineBox[{{8., 0.0256943559101739}, {8., 0.0456943559101739}}], + LineBox[{{8., 0.0456943559101739}, {8., 0.0656943559101739}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{9., -0.06336481652412472}, {9., -0.043364816524124716`}}], - LineBox[{{9., -0.043364816524124716`}, { - 9., -0.023364816524124716`}}]}}, + LineBox[{{9., 0.023364816524124716`}, {9., 0.043364816524124716`}}], + LineBox[{{9., 0.043364816524124716`}, {9., 0.06336481652412472}}]}}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{{}, { - LineBox[{{10., -0.067200567327645}, {10., -0.04720056732764499}}], - LineBox[{{10., -0.04720056732764499}, { - 10., -0.027200567327644993`}}]}}, + LineBox[{{10., 0.024119660034940277`}, {10., 0.04411966003494028}}], + LineBox[{{10., 0.04411966003494028}, {10., 0.06411966003494028}}]}}, Antialiasing->False]}}, { {RGBColor[0.368417, 0.506779, 0.709798], StyleBox[{ @@ -4221,14 +6035,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{6., 0.016543777042724788`}, - Offset[{3, 0}, {6., 0.016543777042724788`}]}, {{6., - 0.016543777042724788`}, - Offset[{-3, 0}, {6., 0.016543777042724788`}]}, {{ - 6., -0.023456222957275213`}, - Offset[{3, 0}, {6., -0.023456222957275213`}]}, {{ - 6., -0.023456222957275213`}, - Offset[{-3, 0}, {6., -0.023456222957275213`}]}}], {{{1., 0.}, {0., + LineBox[{{{6., 0.023456222957275213`}, + Offset[{3, 0}, {6., 0.023456222957275213`}]}, {{6., + 0.023456222957275213`}, + Offset[{-3, 0}, {6., 0.023456222957275213`}]}, {{ + 6., -0.016543777042724788`}, + Offset[{3, 0}, {6., -0.016543777042724788`}]}, {{ + 6., -0.016543777042724788`}, + Offset[{-3, 0}, {6., -0.016543777042724788`}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -4236,14 +6050,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{7., -0.027552745060932766`}, - Offset[{3, 0}, {7., -0.027552745060932766`}]}, {{ - 7., -0.027552745060932766`}, - Offset[{-3, 0}, {7., -0.027552745060932766`}]}, {{ - 7., -0.06755274506093277}, - Offset[{3, 0}, {7., -0.06755274506093277}]}, {{ - 7., -0.06755274506093277}, - Offset[{-3, 0}, {7., -0.06755274506093277}]}}], {{{1., 0.}, {0., + LineBox[{{{7., 0.06755274506093277}, + Offset[{3, 0}, {7., 0.06755274506093277}]}, {{7., + 0.06755274506093277}, + Offset[{-3, 0}, {7., 0.06755274506093277}]}, {{7., + 0.027552745060932766`}, + Offset[{3, 0}, {7., 0.027552745060932766`}]}, {{7., + 0.027552745060932766`}, + Offset[{-3, 0}, {7., 0.027552745060932766`}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -4251,14 +6065,13 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{8., -0.0256943559101739}, - Offset[{3, 0}, {8., -0.0256943559101739}]}, {{ - 8., -0.0256943559101739}, - Offset[{-3, 0}, {8., -0.0256943559101739}]}, {{ - 8., -0.0656943559101739}, - Offset[{3, 0}, {8., -0.0656943559101739}]}, {{ - 8., -0.0656943559101739}, - Offset[{-3, 0}, {8., -0.0656943559101739}]}}], {{{1., 0.}, {0., + LineBox[{{{8., 0.0656943559101739}, + Offset[{3, 0}, {8., 0.0656943559101739}]}, {{8., + 0.0656943559101739}, + Offset[{-3, 0}, {8., 0.0656943559101739}]}, {{8., + 0.0256943559101739}, Offset[{3, 0}, {8., 0.0256943559101739}]}, {{ + 8., 0.0256943559101739}, + Offset[{-3, 0}, {8., 0.0256943559101739}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -4266,14 +6079,14 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{9., -0.023364816524124716`}, - Offset[{3, 0}, {9., -0.023364816524124716`}]}, {{ - 9., -0.023364816524124716`}, - Offset[{-3, 0}, {9., -0.023364816524124716`}]}, {{ - 9., -0.06336481652412472}, - Offset[{3, 0}, {9., -0.06336481652412472}]}, {{ - 9., -0.06336481652412472}, - Offset[{-3, 0}, {9., -0.06336481652412472}]}}], {{{1., 0.}, {0., + LineBox[{{{9., 0.06336481652412472}, + Offset[{3, 0}, {9., 0.06336481652412472}]}, {{9., + 0.06336481652412472}, + Offset[{-3, 0}, {9., 0.06336481652412472}]}, {{9., + 0.023364816524124716`}, + Offset[{3, 0}, {9., 0.023364816524124716`}]}, {{9., + 0.023364816524124716`}, + Offset[{-3, 0}, {9., 0.023364816524124716`}]}}], {{{1., 0.}, {0., 1.}}, {0., 0.}}]}, Antialiasing->False]}, {RGBColor[0.368417, 0.506779, 0.709798], @@ -4281,23 +6094,23 @@ Cell[BoxData[ GeometricTransformationBox[ LineBox[{}], {{{1., 0.}, {0., 1.}}, {0., 0.}}], GeometricTransformationBox[ - LineBox[{{{10., -0.027200567327644993`}, - Offset[{3, 0}, {10., -0.027200567327644993`}]}, {{ - 10., -0.027200567327644993`}, - Offset[{-3, 0}, {10., -0.027200567327644993`}]}, {{ - 10., -0.067200567327645}, - Offset[{3, 0}, {10., -0.067200567327645}]}, {{ - 10., -0.067200567327645}, - Offset[{-3, 0}, {10., -0.067200567327645}]}}], {{{1., 0.}, {0., - 1.}}, {0., 0.}}]}, + LineBox[{{{10., 0.06411966003494028}, + Offset[{3, 0}, {10., 0.06411966003494028}]}, {{10., + 0.06411966003494028}, + Offset[{-3, 0}, {10., 0.06411966003494028}]}, {{10., + 0.024119660034940277`}, + Offset[{3, 0}, {10., 0.024119660034940277`}]}, {{10., + 0.024119660034940277`}, + Offset[{-3, 0}, {10., 0.024119660034940277`}]}}], {{{1., 0.}, {0., + 1.}}, {0., 0.}}]}, Antialiasing->False]}}}, {RGBColor[0.368417, 0.506779, 0.709798], PointSize[0.012833333333333334`], AbsoluteThickness[1.6], PointBox[{{1., 0.2723396669680713}, {2., 0.11371259766422015`}, {3., 0.09534753314923061}, {4., 0.10137835397397166`}, {5., - 0.0064707125725995684`}, {6., -0.0034562229572752123`}, { - 7., -0.047552745060932766`}, {8., -0.0456943559101739}, { - 9., -0.043364816524124716`}, {10., -0.04720056732764499}}]}, {{}, {}}}, + 0.0064707125725995684`}, {6., 0.0034562229572752123`}, {7., + 0.047552745060932766`}, {8., 0.0456943559101739}, {9., + 0.043364816524124716`}, {10., 0.04411966003494028}}]}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -4320,7 +6133,7 @@ Cell[BoxData[ Part[#, 1]], Identity[ Part[#, 2]]}& )}}, - PlotRange->{{0., 10.}, {-0.06755274506093277, 0.29233966696807134`}}, + PlotRange->{{0., 10.}, {-0.016543777042724788`, 0.29233966696807134`}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], @@ -4334,8 +6147,9 @@ Cell[BoxData[ 3.842411978256198*^9, 3.843038817213481*^9, {3.843038864538209*^9, 3.8430388681449957`*^9}, {3.843108092458481*^9, 3.8431081259843197`*^9}, 3.843117742197577*^9, 3.843290859577046*^9, 3.8435458592274714`*^9, - 3.84362537613055*^9, 3.8436380148242617`*^9}, - CellLabel->"Out[74]=",ExpressionUUID->"6942e1bb-7752-4d37-929f-0523c11fc41b"] + 3.84362537613055*^9, 3.8436380148242617`*^9, 3.8437109563924007`*^9, + 3.843713800990252*^9, {3.84372860170702*^9, 3.8437286121983232`*^9}}, + CellLabel->"Out[67]=",ExpressionUUID->"e1fd13f9-9a47-43d5-ac23-916228e32fef"] }, Open ]], Cell[CellGroupData[{ @@ -4381,7 +6195,7 @@ Cell[BoxData[ RowBox[{ RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}]}], ")"}], "/@", RowBox[{"Range", "[", - RowBox[{"7", ",", "11"}], "]"}]}], ",", + RowBox[{"7", ",", "9"}], "]"}]}], ",", RowBox[{"Joined", "->", "True"}]}], "]"}]], "Input", CellChangeTimes->{{3.843038908915916*^9, 3.843039025372636*^9}, { 3.843039068391004*^9, 3.8430390812137423`*^9}, {3.843039113072671*^9, @@ -4389,133 +6203,142 @@ Cell[BoxData[ 3.8430392946898603`*^9}, {3.843107885522884*^9, 3.843107953865671*^9}, { 3.843108048821316*^9, 3.84310805498759*^9}, {3.843117727838696*^9, 3.843117732197199*^9}, {3.843545863507519*^9, 3.8435458707473803`*^9}, - 3.8436264580770817`*^9, {3.843645375615492*^9, 3.843645394782382*^9}}, - CellLabel->"In[93]:=",ExpressionUUID->"f785d7e5-8e11-45cc-81cd-0e70921fef2b"], + 3.8436264580770817`*^9, {3.843645375615492*^9, 3.843645394782382*^9}, { + 3.8437286714748707`*^9, 3.8437286722181387`*^9}}, + CellLabel->"In[68]:=",ExpressionUUID->"f785d7e5-8e11-45cc-81cd-0e70921fef2b"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 93, 32, 31651941322815817630, "Local"}, +encountered.\"", 2, 68, 9, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.843728672678033*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"2bc80743-0404-4cc7-af83-21063fbdbfb5"], +In[68]:=",ExpressionUUID->"162535cd-d62a-48c2-af96-7140a9f63050"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 93, 33, 31651941322815817630, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 68, 10, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950760736`*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.8437286727619057`*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"4855b4a0-4fb0-4592-b898-ec20cd734add"], +In[68]:=",ExpressionUUID->"56b6fe59-14dc-4bc6-baeb-fe77ce144ee6"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 93, 34, 31651941322815817630, "Local"}, +encountered.\"", 2, 68, 11, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.843645395080946*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.843728672773604*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"2c61342a-37f0-4d6f-ba01-624f751a0541"], +In[68]:=",ExpressionUUID->"9e7384b5-4cf5-4f3e-84e6-a9d047e1959b"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 93, 35, 31651941322815817630, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 68, 12, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.843645395085374*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.843728672783538*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"fceacad2-1e46-4ee5-b2b5-b73d412cd690"], +In[68]:=",ExpressionUUID->"348cb862-eaed-45c1-9750-7eeddb304033"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 93, 36, 31651941322815817630, "Local"}, +encountered.\"", 2, 68, 13, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.843645395089669*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.843728672796933*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"3cec8c59-50d2-4c3d-9272-c0f39e42877f"], +In[68]:=",ExpressionUUID->"ee576e45-e228-483d-890e-f9c379104b1f"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ \\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 93, 37, 31651941322815817630, "Local"}, +calculation.\"", 2, 68, 14, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.843645395094079*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.843728672810272*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"d2836f7d-6064-4810-a42b-1b7eafb8843f"], +In[68]:=",ExpressionUUID->"505478e2-adb1-468b-bd7d-2f1280492c3a"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 93, 38, 31651941322815817630, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 68, 15, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.843645395098516*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.8437286728235893`*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"882061f2-132c-40c6-997a-2098d62955cd"], +In[68]:=",ExpressionUUID->"725753ce-0c13-4467-8895-0d985413a7b7"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ \\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 93, 39, 31651941322815817630, "Local"}, +during this calculation.\"", 2, 68, 16, 31652614240606783354, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.843039123814147*^9, 3.843039136710627*^9}, { 3.8430392583180647`*^9, 3.843039299792432*^9}, 3.843107954152071*^9, 3.843108055364347*^9, {3.843117728353074*^9, 3.843117732580961*^9}, { 3.843545864042536*^9, 3.8435458711323977`*^9}, 3.843625379368246*^9, - 3.843626459335294*^9, {3.84364537686788*^9, 3.843645395102768*^9}}, + 3.843626459335294*^9, {3.84364537686788*^9, 3.8436453950715837`*^9}, + 3.84371380369567*^9, 3.843728672836954*^9}, CellLabel-> "During evaluation of \ -In[93]:=",ExpressionUUID->"369ecb9a-e2a8-4adc-8faf-fbc4029c5235"], +In[68]:=",ExpressionUUID->"5eb9561f-5e5e-4b1d-b5bb-f3122d6b98f2"], Cell[BoxData[ GraphicsBox[{{}, {{}, {}, @@ -4540,29 +6363,11 @@ Cell[BoxData[ -1.1645195437127034`}, {-2.1972245773362196`, -0.16668462170807238`}, \ {-2.3978952727983707`, -1.221053737981528}, {-2.5649493574615367`, \ -1.8512494269356834`}, {-2.70805020110221, -2.455394833056804}, \ -{-2.833213344056216, -3.518642781414847}}]}, - {RGBColor[0.922526, 0.385626, 0.209179], PointSize[0.012833333333333334`], - AbsoluteThickness[1.6], - LineBox[{{-1.0986122886681098`, -0.13682497604637694`}, \ -{-1.6094379124341003`, -1.5571246005962862`}, {-1.9459101490553135`, \ --1.2430287194497254`}, {-2.1972245773362196`, -0.11403261828290505`}, \ -{-2.3978952727983707`, -1.2328536922821567`}, {-2.5649493574615367`, \ --1.9348777097548686`}, {-2.70805020110221, -3.1364963477033556`}, \ -{-2.833213344056216, -1.62733778422123}, {-2.9444389791664407`, \ --2.1796219761348974`}}]}, - {RGBColor[0.528488, 0.470624, 0.701351], PointSize[0.012833333333333334`], - AbsoluteThickness[1.6], - LineBox[{{-1.0986122886681098`, -0.12756220046031042`}, \ -{-1.6094379124341003`, -1.524119416676842}, {-1.9459101490553135`, \ --1.3187576227487565`}, {-2.1972245773362196`, -0.08605580798564527}, \ -{-2.3978952727983707`, -1.236020509666699}, {-2.5649493574615367`, \ --1.9348855298493535`}, {-2.70805020110221, -2.969856903613325}, \ -{-2.833213344056216, -2.1133384843120986`}, {-2.9444389791664407`, \ --2.121552165566367}}]}}, {{}, {}}}, +{-2.833213344056216, -3.518642781414847}}]}}, {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{-3.022149564660512, -3.7898461689736145`}, + AxesOrigin->{-2.9062412530721224`, -3.7898461689736145`}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -4585,7 +6390,7 @@ Cell[BoxData[ Part[#, 1]], Exp[ Part[#, 2]]}& )}}, - PlotRange->{{-3.022149564660512, -1.0986122886681098`}, \ + PlotRange->{{-2.9062412530721224`, -1.0986122886681098`}, \ {-3.7898461689736145`, -0.05303613428984394}}, PlotRangeClipping->True, PlotRangePadding->{{ @@ -4595,14 +6400,7 @@ Cell[BoxData[ Scaled[0.05]}}, Ticks->FrontEndValueCache[{ Charting`ScaledTicks[{Log, Exp}], - Charting`ScaledTicks[{Log, Exp}]}, {{{-2.995732273553991, - FormBox[ - TagBox[ - InterpretationBox[ - StyleBox["\"0.05\"", ShowStringCharacters -> False], 0.05, - AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, - 0.}}, {-2.3025850929940455`, + Charting`ScaledTicks[{Log, Exp}]}, {{{-2.3025850929940455`, FormBox[ TagBox[ InterpretationBox[ @@ -4637,16 +6435,7 @@ Cell[BoxData[ StyleBox["\"0.30\"", ShowStringCharacters -> False], 0.3, AutoDelete -> True], NumberForm[#, { DirectedInfinity[1], 2}]& ], TraditionalForm], {0.01, - 0.}}, {-4.605170185988091, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.912023005428146, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.506557897319982, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.2188758248682006`, + 0.}}, {-2.995732273553991, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {-2.8134107167600364`, @@ -4824,8 +6613,9 @@ Cell[BoxData[ 3.8430393001732893`*^9}, 3.843107954234598*^9, 3.843108055756125*^9, { 3.8431177285019007`*^9, 3.843117732948329*^9}, {3.843545864185811*^9, 3.843545871490288*^9}, 3.843625379551635*^9, 3.843626459412537*^9, { - 3.843645376970646*^9, 3.8436453951525993`*^9}}, - CellLabel->"Out[93]=",ExpressionUUID->"c8d5a504-2b54-40a6-a548-fdc00956b481"] + 3.843645376970646*^9, 3.8436453951525993`*^9}, 3.843713803823037*^9, + 3.843728672940864*^9}, + CellLabel->"Out[68]=",ExpressionUUID->"be597aa8-7ecf-4557-9551-74d39eb14ee7"] }, Open ]], Cell[CellGroupData[{ @@ -4847,11 +6637,11 @@ Cell[BoxData[ RowBox[{"A", "[", RowBox[{"i", "-", "1"}], "]"}]]}]}], "}"}], ",", RowBox[{"{", - RowBox[{"i", ",", "1", ",", "10"}], "}"}]}], "]"}], "/.", + RowBox[{"i", ",", "1", ",", "11"}], "}"}]}], "]"}], "/.", RowBox[{"(", RowBox[{"S", "/@", RowBox[{"Range", "[", - RowBox[{"8", ",", "11"}], "]"}]}], ")"}]}], ",", + RowBox[{"8", ",", "9"}], "]"}]}], ")"}]}], ",", RowBox[{"Joined", "->", "True"}]}], "]"}]], "Input", CellChangeTimes->{{3.843038908915916*^9, 3.843039025372636*^9}, { 3.843039068391004*^9, 3.8430390812137423`*^9}, {3.843039113072671*^9, @@ -4859,8 +6649,9 @@ Cell[BoxData[ 3.8430392946898603`*^9}, {3.843039385411243*^9, 3.8430394335640697`*^9}, { 3.843039467206892*^9, 3.843039493341281*^9}, {3.843107802839673*^9, 3.8431078135107822`*^9}, {3.843117687924999*^9, 3.843117711412985*^9}, { - 3.843626992668977*^9, 3.843626992756966*^9}}, - CellLabel->"In[58]:=",ExpressionUUID->"be68f105-e7f1-4186-b7b1-a6f02a4bd594"], + 3.843626992668977*^9, 3.843626992756966*^9}, {3.843713812217536*^9, + 3.843713812385523*^9}, 3.843728679770965*^9}, + CellLabel->"In[69]:=",ExpressionUUID->"be68f105-e7f1-4186-b7b1-a6f02a4bd594"], Cell[BoxData[ GraphicsBox[{{}, {{}, {}, @@ -4873,23 +6664,12 @@ Cell[BoxData[ AbsoluteThickness[1.6], LineBox[{{-1.3862943611198906`, -1.0530284925188969`}, \ {-1.791759469228055, -0.7407613051154354}, {-2.0794415416798357`, \ --1.3784237071084091`}, {-2.3025850929940455`, -2.301979177901118}}]}, - {RGBColor[0.560181, 0.691569, 0.194885], PointSize[0.012833333333333334`], - AbsoluteThickness[1.6], - LineBox[{{-1.3862943611198906`, -1.0695638342431624`}, \ -{-1.791759469228055, -0.7273331384144447}, {-2.0794415416798357`, \ --1.358573490193449}, {-2.3025850929940455`, -2.3328641793702882`}, \ -{-2.4849066497880004`, -6.005874714718158}}]}, - {RGBColor[0.922526, 0.385626, 0.209179], PointSize[0.012833333333333334`], - AbsoluteThickness[1.6], - LineBox[{{-1.3862943611198906`, -1.0648138272148282`}, \ -{-1.791759469228055, -0.7282849910617186}, {-2.0794415416798357`, \ --1.3611503300442582`}, {-2.3025850929940455`, -2.3081756796377584`}, \ -{-2.4849066497880004`, -5.5140298952460025`}}]}}, {{}, {}}}, +-1.3784237071084091`}, {-2.3025850929940455`, -2.301979177901118}}]}}, {{}, \ +{}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{-2.531158990066128, -6.420786088407457}, + AxesOrigin->{-2.3411615691189556`, -2.5133481546260557`}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -4912,8 +6692,8 @@ Cell[BoxData[ Part[#, 1]], Exp[ Part[#, 2]]}& )}}, - PlotRange->{{-2.531158990066128, -1.3862943611198906`}, \ -{-6.420786088407457, -0.7038774661999426}}, + PlotRange->{{-2.3411615691189556`, -1.3862943611198906`}, \ +{-2.5133481546260557`, -0.7038774661999426}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], @@ -5016,125 +6796,114 @@ Cell[BoxData[ 0.}}, {-1.2039728043259361`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}}, {{-5.298317366548036, + 0.}}}, {{-2.3025850929940455`, FormBox[ TagBox[ InterpretationBox[ - StyleBox["\"0.005\"", ShowStringCharacters -> False], 0.005, - AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, - 0.}}, {-4.605170185988091, + StyleBox["\"0.1\"", ShowStringCharacters -> False], 0.1, AutoDelete -> + True], NumberForm[#, { + DirectedInfinity[1], 1}]& ], TraditionalForm], {0.01, + 0.}}, {-1.6094379124341003`, FormBox[ TagBox[ InterpretationBox[ - StyleBox["\"0.010\"", ShowStringCharacters -> False], 0.01, - AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, - 0.}}, {-2.995732273553991, + StyleBox["\"0.2\"", ShowStringCharacters -> False], 0.2, AutoDelete -> + True], NumberForm[#, { + DirectedInfinity[1], 1}]& ], TraditionalForm], {0.01, + 0.}}, {-1.2039728043259361`, FormBox[ TagBox[ InterpretationBox[ - StyleBox["\"0.050\"", ShowStringCharacters -> False], 0.05, - AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, - 0.}}, {-2.3025850929940455`, + StyleBox["\"0.3\"", ShowStringCharacters -> False], 0.3, AutoDelete -> + True], NumberForm[#, { + DirectedInfinity[1], 1}]& ], TraditionalForm], {0.01, + 0.}}, {-0.916290731874155, FormBox[ TagBox[ InterpretationBox[ - StyleBox["\"0.100\"", ShowStringCharacters -> False], 0.1, - AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, + StyleBox["\"0.4\"", ShowStringCharacters -> False], 0.4, AutoDelete -> + True], NumberForm[#, { + DirectedInfinity[1], 1}]& ], TraditionalForm], {0.01, 0.}}, {-0.6931471805599453, FormBox[ TagBox[ InterpretationBox[ - StyleBox["\"0.500\"", ShowStringCharacters -> False], 0.5, - AutoDelete -> True], NumberForm[#, { - DirectedInfinity[1], 3}]& ], TraditionalForm], {0.01, - 0.}}, {-6.907755278982137, + StyleBox["\"0.5\"", ShowStringCharacters -> False], 0.5, AutoDelete -> + True], NumberForm[#, { + DirectedInfinity[1], 1}]& ], TraditionalForm], {0.01, + 0.}}, {-3.912023005428146, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-6.214608098422191, + 0.}}, {-3.2188758248682006`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-5.809142990314028, + 0.}}, {-2.8134107167600364`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-5.521460917862246, + 0.}}, {-2.5257286443082556`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-5.115995809754082, + 0.}}, {-2.120263536200091, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-4.961845129926823, + 0.}}, {-1.9661128563728327`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-4.8283137373023015`, + 0.}}, {-1.8325814637483102`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-4.710530701645918, + 0.}}, {-1.7147984280919266`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.912023005428146, + 0.}}, {-1.5141277326297755`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.506557897319982, + 0.}}, {-1.4271163556401458`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-3.2188758248682006`, + 0.}}, {-1.3470736479666092`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.8134107167600364`, + 0.}}, {-1.2729656758128873`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.659260036932778, + 0.}}, {-1.1394342831883648`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.5257286443082556`, + 0.}}, {-1.0788096613719298`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-2.4079456086518722`, + 0.}}, {-1.0216512475319814`, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-1.6094379124341003`, + 0.}}, {-0.9675840262617056, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-1.2039728043259361`, + 0.}}, {-0.8675005677047231, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-0.916290731874155, + 0.}}, {-0.8209805520698302, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-0.5108256237659907, + 0.}}, {-0.7765287894989963, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-0.35667494393873245`, + 0.}}, {-0.7339691750802004, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-0.2231435513142097, + 0.}}, {-0.6539264674066639, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, - 0.}}, {-0.10536051565782628`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, {0., - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 0.09531017980432493, + 0.}}, {-0.616186139423817, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 0.1823215567939546, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 0.26236426446749106`, - FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 0.3364722366212129, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.579818495252942, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 0.4054651081081644, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.5447271754416722, FormBox[ - TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}, { - 0.47000362924573563`, + TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-0.5108256237659907, FormBox[ TemplateBox[{0., 0.}, "Spacer2"], TraditionalForm], {0.005, 0.}}}}]]], "Output", @@ -5143,8 +6912,9 @@ Cell[BoxData[ 3.8430391134133043`*^9, 3.843039136782056*^9}, {3.843039258690278*^9, 3.8430393001732893`*^9}, {3.843039418677228*^9, 3.8430394361655817`*^9}, 3.843039493793488*^9, {3.843107813972395*^9, 3.8431078381755133`*^9}, { - 3.843117689894182*^9, 3.84311771161446*^9}, 3.843626993066184*^9}, - CellLabel->"Out[58]=",ExpressionUUID->"91ea53bd-2e6e-409b-8cae-be04cd8c20aa"] + 3.843117689894182*^9, 3.84311771161446*^9}, 3.843626993066184*^9, + 3.843713812913806*^9, 3.8437286807390003`*^9}, + CellLabel->"Out[69]=",ExpressionUUID->"b57dd479-aac4-4dc9-b32b-1a68214db69f"] }, Open ]], Cell[CellGroupData[{ @@ -5163,13 +6933,14 @@ Cell[BoxData[ RowBox[{"gC", "[", "0", "]"}], "->", "1"}]}], "/.", RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", RowBox[{"Range", "[", - RowBox[{"8", ",", "11"}], "]"}]}], "]"}], ",", + RowBox[{"8", ",", "9"}], "]"}]}], "]"}], ",", RowBox[{"{", RowBox[{"\[Theta]", ",", "0", ",", "1.4"}], "}"}]}], "]"}]], "Input", CellChangeTimes->{{3.843117379400096*^9, 3.843117450464201*^9}, { - 3.8431176777326736`*^9, 3.84311768214819*^9}, {3.8431179869457617`*^9, - 3.84311800024196*^9}, {3.843625389127116*^9, 3.84362538925511*^9}}, - CellLabel->"In[55]:=",ExpressionUUID->"0805dca1-3214-4053-b11e-4c015cec2e4b"], + 3.8431176777326736`*^9, 3.84311768214819*^9}, {3.8431179869457617`*^9, + 3.84311800024196*^9}, {3.843625389127116*^9, 3.84362538925511*^9}, + 3.843728688082923*^9}, + CellLabel->"In[70]:=",ExpressionUUID->"0805dca1-3214-4053-b11e-4c015cec2e4b"], Cell[BoxData[ GraphicsBox[{{{}, {}, @@ -5265,7 +7036,7 @@ Wycx5LUa9sQf4MO90UV6b3sSo6vmk1CoAx8zaj8+1hy+y9iZWM4MPMiHZ6in z5bAZMZLl/c5Pk585J5ZZ+IwI4WxQJUR6nGYj1jVBUoRcSmM4D9FDq6ufOz7 qBJ6eNY9xv8ARdJ7AQ== "]]}, - Annotation[#, "Charting`Private`Tag$10772#1"]& ], + Annotation[#, "Charting`Private`Tag$13552#1"]& ], TagBox[ {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ 1.], LineBox[CompressedData[" @@ -5356,193 +7127,7 @@ EjSx2TSGYZb2IyvbWgBN+Su5hcqxjJdu3/9JOShASfTC8XuZsYwlul/3x9sI ewHsNk3ukRmPY8hqMMI8HATYL5dgI+QbzwgZLzjq5CRA8JU3O9s74xn/A3Lz LHc= "]]}, - Annotation[#, "Charting`Private`Tag$10772#2"]& ], - TagBox[ - {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ - 1.], LineBox[CompressedData[" -1:eJwt1nk0lO0bB3Apr9KiyCulhaKUJVKI+qaFUihKSaUSlS1lKRFJC1FKhSQp -kSyRNbuobFmyzzyEMc/MEJJl9Nr63Z3z++s6nzPnmfue577u7zUypy+YWAsK -CAjMnCYg8Lc+O7or8E3Sia2P/181P62q1VylAyctzZt/a1JCo8v4yn3Ytmvd -rjFSzy1XLm1deQwnVB7PbCF1l/lRp6qV9vg6JO6WQ6q628Cnzys9cXfe5lZ3 -UhNuav/8uDIQvxgqxsGygeDOPjWjaGUEip7viZ+2PAKde3YfyzJJgtSS+yzd -LUmQ/bp6tXVZBqTz+nt0YjKwYXZ6e0JJHsLNX9rXXMpD9g5XS411xVj5YMGs -JsZHuJ2Zk8FN+4yXaWn2rgqfURH9e6mZXzky48v9nd6UQVTlrXyXQRWWueft -1PhdiSvV8XctbWpxekbGcPxgNdIfJwQoGtbhk49ITt+Mb8C++D9R4g1wncf9 -54dVHYoVAkpWfWvERKCcwZm39XgY8u/DO+nN0DvsvmvdcAPeDb/49vAyA7K2 -yQs8xZogyapf4nGSwoJxMfXFKs24MtKd4bytDSEecydV1rVg9dhksbhAO/wl -tp2gJlqwQP+7ffmlDuhtUsy6W8KAdNGvbFO3DmxWMyra9IUBeS0h4e/uHehT -1ZfuLGNAZ53iy8HrHbhQsfDr+moGbESvNi4J6sDUUd9/8loYyGmW2OqY0AHf -sG3FPn0MnDlnKCrO7oDnDV/NcgkmMv3z3h871IlROcdYnGKi/XBXnpp5J9K2 -PFVNtmJiprxI2czjnZB2epYtbcOERfHh7+lnOuFUfLnwly0TAuODInNdOlEX -0vrc14WJPfYKZ/KDO3Hlqel1vTtMtBqF/LusthM2sy/m6ycwIbQ0X2a4vhM+ -QiPBbklMKP/oUqxo7sRJia0no5OZuH5HdYdbeyfqffw7+WlMyBV9vVDd34kg -df7VW3lMOKpOL/eay8KTUP3ubVVMTFvo5NFuwIKqfGCBSh8TbrGbYqKNWEiT -ZBgv/MlEr+Zk9VkTFuaK3+TyB5hoOn5XdsCchb58T4XMYSbi37wuFzjPQndx -wbD0BBMm2gxJ2TssLKf1ggJEKLw+vT39TAkLGdcixXvlKEiNzPy+ppSFxMcy -mx+upnD/To1wXwULNvERVuoKFK4kHrNwrWOhpVaq0EWRgiHfTfB2JwtZrcFP -2tQo8P0T9r/5w0L/i7WJxqCw+/3Cvm7tLjhuDuSkm1GIwkdrB3Th6zxTM8Ej -FH5XOXwf2N6FdS0FM43MKbzp+VIzuqcLui27N3ZYUPhnlXuq0JEumE7cTvhJ -+uxTSNtlGZcuuDqtEMi1pQDPWEHzxC7w2316Ba9RCBEx9WhN7kKBumLIIi8K -/WF/hizTulBfwriu6E0hMuMIbZPThYmz4lLGPhQm+kXKXMrIemCaet+ikHPq -wr0H7C4o5wx1B9yjsElfc1GZNBtLPE6ZH4qgkLj2t1HoCjaMvm+P2/Wcguy8 -D7dsVrEx9dmOtyGSgmijxtAMRTY+WTrriERR4J3WqNbVZiOn10stMprCM69N -N3PN2RCoM9pvFU9B7DQ/5+5xNrZu2ZiilkDBb1fmL/NTbOT2jwgIJFJwmbPJ -8vc5NurP/3J5nETBKHzj5o1X2ChRMYh9nUJBIFN94F0IGwm+vWtPZ1Jwezos -7xXOhrSKQ8j8LAq9nunHDSPZsBIJGMwjZuxQr/wRw8a/L594imZTSP22IXZN -Bhv8uenNz3IprMkYah39wEZSTE+pVh6FF2Fp4qV5bBwaeh/XSBxoucHH+hMb -C4QHtIULKFj3qR17Vc+G7m+ZtweKKLTWDgZfbCbrNTUsbSc2SU8t30axsXdC -0tf2I4WtHmoa7Sw2ijxMlnsUU1g0S01MepD8viM5kb6fKFSsUi17Mp+GqtiM -XNUyCitnNBuuWkhDSclaMpTYs8uzPlWSRl2FdNwYsfKrsu81y2iYzoiwySun -ELz85MgsJRqaiVpH1lZS+DEl5BG2nob+oqTDPsQ7vycIrFanYRD/KKCJmB8x -OnunNg3z3ZUPPL5SMF8cJOu1h0b6a6sFKVXk/fynHjfHkIbDlf7038QiDKbS -s/00AjTF7qGaQl6ovNaHwzS0JTdTpcQSl78W6FnQyDi+58CsGgqOZpd2Np6g -capDeGI38QqJAuNBaxopHvE/i4ndh60ar5+nsa0jXHOSuK5+loWoA42GRaaZ -G2sp3Aw+ZKPoQkNHZeP+l8Q80T5Pmxs07s32njr9jYLuz2DBkVs0XNbZ998n -Dq/W9PP1J+9Hzlw8m3jfvZuPoh7QeH/qwIRwHYUY+7VSKo9pyB+1L1Ikntpb -G5kfSmPas9hsY+JkEel4ZiQNi+Gnex8Qz+z5qHL+FQ0FrhU7ifhk+dmM0Rga -XU2OqeXE2XFztW+/pZEYUJLRRSzml1a0MImGyKBt/zix3VlzvegUGq2LHY+J -1ZP7q/enUjWdhp120x954qXyMQeKsmgYJSW2aBG7Ce1tNsql4d0/xjEgrmEP -HGsrIPt5WbH2KPGaTyEsu2IaNwLlXp8l9onWOTf2mQY/XMLUmZh5g9XnV05D -rPW1xjXiDaf9nCWraFzwbTtwizhQV/m/mFoa87vLXwYQ0ysavNQbSH/5u6x+ -QLxV4OqMkmYax2fwWMHEoe3L7x6gaDgvV2l4RDxQ8Fm04zuNJyUHx/9+vifS -7okji8aaKasjf59/dW3BkkmaRrPAuR9/v3/8WFZUQDfpFxn79L/rH9Q5Lr+4 -j5wX0yPt7/4Sl0xPjBugMVb+ivd3/0LjcaoawzTyU3tNzxHHR/Y3po3S4BlN -32JBbLRd/er6cRp6M2Qd9xEP0e7LkqZoDO47yNUmDvMvLFYQ5ODSp5g4BeIt -SkJnY4U4KFotmypBzKo1mL1yFgfahxqnCxArLmoylZ7PwX6V+ddryHl9y13y -O0ycg3dIiU8ndrM8FSEhyUFpZ9bSMOLC2F72vGUcDC9Y32ZOfMZAzT9AhoO6 -eTkKmn/7pf+y0kw5DoQ8vhcsJDbZON1tmiIHuX1rS8pIP4627F7spcJBtYOD -WhRxhOf9gjE1sp/93j2uxJwSKeFhLQ7inutvlCYOOGuZ4LSFg7BAqbJe0v/r -Z8cY920j69G/onOJ3U3Wh3H0OZhu2L/pIPEyvquO1V4OJLqV+5cSFz/N7Wg3 -4iBkdnI/Te7XnE49BcYhDtId4iqdiKMuHM+ptOJg8bsVoxfJ/d0lHn1i91kO -uiIm/1Mi7snkCX625ZD/dcF6PHL/1aec9xZc5CC2Xzb/MHFp4N3WFG8O/tGI -kJEl+WG/vva6ki8Hl+fznWtJvsxvkJCLv83B/Ui/+deIjy556RB9jwOBhPA1 -3yrIfHqbNfXkGQcsL8OmMyS/HhlOvhJ7wcGIqL6nELHmr+36Qa84yD8o6PCa -5N0Nzeogv7ccRP2YodJWSvKmlL3CI4uD17JqGpu/UNBhL9hxsp6Dwe2Hz70i -+SrQZhuzppmDctUqvaXEJY0lwr+YHOimzVkVQvLYoNT1qw+L9EeoIeVD8vpI -PONQ9C8OHo28hi7Jd2enl+c4olzsGX7HtyTzYtP5sYp34lzUxvOrcj5QGDtl -qnRZkovPi9/HiBNfNxUaFF7OhWhEv1khmUf3N533UFDmwkjpbclUGunnifX3 -7fdxUSAb1r70HcnHEf8B9f1c2MqEVB4h8021n2UyacqF9fS27Idk/n1ofyx5 -34ILWurj80kyL78U/45KtuViS7/h7fw3pJ/9itIG/bhYuuwsv43MWymJ/Ywr -n7mYvfdf7VcPKRTNCrorUM7FT8Ve+eQHFGymqrT9vnJxNNX3aE4QyXfu3sjQ -ei7ezXJ8WknmvUGOvlVmJxc2Tc7uDH8KVy3RNzTJRVhFTesr8n+Beqs87cIm -Hrz/483uu0DOI9Ihla/FA3cke12JI8mnR4lWXlt4eGy3NTTUgcxvz7Vf7u3k -4WkA74C2Hek/Y/mARBMefqhdMXe0Iec1vFSix5GHz7XRNTeOUXi+da6C9Rse -FlzLMbbRp3DazzJMM4GHgCa5YN4uCvJ174XnJPPQcNWYcW4nhRRrM05qJg/G -mc/drHRJvwe+iBb4wsN7AafBbdpkXjBVl0WweVgTXCH1XJmC2mUz8XqZblze -K3+HEif9m/xicltENxjVqTaeLUy05D8MEIzqxsisgLS7TUzwKn2lPkV3Y2a7 -4arQBiZEeGc36id0w2DU6VJ8LRP7Vqx3MMzpxubrO0tzypiofVDUat7SjeUy -5jdvfGCCebEz99LCHvzc9bwtOYSJvg0rr74O7MGpAq5okCETHVvGzeQe9CB9 -i/ODA3uZaNCv3xD7qAejtfXeYnuYyLHw7XsT3oMoUzohaCcTt327TibE9cDY -UhiXtZlYUR+tn/qpB5eM/b3H1zBx8NIqiaKJHtilNnUwBMnzKXIplP0P7H9T -rDr2ngEl10lbwZO9qJIXnuzpa4G+2sH2ie192P5yq5T+shYcF4wY95Lph3Dr -o7ZMnWZILc6OGBD7CQPnwICH65vgufbQ6MbhnyjaVX8hSaYR23Wt7Da6DOBm -J7Wjk1OP8PNUlhZnAKo6LhmO7+rgtGRfyzSzX7Bu4Q3Psv8GqYurc3VrfmGN -c6zkjfEaSOwovmq3bRBec6cxtDZUgf2hdGJO7iAk3aXUJ8UqoPrhs8mlDUNw -jAuP2cv9groNvrc+Rg9hQYRG+fy2EuiZJlz1WzqMoeH/Xrh6FmHuz+B9WkHD -MHv8PUv+Tg5MFjoPJk0NI07ApSJaMh22bflHwy+PAB89vl0fe4sm2bIXTrwR -+Ddk9hb+85TcqxK3cz0jMNY4bxd1LwyvswsNT/aOwMou+IL7wjBc3J81bjww -gulD7PkSsqGY4xV7WOX3CLY/PjQ0qPMEuoybov3CfPxznTFv78WHSAzS9bZb -zcdWe7P2kThfiFtsMbNS4EPwafj2jvQbuCqvpWSxjo9u1zdqeUU+0M9fTxmo -8OG14smDhyneYPUs11irwYf37oM2tnOvYJHeVD9Pj49Vmo1S7r6n4L1g7HPH -bj742ZbJUpYW4LSORLQY8OHbe+t8TaYp0pz7DMqM+PCY5qUY9kgVRi9bY9+Y -8XGhe4its/NoYbp9y7UXR/j4lJmqXDt6snCJZsPB0KN8GLKVLXKqzhTyqisF -75zgY7I8v0rjqn2hcXgpw+skHwWUoqf1GqfCTOuSFLfTfHyMk1OuFL9UKK1a -eMfxDB+Hfk+M7PvjXOg7kXPCxoYPneN9X3t6XAv/B/a2mwQ= - "]]}, - Annotation[#, "Charting`Private`Tag$10772#3"]& ], - TagBox[ - {RGBColor[0.922526, 0.385626, 0.209179], AbsoluteThickness[1.6], Opacity[ - 1.], LineBox[CompressedData[" -1:eJwt13k0VesbB3CUW2lOKkOlTCnJ1OByfaMMCRWlRIRUUmSIkmi6hZJSSZIx -MyVDhgYylKmS2dmEc84+51BkPCT0e+9av7+e9fljv+td77Pf77P3Ggc3cych -AQGBWYICAv/VJ4f1bydn2uo8+H/dVi5bt01WG6f3fr/2X81Mb/L6LWOC2alj -OyZIPbla+WO7jA3WfK6Y0UqqvtXhs59kTmNFCMOhiFQN74HyChk/uMbsD71A -avp1rZ/vZW4j5uRjyXtrb4M7135miUwUdLI7qMlVUejeZWSTb54Jl1PfVyv9 -k4m1tQoKTpV56OA3bxNPzIP63NzO9LI3SA332nTJ4w0Kd5yz27qhFLqPO5Zc -a3sP72Pz8rg5FbihatTDXVeB6oTxlZaBVUjMc+sOSqrEwk2p8izjT0iP1HnM -4Nfg/Oe0YLvjdWjxUhgqGfiM3Afpt5RM62E+PdwaMeMrYJL2J1a0EeouYnfW -OdSjVPFWmezXJlRbWWesSW7AvfBl927mtkBszpbDOwcb8Xwk5us9nzaYpDdv -ZS5oxnJmg+TFoxQ2lUvYxq5vwfnRnjzP7R04lKjYVijXCoWJqVJRgU7IO0Xp -W/Nbsdjw2+kqjy4Errab/PC6DVIlg4UW3l2ITP0o7PquDfKawrO+XejCs9RB -O9H3bdDeoBQ3dLkLcuadwlYf2nB8oW+TZGgXLCwvidbVtaGoRUzHNb0LQgsW -LT5Dt+HYSdOFouwuRDxN97afz8CroDcvbQ50I2+Buv2GQwx0HmS9UbPqRtSE -Z/+DwwzMlhepnH2kG8OKM7wnbRiwLj34LfdYN3aEHPGssGdA4PeQyHyvbrzQ -1F8LFwZ2nVY89jasG31X7m74eomBdrPwZavqumEnolPjGseA8Mq3a0YaupFq -vWzfowQGlL+zlKpbuiF9VLr2bSIDl2+q7vDuJOvtVYubmcaAXEmt2+f+bhRS -m8suZDPgqjqjyn8+E0etIj4IljEguPTsxU5jJh42+TfcZDLgnbQlMcGMiZUv -RLud2Az82Db1+YQ5Ezozrg7pchhoPhK8dsCKieEMD+mRHgbSkp9VCTgzEVXv -kLh9kAFzrbbla28yEaIz+2/fPww8c9DLPVbGBMt5uMZVgoL46Oxv6z4yISyV -u3K+FIU7N7/M6qtmoi27yy11JYXzGTbW5+qZ2JgtKdYuTcGU7y10o5sJ065z -RxUVKPCD0vcm/2FCyXcrx1GdgtHLpX09WizwBZVEDxlTiMV7pzNg4SOt1hK9 -m8L4pzPfBvRYsGiLo1gmFJJ7P3wZ28WC4KBv4sk9FP6SvZAtfIgFvRveWkf2 -UygP7/BZ48VC8MVex6EjFOCXJGSVwcIWnQSjWjcK4SIWF9tfsDAunj3NOEuh -P+LPsF0OC+Fu14a57hSi8w7Rx4tYKBrTfTzpSWGyX6TSq5IFZ2XhxkXnKRTZ -u4XcZbMgl1A7xQ6gsMVw24pKKTbuedYzte5QyFg/bvZImo0vOyqtZEMprF1Q -8O9xWTZOXLYpFrlLYWHT1uGZSmwUr4fl13sUeA5bP+tqsZF1aFG2/kMKT/y3 -XH9txcaTNZGSNU8oLHHgFwUfYcN/eOJ8WBSFQP1Xg1b2bFynb5UffErBa94W -u/GTbKzRVpRvj6ZgFrn5783n2QhtqlpSG0dB4JXGwPNwNrYem3HHKJmC9+MR -ef9INgRFDtb2E//wyz1iGs0GZ+3T8bAUCm07NGq+J7JR3TMm0ZRKIfuretK6 -PDYyRPNubM+gsC5vuH2sgI1z+ic1moljInJEP75hw8xCsM45k8JtO/UrTuVs -XNrPrAt+TsGpT80mvoGsV3PwV04Whfa6oTD3FjYsT6os03xJwTw3u2o7xYbM -uX7pN8Q6F9W2djLZuGiwSuBNNoUVc9SWSA2x8VbHjY7PpVAtq1r5cBGNRf1B -pvMLKMjMbDGVXUrD0G06/xSxH8uvIXs5Dd9g7/0fiJXjK799WUXDbJ2/zPlC -CmGrj47O2UhjifFuiQ9FFL5PC1+MUKHxpktLTOw1hZ3f0gUUNGgcETbVcyDm -R43N3alFI0uFqTZGbCURutZ/Fw1m5iHXuW/J+fzSSJlnSqNhz6eJ3cQibYyN -T/bSiMwKLAwmfvNIXrPgIA36es8noXcUxHxq3xlY06hFpIw2saulx84mWxpW -RinpnsTSYu/2DDmR/Z4YMe8gvjDi2HTZmcaJHzoeC4sp1DfMsV54hkZ41J8y -EF8PO3BcyYuG/+DUdCRxh/vv70U+NFYp7eJVEG/ZF+e+6yLZz1u5mT+JeQv7 -/I5fpZGS9KJBq4SC7s8wodF/aax/7XLTjjjy87bAa0E0nGKbz1whHs78Nn9J -CA2BxeM34ohNQq7fj71Lw+dHS30xceLp9eKbHtBYs9V/Xzvx9O666LePaGyU -GBYaI34hIpXGiKbh+Nepafn3FGb3vt/kHE9jrcQlI23io1Un8sYSaVhTlyv2 -EBemzNe6kUrDbYP/OXviJYE5JUszaQQv8j/kTuxywsogIYvGo7wg1wDicoM/ -Naq5NOxPpeXfIl4pn7ivJJ/sL6FHPZzYW3h3i9lrGioTe1nRxF/YAzYd72jk -K/VWJBKvKw9nupTS+L6ymEojvpKgfXKigsa/TW2yz4kZV5l9gVU0hgN2xr0g -VncI9Fz+iYaz65x9//m2rvKvxDrS32XqGpnEtHSjv0YjjWVLa41TiXUEfGeW -tdA4Ldf5IIH4Uefq4H0UDdFNPkuiiAfeVSzs+kZjTDOmLIx4V7TLQ1cmjZee -Dik3ieMvLZacomk8VK4t8SX+bZMfe6uHRmo3d95p4v3aR+Ql+mhkrHt/25o4 -Q3JGRsoADaFyx+27iIV/p6huHaFREkEpbCZOi+5vyhmjcVAxO281sZmehq/K -bxoB+oeDZhMP0xdWZU7TmBvUGf+T9C8iqLhUUYiDZy9NhZqI/9kofCJJmIPm -6KzkAmJmnfFcmTkc8PctvR9JrLSi2UJqEQexBQu0rYi/vpYcjxDlYGr5u1+b -ib3t7KPElnMw/SBmahFxcdIP9oJVHNjkbGh9T95P880zvAWVOAj0bmhbQDzW -aiThv4k8X32p+r/7EOV3592EGgd79coE04k5ZeKzRjQ5ePjUcuf2/+6LuUoE -x5ADg6sKkpbk/q3in9N23M2B4yU1veXEpY9fd3WacZC20Dir+Q2Fed0Gim0H -OGhRZ9jvJY51O1JU48jBqzOyUpvIfdcXTbA1OsGBj6LmJSbJi95XPKGKUxxI -WjfKPSDWmPbc/c6dA5E7HaZDJF8+3g5uzwog53VreuIxyZ/TKnWXN17jIOnY -nEgQL2oUk0u7wUHjmNQVVj6Fw5JxZxJCOOj+HqcgT9yfmj/98AkH/fkzWsPz -KNw3nYpfEsMBS1ajUJl426CeYWg8B/cHxpjlJA+vbvscGpjKwXv3K4t+5JB8 -+ciWvpjPQdPsyCY5kp/a7MU7jjZw4OWss8KQ5LFAx6nEdS0cbCl7bFpA8rqs -qWzWIIODOyzVAAVi44/naq8wST+6/Fv+pFM4lNZ2IGGQg8IcB7sIMg88z8ad -5CzkgsqJYZ18RvLFeaL6uSgXHpXyPYUJFCbsLTb6LOciYvHjH7OJL1sID81a -zcXg6pd9sWQe3dnifFFRmYsg7WaqgMyrtEmVO6dNuLh4pfRKYATJw9GgAY29 -XGBPjmfhIwqq/UzzKQsuPrm5OPHCKRR0Plh+x5qLzdznRtvJvPxQOh774hQX -bKNfi1vJPGUGluQMBXIRz2fXJAeT7xWxvW3nK7h42f20stKXQsmc0GCBKi40 -Ff6SrL1A4fj0J63AWi5+B8/P+UTmezZ3d/SjBi70E9xza7zJeRQZOr7q5sJZ -9dufbA8KvnboG57iInN0U6PyKQpUqrKg2xYeais+720/SPoRfSabr8mDlFLW -/RRLki/3Mxz9/+EhTE9F2vMAmdd+6z+E7OShRuHhshkW5P3bI38rw5wHp/g8 -vwVmpF8jK8V6XXnwYFAmDTsoPNWZr+iUzMMC1eoxMWUKDoF2EdvSefAVOKkX -okRBvv7lrHkveLih7xQqtIFClpMlJ/sVD0Iiuqpc8r1VejsmQeADDx/kqccR -a0j+M1RXRbF5iDu8Yn3UUgpqPpaiDWt6sMMoN13hFwMfX8RMbY/qQXjRul7r -Nwy0vr13Syi2BxqxPizbIgZ4NdfEyxN6kDjyeNqugAER3onNhuk9CJz/9xOb -XAZMpFXOmBb1YMB5hRcyGKi7W9Ju1dqDQds9zelPGGC4d7/2WNqLExdujkhf -YKBPXcb32e1ebOj5tz5ImYGuf35byt3thTCr20RXiYFGwwb1pPu9GCk4rzGm -yECR9bW+5MheBL7bYGIrx8CNa6yj6Sm9YJ7zTxCXYkC6IcEwu7wXYy48af05 -DOz3kBUrmSTrH6tpPMsk/wNZclnU6e/o5007Kdxtw8ZzU6eEjv5AylqlytHK -Vhiq7e+c1OuDXJjxVQt+C44IRf32X9MPZW3R5qrFLRCXKIwaWPITGpOKIieE -muG3/sDY5pGfsFUN6b860Ag9XUeXzV4DCLgn6B6Y3YBIZypfkzOAWZ/qd2j5 -1OOspEmroOUgDlYnl6urfYW4u8Jr3S+DSPFwXxx99QvEdpT6umwfwr1X9Rpi -r2rBLvg4Oe/1EAxfPr0gHlYF1YIKcw/1Ycz9pu371fED6tWv/fs+YRifJl3K -bQ+VwcAi3Tdw5Qikxw+bzR0oxvyfYSaaoSMYPLdzncnVQpgv9RzKnB7B0/Wd -DUkSOTjV8fZwpM8oWJ/VT/VIpqB5bWXMWd4o+CYBMTvvP8Q//WXeJ3tHIS5q -NCtR8iGeFRabHv0xCt61v/r/JDyA+97833sGRnF2cLFBYu59zPNPOrhpfBRl -K74EPG+6B9226wv7Z/ERuvOXtN/G28gI1Q1wUeAjT32B/jMLX4ha/2PpqMiH -T+C4R4DHefjKa2603sDHi9x4Q4N73jB8q0IZb+JjtsFG8UefPcDsXb11/VY+ -xg7eCA25exwrDKb7eQZ88P4O0cnZblYcsHiiosuID4eumJnfUy2LOe2jUa3G -fOwasImoVrctzvHsM64044N7bLRY89nxYrO49qRkSz56TZ1eWnV4FOeebr0U -c4gPdwzPtCrxKpbc1rj/0WE+4v8SDHBJ8C7mfa4RumnLh0ewfW6Vs2/xnsiP -bf5H+bgcEGcpZupX/MqpLMvbgY/oeK0+TxX/YinV4puux/hYebY1b2jO5eJr -k0W2x4/zUSpRxsz9fbn4f+xSi+I= - "]]}, - Annotation[#, "Charting`Private`Tag$10772#4"]& ]}, {}}, + Annotation[#, "Charting`Private`Tag$13552#2"]& ]}, {}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -5574,7 +7159,7 @@ k0W2x4/zUSpRxsz9fbn4f+xSi+I= Part[#, 1]], (Identity[#]& )[ Part[#, 2]]}& )}}, - PlotRange->{{0, 1.4}, {-0.03135980749074168, 0.4347886631116957}}, + PlotRange->{{0, 1.4}, {-0.03135980749074168, 0.43222807147287173`}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], @@ -5584,8 +7169,8 @@ k0W2x4/zUSpRxsz9fbn4f+xSi+I= Ticks->{Automatic, Automatic}]], "Output", CellChangeTimes->{{3.843117407966116*^9, 3.843117451050243*^9}, { 3.8431176786646423`*^9, 3.843117682458384*^9}, 3.84311800075559*^9, - 3.84362538972773*^9}, - CellLabel->"Out[55]=",ExpressionUUID->"64c0f605-cf30-4543-a5ac-ed2deb5865e0"] + 3.84362538972773*^9, 3.843713821695488*^9, 3.843728688537775*^9}, + CellLabel->"Out[70]=",ExpressionUUID->"48b92cb7-d098-4f8b-b49d-7550fd336efb"] }, Open ]], Cell[CellGroupData[{ @@ -5605,15 +7190,15 @@ Cell[BoxData[ RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", RowBox[{"Range", "[", - RowBox[{"8", ",", "11"}], "]"}]}], "]"}], ",", + RowBox[{"8", ",", "9"}], "]"}]}], "]"}], ",", RowBox[{"{", RowBox[{"\[Theta]", ",", "0", ",", "1.4"}], "}"}]}], "]"}]], "Input", CellChangeTimes->{{3.843117379400096*^9, 3.843117450464201*^9}, { - 3.8431176777326736`*^9, 3.84311768214819*^9}, {3.8431177985349817`*^9, - 3.843117806110187*^9}, {3.843117917640306*^9, 3.843117966241499*^9}, { - 3.843118172822197*^9, 3.843118175349275*^9}, {3.8436253929915543`*^9, - 3.843625393135111*^9}}, - CellLabel->"In[56]:=",ExpressionUUID->"df04592e-ebb2-4859-b1e7-acbef5775165"], + 3.8431176777326736`*^9, 3.84311768214819*^9}, {3.8431177985349817`*^9, + 3.843117806110187*^9}, {3.843117917640306*^9, 3.843117966241499*^9}, { + 3.843118172822197*^9, 3.843118175349275*^9}, {3.8436253929915543`*^9, + 3.843625393135111*^9}, 3.843728700267021*^9}, + CellLabel->"In[71]:=",ExpressionUUID->"df04592e-ebb2-4859-b1e7-acbef5775165"], Cell[BoxData[ GraphicsBox[{{{}, {}, @@ -5729,18 +7314,16 @@ crOC3MKh53iq59WhlPPTwHN33ZX3pfnYta4pzWeEWPNlmhU9Ag1GXwd4sIjP tfn2WIZj5gu0dOEQz7gLsF+H4sfKkMSPXGLxoxHemSH4f0m4cHU= "]], LineBox[CompressedData[" -1:eJxTTMoPSmViYGCQBGIQzZnMer7841d7hjcb9l/uqd3PU7csXP8HkL/EYF3v +1:eJxTTMoPSmViYGAQBWIQzZnMer7841d7hjcb9l/uqd3PU7csXP8HkL/EYF3v 76L9Gf13+08wfrP/t3RpdY6e337Hmy3879i/2TM8UHwbdS/cfk2/Y322OpAf kS+rEdBjLxxtG5asCeRvO3Tp6Mte+yo1S91obSD/wATT58399u57DW576QP5 -1U5nbsVMtH/0St5cyxzIb/1VcmjqFHuzowVPltt/sz9WymCuLzbD3vDX4/6v -Tt/s2XXZQj8UzbSXcPv37oUbUH3wzCB2kdn29YK/jj7wAPL/b0j582S2/bM7 -X+fc8ALyDdyqTbbNsd9c/NbrhB+Q/6iDKS9ynn2vnZPNS/9v9mosbVbil+bZ -u1722Hsv4Jv9b5OfK+dYzLf3W3hn2fKwb/YNk296tlxcYL8l50bt/Agg/829 -j9zWC+2lLa6ETI8C8pONM7cuWWj/4txppvY4oPlCS97kViyy9591/GZdAlDe -w4Kn9tEi+22phzeUJQH5s7iV1vkstpcx3N+elwLkX3lizrh9sX3zn11xaWlA -vnB/eJniEnsACB/FBg== +1U5nbsVMtH/0St5cyxzIb/1VcmjqFHsJt3/vXrgB+cEzg9hFZtvXC/46+sAD +yP+/IeXPk9n2z+58nXPDC8g3cKs22TbHfnPxW68TfkD+ow6mvMh59n4L7yxb +HvbNvmHyTc+Wiwvst+TcqJ0fAeS/ufeR23qhvbTFlZDpUUB+snHm1iUL7V+c +O83UHgfUL7TkTW7FInv/Wcdv1iUA5T0seGofLbLflnp4Q1kSkD+LW2mdz2J7 +GcP97XkpQP6VJ+aM2xfbN//ZFZeWBuQL94eXKS6xBwDbNabP "]]}, - Annotation[#, "Charting`Private`Tag$10832#1"]& ], + Annotation[#, "Charting`Private`Tag$13602#1"]& ], TagBox[ {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ 1.], LineBox[CompressedData[" @@ -5848,264 +7431,21 @@ DTNSezgJkhEzsuXfEY2Fr41nzU9CglB3Yv+1IrTpeXsq5voUeLcNGDtcy8TO 9fXxjowp4LBkpDpbhOLukWo3axZxrqP0hPlDTCrGg5ZDxJITTenTweh0pGjm 8BixSbGv0M4g5LuVckLhN3Hh0VcNyXdR+77P4d6/xHHp7Pnt3vg/n4JZiA== - "]], LineBox[CompressedData[" -1:eJxTTMoPSmViYGAQA2IQXWXE2tXB/M2e4YJW3ReD6v1r+h3rs9WB/B6fphWB -FfbC0bZhyZpAPgfjqRLtavsqNUvdaG0gv6TM/yNrnb37XoPbXvpAvoXcytp7 -jfaPXsmba5kD+a9My1fNbLc3O1rwZLn9N3sNpiJ9jou99oa/Hvd/dfpmH/Y+ -Yomw3AR7Cbd/7164AdUf6xfynjDRvl7w19EHHkD+7sTPDZ6T7J/d+TrnhheQ -33tQ6THTZPvNxW+9TvgB+Z/Ea3XKptj32jnZvPT/Zn+gky/k/YMp9q6XPfbe -C/hm/z7xS9hS66n2fgvvLFseBlTvc7n/ctx0+y05N2rnRwD5lQdCciRn2Etb -XAmZHgXkK+p3GF6ZYf/i3Gmm9jggP2WOl6PXLHv/Wcdv1iUA+f8WPexmnW2/ -LfXwhrIkIJ/R+jvTwdn2Mob72/NSgPwJWf3LaubYN//ZFZeWBuTPt1hXZjHX -HgC9zKTi - "]]}, - Annotation[#, "Charting`Private`Tag$10832#2"]& ], - TagBox[ - {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ - 1.], LineBox[CompressedData[" -1:eJwV1nk4VVsUAHAkeqhkqFDIrFSmUGSJQqJESqVUkp4khJCiQgolhGTKUMZI -qIQlM0lkOrdMyXDdew0ZGlDvbX/d7/edfc9Ze+29114bzlyysOdgY2MzZmdj -W/x9fGxP6LPck7rCJiKuWeXdpVrVMi1aMjoQF/pa3bo1pjw3u8N9XtoUpLLb -7rNaXpUn+TTpjYlawbyP/uruxsby8xJb6rqlbSAxaM+1zJau8rUDdXLnok9D -YJHixem4gXLfwl+jPaL2cM15pPleI6O8P0gx1yrpPNBflHSFa0+V7zl6zOWD -tBNwH980Y9HyszxrU4janoxLcNAtSPmu9N9y7v9OXD0Z7Qqb1zQZPIrjwO3n -f9jEWV+GmpKUstSbXHih9Z5up6gHRGeZ8Qw08GDiDnlJgR5PcDKYdD3oshJb -UpF9f5IXUIWK/j93CKC652R1jbQvnAnwbbD8uAbP9QU/ZR++BoMXCyt6+kUx -1nhD8M4MP+BuDiz6uUEcry/PTD8WfQMunEh5/O8jKVyj7xHtdeUm9NywlzG+ -IYP5nrtuR1vfgpjoh8oMfzkc6KOd/yQaCJ+El728XLcRrwo9PTo5HwgNuq2X -rVdsRqG9biYreoJAd4W42bzTVjQs4FEySQqGlIPSCSVaqpgdoD3xTjoUuNUG -Nwa918Tdb7j7+zjDIN5ghdlns+3YO9bW8mcoDBoepmVzde9A/iNOBdsz7oPG -ujbFQTFdLIlqLrGOfgBCDm0ujVH6yJWn/nKEKwLskzeMGgYaoGVDXLbnlQgo -rtRVyr66G1l/zsVHWUfClxX/pCn4GKK4w3/XWkQfQsux2ttDZSboeMPe0zbk -IcTnDCTc69mHrx6/dx6ffwg2MQEGokvM0LwlxpavJxoUNtUc77Q9gDe3K+8y -ToqF952BDsNbLXCE9zRnhXQ82K9//9mv9AhyNapgbUI83JGSFnQIsUa5YA6f -D2sSwMKjuf/tiaN4ljNt4jNvIiga3ujK5juOAwvDn2enk2D3wZGJyMiTOOFZ -TAkPPAHv/eOx3lJ2+LdDXENEIgUiexNi1dLtcMW225HrbFKAK4lTOEnxLG6Z -PrJfujMF3KUO/3NH0x6dLv2uUm5MhcxIhnGigwMyHHTy9hWkQ1FlGcNjrRPO -1abxHZhIB28xWwmRYifkkVvuaKH0FI6pf3Z0sLqIioM9MkefPgX3w6+Dlzxy -xvOn/OLOxT2DaLxYujrOBYetKwNv3MyEjL8FvZM2l/HrXmObVxa5UF11Ieal -nzeKOvBn5IXkwqbnjQI1X73xUAA1/aw6F/TKjg/f2e2D9eXnQ2I1nsOZgZIX -SnxX8bnanRJv0TyYWst7tyXVF8tW2POJu+aD3eMlzSdm/PDOr3THfq0C8JR7 -LO8WegvluO+G21gVAE3zop1n/S2sFHYuplwLoE3E0qOFMwAXVDU4WrMK4Nd7 -9tjA6wHocrH20bt1L+FWkYj2pEcgHh4Yrk9hKwSOkJqcTPfbKNUkL29fXwS6 -IgpuZfkhKP7nH32LwSK4mb36H2o2BEW3sGyArRj+A/e/etqhKBieHyGiVQwn -Xk36/qkNRc5D2/9+eFYMFX5L+dcPhOHwF5MOjduvoHSZrqGTYDhmMS/eWmb4 -BmxP2hQ/dY9ANd7CvuyqUvgesSCvlh6DMkPKzB9fS6HspKR0SXsMCmHuj11s -ZVDTMTD3L2cs/nB7tpzSKQOZ47IDBmdjseRznDZncRlIVC/M98g+Qv2sGzE2 -GeVQvpKzKDo/DjPTnj0LMqyAhvYJT/dvCfjGwMNWc1MlJJ98GNFlk4KeZ/mK -Rl7WQIsQNit6ZGJj6q/1h4MbQJxLaaic6wWu3Jop983kA7wI1zFuTCxCr+as -u7bnWkCsue/2800lWBiVHaJk9gmeh9X+YVoggmnWf8mC7bDV9OjdAelKrFQM -qZJp7YCRzx1z4fHV+CB69YPbhV1g6dzHy2Feh89nklofXKFBgYFJQ0pbA64Z -aBO7euoLtBd/fbIksAm9ZkeLLuv1wLEN11lrxD6i/NyfSkG2Pji1/GfYyjct -uMqo16nBrR+CspOrJb+3YvGd0hc2Vl9h0FH8OBdXG7ILuVztMxmAkt8zOwYk -2tH4hdDYqPY3cP5GO/BZogM1jLTW1q8bhNWP99yUnevARhmV+of8Q5B19J2l -f3MnLp3PUNGcGYIfyzbO7PHtwqzE8Y6XP4cg9PYvo/LrXbhfX91HeX4InITX -i2y70YWxd8iUOYbhLKeMqnhQFyqt7bRcxz8MMyIWGr33u9Bi2xJPdiViwyE9 -4dQuTL50ouS93TCEiwaqnajvQp3BVQan2oZBR0g3xWAFhWw9jukKXcPA6da2 -IoifwqqOKu7vn4ehfyYroVaAQpM6j6YbA8NAnc2V1F9DoXUWzSr1+zDwTQ++ -kJKk8LLLk/PDK0dAT7Uv/44KhVkLyvecTEfAhj8gg2VBofPsnUl18xFo8hPM -nTpEocr4gMUfyxEQgvT6H4cpfN0Xtebe8RHIaLxs8PsYhbWVv5LzHEdgYcZA -d+QMhQPBFS+ngsn7b17/c86NQhFhc5pXzQjsHt5mMX2fwop/7t9laxiBs7qd -cgkPKDz394N2cNMIsFV5Ge+JpLBgZF9iTNsI5MiWuoZHk/mUGNkVfx0BpRwe -K4EECn1sYWz6zwi0F2bJVmdS+CVzC/slDTpwvpHe3PuOwpuJFwt+bKdD/MdE -mYNVFCpE5thd30kHHf11pyurKXT33VgbtpsO1RryGUl1FPIdkAvJsaDDodwq -hz0fKNSZWS/McKZD4cfXV3ZSFCboLle0f0aH138eavSyKDwTbBurlU2HdhuP -52rjFMp9esHNl0eHdXqbhW9PUJhvf3i4oJgOFXnsz+WnKKwMTUplq6WDpMCe -peY/KaR/VhGPH6RDwAAv7052GubIBIRdotNBT91/vysHDV2dOxf0WXRwstrv -m7qEhnPsV7+MTtNBKaH3ITsXDZcrVsdqLhmFUlv5N2k8NFS9cliwbcMoGE/m -3QoSpOGPioybT2VHoVBT1vSREA3f8sx/91YchXXGqXOZwjTcnZjUIqkyCqyX -2ctq1tDwSA09zFlvFJqkHn79KkZDX8Gry3hsR6Fd+ZFpsTQN6/KS/ujFk/d3 -cEO9Cg2psgchHMmj0G96OihSlYb097dEqlNHoWLUEW3UaMhDd9hmlD0Kk/xp -S0fVaWgqqXzRrGQUYh3NuumaNGwJr+g+So1C2qoFv8CdNOxPLHAU6yb/T1Ku -26pLw+85ab+6+0bh17NrC13EAg3BQqdGRsEa/ZWl9GhoxX7Q9NyPUbD5zf86 -QZ+Gn12/vnUTYkD3cj5hFSMaMvza9qqvZZB4m6VLiOfDarpmxRjgFbpj/S5j -Gq7Lypz2kmZAvqXGR+O9NLQdcN3kp8qA5DSGIuyjocuk3Rs9DQb0q0sEvyL2 -/2tlxLGDAfy1lh83m9IwRXSHXeAuBpTaMNcImdFw0ILjcYg5A9gWNoTW7afh -zKkZBbNDDNCRTlXaeoCGSy8NF6+wJuOlAgujiOVCGj89sGXAzM9E76PmNDxf -FcET60zGhz5qazhIQ6/WgNijbgw49fN+pqQFDe/0ecqJeTIgtOKgvQdx1vwx -/cRrDGi5eylsrSUNx9SkfdJCGbBsU3rcnkMknzvnD8uGM0CBgz4TRNxu1Kb2 -NJIBZy3jvWuJS47fGnsWx4Bq9rfselY0zLU/3qiQyIDzHp2cPsTJl9SeZT5h -AGd4jUoBcdCtb6eyMxjA2nBz2frDNPQOe7tTKYcBTlHsBQeInWIiRXPzGKCe -9jrAn9gy26D9eTEDrN+rpncTGxaJvdhawgD3dxlT3EdouAOnw/LLyPwESs+r -EEu2pRoVVDPg9ZsNzdeJBXuuyqjVk3ha5ctSiLlGLNkL3zNgd61qezXx3OSm -XvWPDDDHdYJDxGNzS94WfWJA/X/ovcSazJ+zO0ajk8Q7/INbkrh9RaH7KxoD -1k0+LttBXCJ1dsubfga0f41LdCTOVdLh3THIALppVoMfcbKGEL1khKxnX4RY -JHGUHqtam8kAyaStUWnEt02qn5SOM0Am/7haIbHPofjrO6cYEGs+9esdsfNJ -9+PlsySez82DH4hPnzfVgt9kf1Y3/egiPuQmI1yxwAD/5Lot/cRGvgvf9diY -ICT2OHSYeEdQe/O7JUzw/yPFzyTeEp6Trc/NBBsl7TdjxBviAoKreJgQ+gxv -jxMLpdnY717BhOS7oVcXny97rq5fs4oJ4XzXoxjE86/4JAyFmRDl5/JpiHj8 -3eB87Vom+B7U29ZH/PV9KWW0jgkyvI2lnYv56ogqqpdgwow543wTcV2fU8Re -aSacHbi2vWIxf6O7LzXKMUEn7vSWgsX8Ta8z3beRCbud3YxSFvP3Z0ahaTOJ -51JYQPhi/rg/LDVTYYJx4/0h38X8rUof+KDOBPeaw44Oi/kTu4b7tZigVYUC -5ov5k7WK/6jNhBy9jF6Nxfxt3extDkygd4x9EFvM3/alh1v1mZC/zbn/L1l/ -I4MeVQtDJrRv5l7dv7i/zIpWtu1lQsvTaGdc3F+n7RvazZmgbrAq2Gtxf13Y -+dTqEImn7LWxxeL+8hC+1XmExGP/cdPGxf0UXKNDnWSCqUC1dTvZvyX5svlf -nMj8/pU1ESBuWrlqX4YLyd+3riCKnI9e54Uhd3eyXrw54fHE7JvbxFb4knyH -CfCKExtn+t/WCyHx2ppHc5Hzd2yZk9Ty+0yoOHBb8y05v04OR8poEUxwGX2Y -dpE4XHbLtFscyXdlzNf35Lx3PflyMj2TCf2XawYukvpAZ6v77ZpLxkuY9/IT -z9kWROm+YIKCnWvaC1JPxMXvNHa9ZkJ9I+0+i9Sbc3EaGjz15H1uv3qNFutT -xIPll4aZQF2I0IkyIfOf8s3QZpD8N5l9FCRee/C8wbJxsn7fNTc+IPVReyV4 -P5llgtP+JVqBpH7eDGENtnGyQHn1v3kWhjTkDzAq1ZJmwcy8x9jNXTSU+qZ6 -ZKk8C+IThr/3knqtri8+1bqRBUI2eqVaxEfYZuUvqLKAspJKGSL1PdE3JTJh -Fwu0vD88l9Gh4WaPP44cp1igHvQwV1ODvK+l+dA9OxbUN0kcu7aNhqs3JeuK -OpDv07iF3pH75W+/voCqMwuSdwTm6ZP7p8U0+M1pXxboyBre3KJMQ3cZwWXv -YllgrvLKL0OR1Fu/we+m8SzIOfp0tlmBhic+F32hklhgM2N2ZVqe1Ktw67zJ -pyxoOXsqQUOOhmsWEg5LFrHA+kaTa7oU2Q9tCul+rSzIT+uTlSX35fMtc/d4 -O1jg63Bvn5IoDVPvvPeKoch8ox2uqojQMBScTfP6yPebtX5vI/ftieyX071j -LIh98dpRntzXbLd09XV5xkh/FsSfQ+5zI9VDfQv6Y9DkEVOr/YvC4IM1I56G -YyAjLrOZh/QP9S4ak5N7x8D0fv7zzlkKjfPWsg+Zj0E1rxeH4zTpl5R6pD6c -HAM243CGN+lPTOXOnUvwGQOl8kfZuoMUWoh6je0sGIOcg5ccjzdTGLF9dPZV -0RgEbFfnHWuisM362F+VN2PAqnhY7fuewkMxOivlKsZghj7sH11PoZUQu8qK -5jFwZzWvf1lJ+ssVd917R8egXf0ILbyYwhMc8fPXN4yDU0V+X088haWekpW7 -Zcdh8MqqheWPKRRlpgXzKI6Du4AApf2IQqotVzhaeRxOhTxpDn9IvpeOW3Nh -nNxX1S8l7pH4jb/ZfTkxDk1RBaat1ynUvrexSTNuHIwLVqreOkn6S9E38ZMC -E2CsP9O8nvS7x+5KF3isnoCc9t9Cw0IUxs+F1c2JTABr2vJ0DumPJb+cmeLc -MAHrpD/xqZF+Wi6ez1hk6wS0ZN3LVVhKoar4qeld+yag+8k4T9FUF5pIcZtE -3poA/5tRvQebutB3o9XPbTMT8Lpru8Uj7y7snvjUyPVrAvIvB1pleJJ+vcg8 -sWt+AqztRZYUXe7CvxJPU0rZJyH22DebuotdWJ71ZO/JfybBv9X/n5bTXQgV -sTFP1k4Cf8dSbde9XajHDFJT0JyEyQNNT6ZWd6H+LrsL29zJ83799PGsToz7 -98ur7cOTkKFfIclX34EuYqYU++Hv4OJzZTijoR1FXOXf7vr4HSr0OVsrHrSh -sEGlzwW9KdBLrq1JMf2Eg6/rFvjeTkFyvZrpt9oWVHldY+GmNg38d8bmGwya -8ZParcB3qdOQf2SuupzViIaW2T7B62fAPzOaW4GtDpdPRJhuvz8DFcafuKp4 -q9BC6PJU7t8ZOOW4Qaq9tgwde8qOxV2ZhVPRRte4xAqxU6o+yYU+C2wUfax4 -KA13jld5nmfMgr/J296k0DRMe4Nmp1jk+ZlI10tqaehq/mr+wCRx/z3HSv9U -5Lv+9MjWX8QldbKaoim4ixawcpz7B7C1H1HPC0/EnPu7/C7IE1/xupcuFYmC -x3cetlMk5nb9d7ljBPrIbd98fBPx5a2Wu148QKMy5S8mW4mNJDYth3AcYEho -btQkPnMh0yH/LmrUuAw+gx/wn+CmHRftAlBl7tv9Wf0fEPq1Jmlo4gauNfw7 -Tjck43fXLrRwXEe/VXM1/cbE7lt3nxa8isPds/GUCXF8j6KEtBe+vDxmUr+f -mE30pbSeGyr8x5XMc4B4hv37p25X/B+GjdEN "]], - LineBox[{{1.3951900994092843`, -0.0030227120123997864`}, { - 1.3966364780269886`, -0.0008490214707128629}, { - 1.3971169770843577`, -0.00012532368718076725`}, {1.3980779751990955`, - 0.0013244389038655768`}, {1.3985584742564645`, - 0.0020505049231616113`}, {1.3990389733138335`, - 0.0027773615678219343`}, {1.3995194723712023`, 0.00350500944622123}, { - 1.3999999714285714`, 0.0042334491677360475`}}]}, - Annotation[#, "Charting`Private`Tag$10832#3"]& ], - TagBox[ - {RGBColor[0.922526, 0.385626, 0.209179], AbsoluteThickness[1.6], Opacity[ - 1.], LineBox[CompressedData[" -1:eJwV1nk4Vd/XAHAk9ZU0oJBkFklCIrSQIlQSKVRKpEgICSWlkDENJPM8piRF -WFKZmozdcyslyZ0N0WT6vfv96z6fZ5+zz9pr3732kj92xs5dgI+Pz4Sfj+// -f+85bY8rqji8NWnnvT9TjZ/r9V8qdeorGcHWt6B7oCulsaKsL2Ba0QYq+r7E -czufNGaFvDHhSTvA4et2yz53dDR6rtFs/azoAucOng8s6aQ1Sg62qnjcOQqr -hvPdJtIGG8Oq/7L6pd3BaE7tWUIHu3HgmlqFQ5YnnPro9yrJ8Gfj9oNOvm8V -veGP51SvXeefxtJ1sTrbi8+ATXLSXIziXOOC/x0KPXzHDz741a6+myaABp6/ -XdIOnAWmwo+reZeF0KsrYesH6UDIuujy7Fu7MGZuUZVb3h8ElkJ68/f6LsHO -POTfnRUMPVsWbf6zZTnqBo29fKUYBmcSmm32vV+JHl+jC/mHL0DU2HP5/gFp -TLWUjzYuDocNns95v+Vl8eLikgKnOxFwqfvkvJN3FXClWeCd4HOX4fbgUS+L -CCV8EGQadefAFbBmNO5lXVLBwa90z27pqxCgGnzOv1UdQ8ULD45NX4Vt6nv4 -HEXXo/hOfyvR/msQ3R2T9s97A+6oEtawyoqG+COc4Fp9bSyLNBx9rhgHsrcT -9CNfb0bz2gUDXwXj4ULBJSlqlwF+4fV0zv6Ih9q35VqCn7fgUkfvKoPiRDgo -y1r0bdVWrLv1ru7AnRsgfUFoWdstMxSq1H3EEEoGeQtbK/Or23Bfe1pZ0Llk -EL+Ut7M41By5sx7ptw7chPiQVHXlkB0oe+J/Fzqlb8N+GUwfaLDCUxHuQUdi -b4OqwPsdMf3W+OTea5+R6dvgFZ56XnzeLrTtTDki0n8HNP0K77w/sgcvG2iZ -WmalwrG7Dz9+22CHjEVHBZsU08FX7Ix0cL0jCnVsxJaMdHCS3dV+OPYAqkQL -hLxdmQH5Fy4KPTp0EI8L5o9+XJQJzLt7WnJEnHFwZvjjr4ksYCZfWx518zCO -BtVQEoM5YM5mRZ5RcMO5Plk9qTW5sGLRzN+1BW4ouinqpoxLLgjDpqGbasdR -c8Jxt+KHXMhtZ+SHbXZH7zP/Xmh15IHZ0GLdxBMnkH3CqNK6qgAM7vetd5X0 -xqmWfJE9owXAMyvzEqjxRmGVxafsNArhhqj10z0Op1FtqF/pYGEhfLxxG1mp -PujpGp7mkVYEhaLy8QvSfHH4QPPViMsl4CxsvOmzy1n8ttPS5YldBUSOytUn -hp9H6RNLiytjKyAvfqN89rfzaB9JTRS9rABDCxPKzTwE2xo9Y1P17oOASb6j -oEgo3teJqTsvXQke4vk78/LCsEHUXUTW7wFYq6udVZ4Mx5i/BacG9Kvg2Qa9 -UYu4K6iy4HqSi0MVVG9OVLZuu4LNEj41lF8VVNx1Wp8vGIkz2noCXaVV4Kzw -bL3jxUj0Pd1y97nMI9j09JBdQ+BV3D843JbLVw1xgdwe74AoVHijqure9hik -yg1jPR/Eouzsf2Z2Q4/B8Py9oIhfsSityXUBvhrwELKqY26JQ7GkB8lS+jXg -otbZnNMSh4L2BnNvi2pgfsRS2bpv8Tj8yapPL+oJpL0K+KMtloSlnNNXFu6o -hdjdz5c5BySjzqLqr2Uv6kHMJiehJj8FlX5ocX5/qwdeU2mCYW8KimPFb1O+ -BnBQvurOmpeKv/2LFlNGDRDS4W6AbqlY9zHNULCmAfazJH7YKt9Fs9KIFJfi -RsiQ2H3vT2UaluQXFV3b0QQtx7YElg9mYO22wCOb1zVDEzY0gEsuBh0Xecx4 -9ApEhHpkBQJKsCPv7+r90e2Qkmrg/3D+Q1yyoUTlu9VbiGHTfi7OeIzB70qv -H/HohMFzG2TF1Oqw+lZZrMaubshkPK9etgcRbEr/ly3WC043lH7ryTdjs1rs -C6WuPjCOyo2eS32JN+6suBFVTYNA27vPja1b8f5kVteNc3TwOO6UEfW2HVcO -9qwKdf0EVlrS1IYLbzD4F+vxWZN+mDoYHW+x7D2qTs02i/F9BdU7S69LPOrE -ZRZfvNv9B6A5PuJjN6sLa2LqH7o4fINLpj2HbGe7kV/cN/Sr1SC8QVptgEQv -Wj4U57EMv0O929PhaLE+1LPQl2yTGSL1t577ldOHHUob224v/QGT833ifzR8 -wPnTxRs3T/4Am7XF3ZYnaViaOdL36M8PSC0/4PHEi4a7zXRDtKZ/QLnRtgAl -HxqmxpAlCwzDx6qI2X/+NNSQ/LBPZukwHKe11yeH0dBu07wgfo1h6D2oUhyS -SMPsM4fqXrsNQ73ZUiGFahoaDS3b5tozDMceskYa/tKQr/9UwVraMNz5xxqZ -nKLhi74XC8Y/DoN0Svhi9VkaWrUGvokYHAaNL3/yE/gpPFBKd8gbHwZ9UwEd -U2EKz/rmeA4vYQDXeEeo9SoKS2e0ErxtGGAy6G/+05BCn18xY7q2DEh/w/Lg -GlO4cWTQbnYfA4p1nqYPAYVPv95ameDMAN3/+Ezfb6OwpflvduUpBjSJtByL -t6ZwMLrp0c9oBkQzVzSVO1EoJWFLD35FnlduSA48R2HTf4nX+doZ0Pvb6bvY -eQo95t4aRr9hwHHG2wsPQiisYlhnpvQwQKNyXeLQBQqt6izcar4xQGRvmox+ -JIUhR4A3McuATp5dSVwShZ9KNPnP6DHhqeCndlYRhZczT1f9NmDC5+wVlG0J -hWtvlrtdNGaCbqTm2ppSCgPC1FvizZlwSW02LrSCQpE9KrHldkwYaHE7Nl5F -odHkagm2DxMOcPUWxDRQmLF1sZp7ERPSnZeneHRReCz6SKp+GROod26197sp -VOl+uECkkgkmocMtkz0UPnDfP1xVw4Qmb5fckA8UNsdl5fG1MGFpxJp9pz9R -yPy4UTZ9iAka/tsOjQxRWK4UGX+GyYTILt5SiWEK/Xw+zJhxmWAUYFdmwKBw -ij/0E2uCCS+1dG6GsShcrPYydfM8FnDP16qyeBRqn9sv1iPPgrEfJaqHflP4 -u6n4cqEyGbfPUDn2h8JnwtPj59VY4EIXWOj+l0LzzKxOuY0sMPKV9Ds+RaHj -K2a8jwkLApap9O2cozBMLHSh8BEWmJsqld2fT8fWyqxZk3QWpGp96fiynI5U -w41YgWwW2PTnS6WL0ZH5+orUyzwyfq9uv6M4HYWZJzZZlLEgO4WT1ipBRxs5 -rdO76ljgiyu2J0rSsTOp6fNBisRnUT1St5qOA5lVp1Z9ZoGMyb0FjrJ0HC/P -//v5K7HT9kXjxMvbo8VdGSywzZ/fLStHRwf+vTYev4m9tR2PKtDxo9+3Z/7i -bFiYkLv0sgod2eE9O3Ul2fD0unz9DPF0/Cvar1Vs0FjEvydQlY4ypSUTwYps -eHlNVN11LR2PDPqtC9dmQ/mHwkoZdTr6jrnVmuixQcvMO+ka8aU5BwuBLWx4 -ozm2f4Q4V3qL21VTNhy/7n7ryTo6DtkJ3Iu1ZcNa8dOvtdbTcdJ1cu0uezYU -68X1xhDPPzNcI3qAzDfS9XyAWCW2o/vGETZckltoGa1JR7279a773NggE3Fr -gCK2KLo/In6CDWFm4S6qG+jo+SJZONWHDdknS2YbiIO7IlMP+rOBO3FVQUiL -jjFfg1RWBbFhUnVazYa4dNrJLPMCGwauc752EfN0FEPy49gg0pM0+GIjybfx -9H7lJDZU60r7/SHutejRKbzJhrGMfapq2nSsc77CK0oj6/MyFI4irnB37lib -yYalcel6j4izz+gUleSQfK8Xu95PfO3Kd9eyYjbU3y8q0NCh4/n4Z8Ya5ST/ -+udP7yX2TrkpXVHJhqTbrc4BxK45Xn/WP2JD6ndv39vE+8q29d6vIfk/vK2s -mnjH41UPN9SReF2VRbqJt+BE/IMGNtgc5iXziOV68iyqXpL3G+8uW6NLR7H+ -UCWdNhJvts5/m4iFGPv4q1+T712UVdlJPDW27ovuexLvsU2ezsS8qXnPHnez -gc9v33sv4gHBzyl6H9iQP7LPOYS4V7Q64AmdDZaGy0WiiFsl4/bq97MhuPfk -txvEdQrHNWsH2BBpr/cpjbhCw2jRliE2DPnv+5dDnK0nzqxjsOHvvAzDIuJb -JtyXhhySv38jOaXEUVYvc+pH2ODqqqxRThxin37R+CcbZo7LfCwj9jkc4Nz4 -i+z37gdlJcRHPW304R95/3JDdgGxvb+SRNMMWd8ludosYouwmXETPg7YMh9P -phBvudb77vk8DnDPOu1LINZMKi8zW8CBoSl272Vi+bTI6BfCHFDyMz8fSCye -7+JuLsoBE8Y2Ew/ihfd1zV4tI77eouZAPP1EZM0OCQ48yCvZZEY88nxoukWS -A0l3O9zWE397XU9ZyHDAfkSoesX/56/v1uO2NRyoNzFSmSP71frVO3mnIgeY -1pZ134nrWOZnOlQ4YM5Y6NtKXDEhY2OtzoE4IReLEuLs2cm1b9ZzoLh2jUkM -cdSygsG3uhzoLPK7vY04ZNUF3K3PgcjE+vHVxD7KDunvDTmgf3zc5zf5P9ob -zN/fZUbmO3bzdQ6xxbZ+bbsdHBCXaiwNIN6y6/GSnp0ccP2wq3Q7sdxR9/Ze -Ww6IVEkKD5LzIOZlXOhgzwFfo+WnKoiFAiWufHDkQEABjxVEzIt+ZUQd5sBT -mTZLQeK6B8oPPnlzwIh3JXwhOW9vliyzLvblgM3xRFEk5/WLz8yPgACSP9eW -E2eJ+df3rBINI/uRIxHcR867ZcmlKJNYMt9talE4qQ9OC70VFidyYO2FLwxF -Yu8Tjg30ZA5c2tAV16JBxyRlzQn/NLL+owNa84lpOZ8OF5QQS3n0nyD1icnX -+s+vgnzf78bMlBr5/x+purX1IQdu6QZ8jyWWlY3poD3lgJxo24IiUv880vT0 -hNtI/HExhc2kXk4m31h8ZpgDb56Prkok9VXoZ1ixIZu8f3dJwZw8HSX3em5b -OELycT9lwovYcAmcz/lF9v/J3gEg9flyLHeoR5ALcevjJ9+Q+r400qJeX5H4 -xm1WKKn/Ct+1HeercsHl1qunrSvpqGsm+7NLnQsBMdrWy4gd+X6pemlzQXCH -cW0GuT8yw3JvZphyIfLC2Zp75P5ZHzh7SsCVC9wMlNQQIfN1vrNPcOPCwszx -sGOL6LhiXfZW6RNcKM/dzr0jTMe5AbPl2j5c8M3gcf4tJPePTXTt0TAu6d+C -vYvJ/RagJLbweSoXdBVyXIrI/VfXs7YgvIvEc7Fyj8Yohfc1pxIW9XFBJiuK -qThCYV7M6+AUigvHky7FSJH7Nw58bCq/cqFNMfT7HJvCQ2WPJr7wuGA+q/jr -IbnP+a5sNdsqzIP001Jhtf0UWmjbf50x48HLA3yRuzoojN77ihG0gwdJR0LP -iLRT2OarNza2kwcy/XoB7a0UWlZK8v+w5cFMmHK78SvSD2n0K7w9zAPxiG+Z -S5ootFHx8MgI4YG3jiTb8TGFdtLBPOMq8vzS8qshmRQmG7B+PXnMg2DWr6CZ -dAp7DjjNbazlAfefY3jYPQrtU4yWqDSR+JqV+oJSKXQQ598o+o4HkvzKN+2T -Sf8oej3gC4sHzCEZ6+qrZH0C6dMX5UeACokwFfSisD5IrtlceQTse1XXKp6k -UJqTHy2sNgJhb8ffwAkKqZ4KiTtaI2C7qdTW3418rwA3VMAIDC14tbXemcRv -+d3t0yEyLlpZ+J30j4YJ6m82p40As9tS+5I66R+la9PHlo+CybX4H9wBGjpd -V6wKXDEKche+0/O/0DB9Kr51SmoU9KO4PU6faSj36dhPQflRKF4xSjXRaKiS -LmIptWEUqBf3lAPf0VBb1nXC1HoU6vXYTRefkX5ZYYHVzSujkPQstV7iFg3D -1B3+bJocBcsDuTRboOHn0e4Oob+jsHSXxsrdRqQff2ybSZseBd9Fivw7DWg4 -t6Ywt55/DP7WafVu1qFhY2nOzsP/jUE2vAz8p0JDaEpNyZEcA0uVskwRURqa -cK7prN1MXH/L0v/jBzQzdfPaFDAGbYmaigbeHzDt5KcnBsNjEG10f3DH1T70 -XWVD8e8fh6TgeoFFV3pRyk/1men7cXhwYWha16IHJbY1h3iZ/AT9+aWjONeF -Q09bZ0Se/QTKsWn0S2Anbnz6ys5fZwKS8sMfMNLfYrfOlavP8yaAL5zvb6dZ -B+7YVxYSvXoSbDmaxuP2Lbh4NNnGIHESxrYcsSo72ox24md/VsxNgknl1our -QurxVH+DU9q5XyDXdPL4uf4q/KDQluXL/AV8Dpvk8u/kovHIiyBPNnG6i0vw -1lzMr8VdrtxfcCnierbucA762T6Z3jNGxrPUtd035aDIxULHDX+Jc7uVbAqy -0JQeuWRkwW/gW1zKZ7EsDcsTTcO9VInv68y2x8SjmLPxfjc14p/VNfyr4zBE -xWC98zriwcpiWuV1tGjQ+mS1gTjd4s+h3igcZK/ZrL6ZWGD8arfkZZQa12zt -MSYeWimkFBCC/wc3z3wh - "]], - LineBox[{{1.390987402564481, -0.005149503881783701}, { - 1.390987402564481, -0.005149503881783701}}], - LineBox[{{1.3917938960629672`, -0.003965019486781074}, { - 1.3932729846254062`, -0.0017873231299692094`}, { - 1.394233982740144, -0.00036868465312611676`}, {1.3961559789696198`, - 0.00247744393746796}, {1.3966364780269886`, 0.003190822930065451}, { - 1.3971169770843577`, 0.003904941607800083}, {1.3980779751990955`, - 0.005335399918866557}, {1.3985584742564645`, 0.006051740504116054}, { - 1.3990389733138335`, 0.006768822678334452}, {1.3995194723712023`, - 0.00748664691929779}, {1.3999999714285714`, 0.008205213705496206}}]}, - Annotation[#, "Charting`Private`Tag$10832#4"]& ], {}}, {}}, + LineBox[{{1.3758626357477213`, -0.006638484048995252}, { + 1.3846240015927647`, 0.005937250364499214}, {1.3851045006501337`, + 0.006633239943825586}, {1.3855849997075027`, 0.007329886072878544}, { + 1.3865459978222405`, 0.008725148891041812}, {1.3884679940517164`, + 0.011523563159006933`}, {1.3923119865106681`, 0.01715199757376773}, { + 1.3927924855680371`, 0.017858519415706375`}, {1.3932729846254062`, + 0.018565701481191077`}, {1.394233982740144, 0.019982047209838427`}, { + 1.3961559789696198`, 0.02282267155971951}, {1.3966364780269886`, + 0.023534481690256293`}, {1.3971169770843577`, 0.024246953904168755`}, { + 1.3980779751990955`, 0.02567388551605987}, {1.3985584742564645`, + 0.026388345381517908`}, {1.3990389733138335`, 0.027103468265296193`}, { + 1.3995194723712023`, 0.027819254401620697`}, {1.3999999714285714`, + 0.02853570402490302}}]}, + Annotation[#, "Charting`Private`Tag$13602#2"]& ], {}}, {}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -6137,7 +7477,7 @@ MSYeWimkFBCC/wc3z3wh Part[#, 1]], (Identity[#]& )[ Part[#, 2]]}& )}}, - PlotRange->{{0, 1.4}, {-0.3960529637976087, 0.03931779688676951}}, + PlotRange->{{0, 1.4}, {-0.39144597939344705`, 0.03931779688676951}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], @@ -6147,8 +7487,9 @@ MSYeWimkFBCC/wc3z3wh Ticks->{Automatic, Automatic}]], "Output", CellChangeTimes->{{3.843117407966116*^9, 3.843117451050243*^9}, { 3.8431176786646423`*^9, 3.843117682458384*^9}, {3.8431179221287947`*^9, - 3.843117966720529*^9}, 3.8431181756067553`*^9, 3.843625393694675*^9}, - CellLabel->"Out[56]=",ExpressionUUID->"3f9ba143-1b79-495a-b4d5-43672ba411cb"] + 3.843117966720529*^9}, 3.8431181756067553`*^9, 3.843625393694675*^9, + 3.8437138221927757`*^9, 3.843728700837434*^9}, + CellLabel->"Out[71]=",ExpressionUUID->"35add101-fc22-4757-b5c1-91c88545a137"] }, Open ]], Cell[CellGroupData[{ @@ -6249,7 +7590,7 @@ Cell[BoxData[ 3.843119114566826*^9}, {3.843126846579843*^9, 3.843126903323943*^9}, { 3.843196901223112*^9, 3.843197008512505*^9}, {3.843290877971253*^9, 3.843290878418971*^9}}, - CellLabel->"In[87]:=",ExpressionUUID->"c7679221-477e-44ed-ad24-f20a36e0f29d"], + CellLabel->"In[72]:=",ExpressionUUID->"c7679221-477e-44ed-ad24-f20a36e0f29d"], Cell[BoxData[ GraphicsBox[{{{}, {}, @@ -6455,7 +7796,7 @@ ouhP4yRTk6rjX2hwu/N5ho4pujhJd1igtIMGd1K238MWrU/0vynUhI4Wj8GY 9eUwG13ASVfNsrxY6fDWsq77OSH/4aRTEhSPp3S4zJewEMW4Kzgp+abKyi16 fEguxaVJKwAnkZ4zToYy4UOfx2fmn0cTbu+iEuvW/4/960s= "]]}, - Annotation[#, "Charting`Private`Tag$25780#1"]& ], + Annotation[#, "Charting`Private`Tag$13651#1"]& ], TagBox[ {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" @@ -6656,7 +7997,7 @@ mKjxgdbSyELMECeJfkB7FajxvhSHW3EHjHFSSXlp4W1q/O1wz+EbB0xwEu/D IY3P1HhuqzwmvX4OJzWP7dCgpsG1Rrj2PHplgZMULVoCHtHg3PRNtpPNV4j5 4pOyZWjx7/F2yyGKTjgJq4iv56THDeSIx+6rVJxEEpiot2PF/weq6bOX "]]}, - Annotation[#, "Charting`Private`Tag$25780#2"]& ], + Annotation[#, "Charting`Private`Tag$13651#2"]& ], TagBox[ {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" @@ -6861,7 +8202,7 @@ fQon7Xt2YP9+epx1arvdrxgTnHQwmfmBBT0u19tyXU/9DE4qcXF2DaPHKxrV nK3xfGGHk7gghHadAZeMXNrDMHAHJ/nsNBP8zITv1FZ1vzKWhpNItm/C7rLj /wNzEhJw "]]}, - Annotation[#, "Charting`Private`Tag$25780#3"]& ]}, {}}, + Annotation[#, "Charting`Private`Tag$13651#3"]& ]}, {}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -6891,8 +8232,9 @@ nK3xfGGHk7gghHadAZeMXNrDMHAHJ/nsNBP8zITv1FZ1vzKWhpNItm/C7rLj 3.8431189062596397`*^9}, 3.843118970516222*^9, {3.84311900749964*^9, 3.843119049520691*^9}, {3.843119111532508*^9, 3.8431191160183973`*^9}, { 3.843126850223662*^9, 3.8431269035835657`*^9}, {3.843196950210411*^9, - 3.84319700895439*^9}, 3.8432908790983887`*^9}, - CellLabel->"Out[87]=",ExpressionUUID->"4874c76c-d606-4e7c-8adc-f59fab5f2007"] + 3.84319700895439*^9}, 3.8432908790983887`*^9, 3.843713831158618*^9, + 3.8437287066693497`*^9}, + CellLabel->"Out[72]=",ExpressionUUID->"4b4be68c-760f-476d-96a3-fcd70d8ef33c"] }, Open ]], Cell[CellGroupData[{ @@ -6932,30 +8274,31 @@ Cell[BoxData[ RowBox[{"H", "[", "#", "]"}], "]"}]}], "/.", RowBox[{"S", "[", "#", "]"}]}], "&"}], "/@", RowBox[{"Range", "[", - RowBox[{"7", ",", "11"}], "]"}]}], "]"}], ",", + RowBox[{"7", ",", "9"}], "]"}]}], "]"}], ",", RowBox[{"{", RowBox[{"\[Theta]", ",", "1", ",", "3"}], "}"}], ",", RowBox[{"AspectRatio", "\[Rule]", RowBox[{"1", "/", "GoldenRatio"}]}]}], "]"}]], "Input", CellChangeTimes->{{3.843118040540215*^9, 3.843118067499398*^9}, { - 3.843118184385252*^9, 3.8431182063496733`*^9}, {3.843118356128786*^9, - 3.843118442547071*^9}, {3.843118491923772*^9, 3.8431184940349827`*^9}, { - 3.843118575469301*^9, 3.843118599702072*^9}, {3.8431186609987707`*^9, - 3.8431186966078568`*^9}, {3.8431187901689463`*^9, 3.843118905018832*^9}, { - 3.843118951492248*^9, 3.843119048301594*^9}, {3.843119108271299*^9, - 3.843119114566826*^9}, {3.8431193720355883`*^9, 3.84311947729418*^9}, { - 3.8431200232557573`*^9, 3.843120023462968*^9}, {3.8431238022053833`*^9, - 3.843123804836687*^9}, {3.843126912116753*^9, 3.843126912380032*^9}, { - 3.843126984229598*^9, 3.84312700686185*^9}, {3.843290907355577*^9, - 3.843290984660825*^9}, {3.8436270130296*^9, 3.843627020046433*^9}}, - CellLabel->"In[60]:=",ExpressionUUID->"3774b0e8-ed8f-4304-be73-f4ed265155e9"], + 3.843118184385252*^9, 3.8431182063496733`*^9}, {3.843118356128786*^9, + 3.843118442547071*^9}, {3.843118491923772*^9, 3.8431184940349827`*^9}, { + 3.843118575469301*^9, 3.843118599702072*^9}, {3.8431186609987707`*^9, + 3.8431186966078568`*^9}, {3.8431187901689463`*^9, 3.843118905018832*^9}, { + 3.843118951492248*^9, 3.843119048301594*^9}, {3.843119108271299*^9, + 3.843119114566826*^9}, {3.8431193720355883`*^9, 3.84311947729418*^9}, { + 3.8431200232557573`*^9, 3.843120023462968*^9}, {3.8431238022053833`*^9, + 3.843123804836687*^9}, {3.843126912116753*^9, 3.843126912380032*^9}, { + 3.843126984229598*^9, 3.84312700686185*^9}, {3.843290907355577*^9, + 3.843290984660825*^9}, {3.8436270130296*^9, 3.843627020046433*^9}, + 3.843728711763672*^9}, + CellLabel->"In[73]:=",ExpressionUUID->"3774b0e8-ed8f-4304-be73-f4ed265155e9"], Cell[BoxData[ GraphicsBox[{{{}, {}, TagBox[ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" -1:eJwB8QIO/SFib1JlAgAAAC4AAAACAAAAj557XCLef0HjcZFJa0mewVoW9BdP +1:eJwBwQI+/SFib1JlAgAAACsAAAACAAAAj557XCLef0HjcZFJa0mewVoW9BdP n/1ArxSoDQdeE8FK3482ZyHgQFsYG5+gWfPABbiOn6wgzkAmKlDRSy/hwEqp potOi8FAzNpWV8VQ08Clo1byrw+3QDGrRNCas8jA96DWAcBcsECu3fflaiPB wFs8F370B6NAbr5Hddo+s8CoieYPtXqeQL+pkdaAYq7AymKg1Hb7mEDUNXmQ @@ -6970,10 +8313,9 @@ QPSbenkSvS7AKD8GMIiQEUAuhsY1P00ZwOjEM8adWQJAqEMq+dryCcDrPP1s TyH0P20AlAe4F/y/q+799lwO6D9p6zFvsKTwv8MBptfEuts/zJJks9AO47/n 7sLeRvTOP0ZBVgtGLNW/RSBVIrzQvz96t/MjW7DFv0BpJEOMib0/aitj5lEi xL+uAzbrwTS9P/2giuly6MO/AES/6dTguj/Hmvbit1HCvwrmilBd07k/pth+ -SM2Zwb/k3eicXXOyPxltnBJqIrm/63L/2DIjsj9LJOK5+7S4v1KOMkBWxrA/ -HJ3GIcbYtr9LSr+zLOKiP7vgKeeDrKm/vROkmFhfTT+Q0C98L/FTvyZ/fEE= - - "]], LineBox[CompressedData[" +SM2Zwb9LSr+zLOKiP7vgKeeDrKm/vROkmFhfTT+Q0C98L/FTvxlzYkY= + "]], + LineBox[CompressedData[" 1:eJwB0QMu/CFib1JlAgAAADwAAAACAAAA+QZryAqNfb8W115etQ6EPxcZEOpG CrC/UqdiuFq6tT+6T+hHptS4v/xvtXQcy8A/wTsf+083v79Op2dEeBbFPzSo G4LFZcK/JGcJ4m3UyD8PVWkVs63Ev5vNNYfN4cs/6e1vSrOJxr9WDkEXX13O @@ -6998,7 +8340,7 @@ nlX6PwcEfDPqgPm/gyfneMpi+j88QTZ/4on5v9S2REdiafo/TsDVQt6S+b++ r0Fv+2/6PzE4wn/dm/m/jCcI8ZV2+j/o8GM34KT5v+I1wswxffo/+9YDiw== "]]}, - Annotation[#, "Charting`Private`Tag$11690#1"]& ], + Annotation[#, "Charting`Private`Tag$13688#1"]& ], TagBox[ {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" @@ -7018,54 +8360,53 @@ nfjnP7sAWsZtlfC/4qbbzWby2T+KHr2XM9Lhv8fv2KPkMck/JMgBYFA20b8l i/15Lq6zP+hFKGD4yrq/O7SzA0s/fD9gefVvrS6Dv+3+s66MVFw/fGsgkjM8 Y7+KbD4d "]], LineBox[CompressedData[" -1:eJwV1Hs0lNsbB3AUaeRUJIRRcul3nKbQBcV+ydF0VLod+SmOci81OJH7PeSS -RqgRIvdJ+eUexn4jLyUKnfLrdCFFBh23mVzCeeaPd+31WXvttd/17Of7bDrL -OuYiISYmlgCfaL323wZBTSO/UYwuJ+6wZdI0t2a+wbLPCw88Jobk3vqhwS8f -Xtspp2Cf4G1FMxG30JGCrclDbjewROLnsTnLDOQq3ST210wa7qeLbYkms1DQ -eXQ93DMdG6R/42naZ6Nfs9s0DGxvY/cSjfdeUXfRAu/s3zJu5bidPlb6n5Fa -tE3+g4Sdfw0OyPmiukRixK69vcJetx4by6kKVR2aULzU8TMPgnj4+QmNXQGj -zWhrQFVGrjbGZlZDxokyLai1xsKqTJnEvFv/aKeQLUg9j/C+5EbiWYVeS62f -KcSJOtbgnUbi5dPGZ73OUajq5Myz92DjT7rlP52nUKdFsg4zncQ1a6PfccFx -BWdeKN4k8V7nxdZ3nhTK90yZu3uLxM4rLRg6LApNnx2s97hN4joN/ecn/6TQ -hm+aBvXgUkZ21ivwozvyNJlMEs+smnSxvkQhj8qFtwXgpdav/aa+FHpZvFOz -LYvEgk1OlZKXKaRibr6ZvEPiZqPeTTsCKWRY6C01A55efKLOBt9zeXmSkQP3 -s5lbR8EXaYeZ6eD9A2RwZhCFlkf+8D2eS2LPgnPrBoMpFCPF6gsFy+ipVBuE -UEguRAsXg+vXn/EJA1u+Zbz7Dp4M56O1oRT6MwIXRd0lcU/+52P0MAoVjfu0 -3gX3DTHHToMNH/McSbBMl0spB/zoVbzEd3Bo++0s2XAKfXA2XbUmj8THsEK3 -BbhqeoStA3bXpm0PBBuFX5Y/BtYxFLvwEbzOk7XGBTzRzDy8OgLquyctwU90 -PpFjbwJ2KLl4JQY8uiCb6QG+khS6mAqOsWmkpYL1+iy+54INSzC3ASxVb+p1 -H+zSvzlkAMw4NehRA15NzUZKR1JoQqPiMwZzFg7ydMFukvtcWsHd+e0D1mCp -HFetDvCFNssJb/DteK5WF1iDIzXEBldcvunaA7alWVNl4Kpd5V9FTuj943o7 -eKQ6qLQbXJp4yfILuGild/kLsF7kk+Ef4JfOMvPPwA/4kUFyURQ6qkXGPAEv -9nz4oQWO6hKzaQD36i5j7QZHq+zxKAebt6zvsQTHDfSSheCl03t/PgE2Nibs -OeCT2Sm+f4DFnXpM48HndHZUu4OVX4+7BIj+59ruMRYY7ZvqdhXV26VMxRds -fkMvRvQePIJr7g+u5C+G7RXVv0bfKQA8fI9LaoKF561DRfsT/uFMGbDl6mVp -ovOfd7YpjsP7lzkfLPYC99MoRg84wFL7kQeY5lqXVAkO5Ma2OYJvLPTvSAWn -FDq9+R3c1uWr6SPav1QyyATPMe6dPgx28LP4bgQ+ZcIrEgdbRK3buB6cvG6y -rhf69ZW5rLE4OPOJv+ID8PXiT7Z8qG9gxrL7ov7n/h5RXA1+yzYf3Aw2MRl/ -ywH/T/qAxyTkpVMuViEIHCU5dzAenCphXbgbvHwrkVgAeeuT9bTiQP+c890y -fBXy6TmnZrYE/e4mdDq5EvL/fBtLxg7yxpMaSsqH+WC4yGKIg1VUnyqbgsPX -+4YUQj5jZy23e8I80R7vzxkJoNAJkneoMhX6l/Vd0tGfQsRw+ejUdRJXvhiO -noD5kN+soLk1jsRMf0ZWLcybydW9jo7eJKYLzJx7bSgkzDNxT9In8ae+0g8W -Gyi0udJsxWI6xtNX7xzyftQCec2wTYhuxK9j+BWzJi1opKVa20uBh60ym37M -5zxB5r76sgpzddhBMLI2sLUZ7aEN3+Ta1mKpK0VOYW1NyMVkwPNhciUOncm2 -jvB+jHITkr+6W5Th63H9mZL5GBVX7FOfPJqHhX2Mno+z9SjDmb1LMuwSXmG6 -dKjToAbRQnZE+iblIp8mHZuvAw9RZHW8r5VpFZJw7PZzSyxCTR0Lcff0mlFx -6fLO6H+SkaIZP9qQ2YEyjZSUkj+z8VOb+1a8qL/QOYZ6W+LeBzj40J4meuJ7 -1BG5rEbnZh2+e9Fo6lnuABLO/O3OqW7GgSbeseHafBRRWX8jp6gdv1zSP97f -PY5+O9/L7VzZjV8qfrsi2SVAxqzsFwU73uAu66hTHf+fR3ZNRWGpk+9wgtfB -DV624kRx7yE5ZmA/ZtsblHu/kSTijcctVqh/wYZiR9Tt+DRCh3aZGcL/ii+6 -XhsavbCGGGuoSu6RHsPic87hD39bR9D2HOaPL4zj7bsrOxQ5SsT4XgnXIwlT -WEOtap/0AyUipSWR/uvNKRz4vDxeulmJENv/y0fTvCmsZeRfbTimRKzS4Yea -1U9hc+VT5bbmysTRnQbOUSNT+NrrDXU5/ygTetwMrfmD03gwy/xEto0KUbz0 -id0iL8D0wBqN7AsqhE4Fw85howAHd2/TKotWIYIQw/7HLwK80/3A9PIKFWJL -Xbky01KAM5t8lsLXqBJmnDYOPUCAG4PKJVo6VYnw2hn6048C3FerGvt+UJUY -OHvrePWoAPtc2zi/YkmVwDmP3hXPCvCEY0d/yjY1Ykvf0mSWvBBfXbZ9LoKt -RhQe0bFv2y/E0n5cK12uGqGvbe/Ud0KIBaHaY4NNagTrgEr7whkhnhe8P5I2 -rUaY6ta1MIOFODchTjdOlk403uo75XdViPV+ko9N0qYTkQ832JWkC3HJDMUq -QHRCbvOqpv48IZYRTk+8sKUTu8dt09QfCvG/TU6d0A== +1:eJwV1Hs0lNsbB3AUaeRUJIRRiul3nKbQBcV+TY6mo9LtyFEc5RKlBidyyV3I +JY10mULkPikn9zD2G3kpUehXfp0upMjgNC4zuYTfM3+8a6/P2muv/a5nP99n +zQnOQXc5GRmZOPik6+U/6sRV9cJ6GbqKrPP6Mcvsqpk6mx4f3PeYGFB5G4B+ +zWzRM3G4jT2L9N77RN9Fs4IT/yidLMWt9JHi/wxVo42qH+QcA6twUNYX7XkS +I2717UVOhrXYXEVbou3cgBIUDh1/ECLAzw/rbQ0abkQbgipuZRtgbGU7YJ6k +1ISaq6xtSzRJLLj5zSCVbEK6OYTvuZMknlLrttH/mUK86IN1vtdIvHDC/ITP +KQpVHJl89h5s/smw9KfTFGq3TmGwr5O4annMOz44Pu/4C/UbJN7hNtf8zptC +ud6p03dvkthtsTWTwaHQxIn+Wq/bJK7RM35+5C8Krfp3nUktuJiZmfEK/OiO +Kk0pncSTS8bc7c5RyKt89m0eeL75a6+lP4VeFm5Z15JBYvEa13L58xTSYrHW +kndI3GjWvWZzMIVM830VJsETc090ueB77i+PMLPgfi57wzD4LG0f+zp4Vx95 +IT2EQgujfvgfyiaxd96pFf0XKBSrwOkJAysZaVWahFJIJVQfF4JrVx73Cwfb +vGW++w4eixCi5WEU+isSF0TfJXFX7ueD9HAKFYj8mu+CewbYI8fApo8FLiRY +qcO9mAd+9CpB7js4rPV2hnIEhT64WS5ZlkPig1it0xpcMTHEZYA9DWibgsFm +EedVD4IZpjJnPoJXeHOWuYNHG9n7lkZCfbdfSwyQnk/iOVmAnYvOXowFD88q +p3uBLyaHzaWBY+3raWlgox7r79lg0yLMrwMr1Fr63Ae7964N7QMzj/Z7VYGX +UlNRilEUGtUr+4zBvNk9AkPwSfmd7s3gztzWPjuwQpaHfhv4TIvNqC/4dgJf +vwOsx1MY4ILLzt/w6AI70OyoEnDF1tKvUid2/3mlFTxUGVLcCS5OOmfzBVyw +2Lf0Bdgo6sngD/BLN6WZZ+AHwqgQlWgKHdAnY5+A57o+/NAHR3fI2NeBuw0X +cLaBY7S2e5WCWU0ru2zA8X3dZD54/tiOnw+Dzc0JJx74SGaq/59gWdcuywTw +KcbmSk+w5muRe5D0fy5vG+GA0c7xTg9pvd1LtPzBrKtGsdL3EBB8ViC4XDgX +vkNa/ypj1yDw4D0+uQ4sOW0XJt0fDYxgK4Ftli64Jj3/eUuLugjev8RtT6EP +uJdGMbvAQTYGj7zANI+a5HJwMD+uxQV8dbZ3cxo4Nd/1ze/glg7/dX7S/XNF +/WzwNPPesX1g5wDr72bgoxaCAlmwdfSK1SvBKSvGarqhX1+xlM1lwelPAtUf +gK8UfnIQQn2Dby24L+1//u+RhZXgt1xW/1qwhYXoLQ/8t+JurzHIS7tKnFoI +OFp+ek8COE3OLn8beOEGIikP8taj7G3Lg/455b9+8BLk03tax2oe+v2kxPXI +Ysj/840cJUfIm0BhIDkX5oPpHIcpC9bSfqppCY5Y6R+aD/mMm7LZ5A3zxEDU +mzUURKHDpGBveRr0L+e7vEsghYjB0uHxKyQufzEYMwrzIbdRbd2GeBKzA5kZ +1TBvxpZ2u7j4kpgutnLrtqeQJMfCM9mYxJ96ij9Yr6LQ2nKrRXPXMZ64dGev +76MmyOsth8SYevw6Vlg2ZdGEhpoqDXzUBNg2veHHTNYTxPI3VlabrsHO4qHl +wc2NaDtt8AbfoRorXCxwDW9pQO4Wfd4PU8px2GSmXaTvY5SdmPLV07oEX4nv +TZfPxaiwbKfu2IEcLOlhdn2cqkW33Lhb5cPP4UWW83vbTaoQLXRzlH9yNvJr +YNh/7XuIoioT/G0tK5CcS2fAyaQC1NA2G3/PqBEVFi9sj/mWgtSthDGm7DaU +bqahkfKZi5/a37cVRP8XnWLqtiTteIAv7N3eQE96j9qiFlQxbtTgu2fNxp9l +9yHJ5D+evMpGHGzhGxdhIESR5bVXswpa8ct540O9nSL02+lufvviTvxS/d+L +8h1iZM7JfJG3+Q3usIs+2va/GeTYUBCeNvYOJ/rsWeXjIEsUdu9VYQf3Yq6T +SanvG3kiwVxkvUj3CzaV2a/rKKQRDNp5dqjwKz7rcXlg+MwyYqSuIqVLcQTL +TrtFPPxtBUHbvk8omhXhTdvK29R5GoRoh5zH/sRxrKdTsVPxgQaR2pRE//XG +OA5+Xpqg2KhByOz65aNlzjjWNwusNB3RIJYwhGFWteOYpXm01IGlSRzYYuIW +PTSOL79eVZP1TZMw4t/Sn9kzgfszWIcz7bWIwvlP3CZVMaYHV+llntEiGGVM +R+fVYnyhc6N+SYwWEYKYTj9+EeMtnrsnFpZpEetrSjXZNmKc3uA3H7FMm7Di +tfDoQWJcH1Iq19SuTURUT9KffhTjnmrtuPf92kTfiZuHKofF2O/y6plF89oE +znr0rnBKjEdd2npTN+oQ63vmxzJUJfjSgk3TkVwdIn8/w6lllwQrBvBtDfk6 +hLGBk2vPYQkWhxmM9DfoEJzdWq2zxyV4Rvx+/7UJHcLSsKaJfUGCsxPjDeOV +6UT9zZ6jAZck2Ogn1bhkAzoR9XCVY9F1CS6apDh5iE6orF3S0JsjwUqSidEX +DnRim8jhmu5DCf4/RIF8TA== "]]}, - Annotation[#, "Charting`Private`Tag$11690#2"]& ], + Annotation[#, "Charting`Private`Tag$13688#2"]& ], TagBox[ {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], Opacity[ 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" @@ -7119,266 +8460,7 @@ AaHxWmpO3lSsOCmjqCiPgJuV+l3MYCp2y72bx7lFgPA2DsFxVOzpzF32XzwC KgVKynfyqdgKzXvW/3YQwHeJP/iOS8UujNnShYME6IR8UjAQUDFW/HRD/yS5 f6uwLbyViiHBDRNCbhFOig/01L6jYotGvVuNNBbhf0M3GIY= "]]}, - Annotation[#, "Charting`Private`Tag$11690#3"]& ], - TagBox[ - {RGBColor[0.922526, 0.385626, 0.209179], AbsoluteThickness[1.6], Opacity[ - 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" -1:eJwBgQJ+/SFib1JlAgAAACcAAAACAAAA4DDRyKHTeEEtbQWJtTSXwfZwvelm -EfdAyJzbMfSqDcGTNevEqxzZQH1dLYRIoe3Amw+6iflwx0BRVjls2U3awAoc -TIoOSrtAcJV7/NiNzcDraW8Gf+2xQPTgJSfl48LAXjLLcc5tqUCAimbb0TO6 -wFZLNVcEjZ1AVMBpAaRmrcDCndU8Q6eXQNvmSAkuM6fAbyNOnQNhk0C2DuTa -Z8SiwBCTdPY0cItAFAHjoiP/mcCXxBLLc8V/QKbuEysOF43AE/yTJY9OfEDT -yUptzLuJwJqmOv5zYnlAAOeVkTLshsARn+RGasJ0QA4pTr1PhILAAwrAufpI -bUAsnT/fo5N5wISJxp4s1GBAqmDB3udzbMBBflNMW7ZfQGuV7LNot2rAj6dv -Xk/uXUBXAPDV0SFpwCtfs7o/ylpAGC1xomBaZsCPLBEIPNNVQE/pGxZhAGLA -n/lEJNWMTkBMiYnbi7VYwCRUkB3URkFAd9ZY868gS8Dpazh4sLRAQG1noaHk -L0rAxq9nsIMpQEB5XOvoAUtJwNnfNRuyTD5A5D5JZPShR8BtbvGNAMU6QAlA -ofbDwETArrOEm4hMNUBSrWgUPlVAwGgOP/3rnixAGNhjgqCONcCp1dSLSTge -QPOlX8ALLibA+LEOFpu0CEBWBkm8V5sRwBSl/YNdt/g/qHyEmThRAcDG4SpY -c5zpPx+nqgI8vPG/fTGNEmGV3D+8cRAvmqbjv/UApbM0p80/WI8JDgVH1L+I -4ru966a7P3X3WqRd18K/czlpfxFuoj9weEXOdg6pv2VZFtA2c28/PVtS4Olf -db9CCrWblbVGP9hEiaYa1k6/d3Q0+Q== - "]], LineBox[CompressedData[" -1:eJwV13c41d8fAHAh+lH2jLIiQmallKOMsiIlkcgOadrZsrJn3GtHRbLHJbw/ -rj2TlT1Ldvb6Kr/bX+d5PWe83+/znHOe5/CZPdW1JCcjI7t6iIzsXyszFLJL -iA2AgZBjfgLvw5HP4vpkV9V7eH6xWya+Ow8FPRqT67crgBHHh/xnFMpQ4NIb -k4q/xZDSwPfSkvEL2iUXwSK4yiAvqCVxdL4axf69mb/rVg6q57qPhdcDui++ -bmcpRoB++kLNfgMMqRAsrMjPEiCpoChv0RBDdvmG+wkSBCi5NUv8ex9D+V0c -HMXSBOAWL2VlM8aQcOPZQbwcAVKdvJYYzTAkUPskT0WJAEL1IVHXbDDEJG1R -K3KHADybGh4fHDDkz5DuR61HAKOkTOErjhi6uKdQNk5y7q8t1q8kbxKdX3vp -E2Dn17vGX04Yyr6XY+NhSIAhUbvfiy4YKuoof+BsQoC+jug6NXcM0SyUnHtj -SYAmfcpb1t4Y8nrBoNxAsge/fkQEyXntSTF7JBsGG60Uk5x0fJzujhUB3P5w -iq6S3NhSp+pC8tFd2mM0PhiynT4/EE9yxutT/Dwkb+p+5KkjWd1qdvMKyb6O -bpNdJC8rRFZdJ/lKlS/LIMk1tfqNWiT/FoioGf5nvTPsOiQfSTL7OUCy0/xC -0U2St1lLw//NTyN3TVYjeTBWBiP+W6/m/ZAiyffMpbv/xV/cYzY6RXJ8Un/1 -v/ykJAY/MPyL3758XY/ku/7qeruk/Atfa2n9JdXnULE0DSRHJssVM5B8xqLj -HD/JvSZeHvJmpP1zq6tV8CTlJxkQEKlOAOvsGDqrJxhKDpGj2F0uh3pFi8gt -SQw9qNaXz75ZDmqzDQnYG0C2qNT2P5cy+FVAa1hvV4OOml/YeeZdCgvHvKMc -TlQj16JOI2v5Eri+vn+4xeoLUv+fjy5rbxGY8G5Bp2cFapBNv88lXwguNYcX -MK1y9L7OyJ3GKQ9ufdQZDPIrQVUHZxnXpHPgnGTPor1zISKXdNmVO8gEQ4d5 -3pjzn9DG6YyVptwUwEZ3wlvvZqD1+O1mvVsx0HXvjT7BLQoZz7J5UvO6wQTv -bVF/dzeoiol+6fXQFVYGWHiiydxBIuHIJnm6C2DtTj1O/h7wS0I8RYLfGQYY -zgX9uOENandip2UFHYAhdntFy9APJqTaly8/eAyKqkWQHRUMjeLbZX5Z1yBy -ktlxUyQG3p642RLmexHk9ucOfkXFAFfifOKKiyg8+iydx7wXAxL+FXpxkQKo -S+DNKFtbLNgok11WMdBELF+pLL4+jQeDAaPWMDBDitQa9Lz1iRBD3BdTHXdA -ulsm5e6aaSC/FUkZEOWInn3MXjvilwaXmJLCfZScEMds+aWiijTgUQ15/uG9 -M7qHEzpldDodcuXcj0fauKHHd84T6SgzYKA5r2xkwgt5uiGrPeI7qJGZ13yn -6o/CK8J+qOh/gN1TJ8EC54/MrBqKQqM+gPachfLqkj+6Q9ssttb2Ab5tra89 -ig1AQfmHDDivfoTAi3fjsbFA9Hp9kHJILBue0x/yfPXoDbIKy1GXpPkEib9e -0CpqRKCqL1664SN54N941cQnOQINm++pMvLmw5zSAWbzOwKlHM26XWmeD4oT -JqOsapFoVvnhf54L+RCiMK+cOxeJ/qclfcHWswDGZ220CXzRSKwnIN0kphA2 -02bDeq9Ho10Zpj29skIoaJ9iqrKPRrY/ghZMBgvBbsq3fp4QjdrvN1bl8RSB -2m1jq3LNGHT57zrjxc9FIHXs9FKETSzqtWe5f6S9GNqYUPWJ0Fg0EKH+4vJK -MbjKedXb58Wi/M6OwtcsJdB29Qeb7losKl5e6rj1oASw86d+H3eOQ49HXruM -/y6BqdDKdAGHeJTQRB7RxVEG147bpIZExyNijPITDYUyKD3mO/OpIB7djW+i -HjYvA5unoaVMi/Go/d2jcLn8MkA+r6asTN4ijayQxSXVchjN1C+MvpCAqrLc -j6i7EeCjC9HdTTsBWfGSkb9KIYCCb+az49YJiM3TObCGSICJ6/k+3nEJiJyh -5I4HbQVIOZ9d6l1OQMa31zqGkivAcGkhy54yEdGNxe6nESuge/JndwVnItrY -v7bh+qsCqEQ+89oqJaK7Ji8fWEhVQqcliw9nTCJKume/zNVYCb4ahP7L7xNR -8t0Pl10XKoFfRbySriIRccv4Rc0xfAGnB0wfv4wmIiZ9xicURl9g4CvxobAA -DhmfCm3jXv8C1+rD7fJkcMiVrv00M2cVPNL/OTeqhENDnHXN3KgKpoI0Ms+b -41DxH6odm5Aq2OWwj69KxpHifZxZPFUNNqa9La8+4dCDGj0lT41quPt6mXec -gEOfp28KCLyoBrbL1L4R3ThUiosN/QDVwG4J4sNjOESnnCIYOFMNM614xeZ5 -HCoctDd1O1YDCSxRfX6H8Eh/Y3kCf78GTL2M1nSO4hFDuL1sq28NxN3P/1TF -hkdIQVP9aE4NWPL489ifwaMI+qGRtp0amLkbb18gg0cP1Kxs1HgBQvvUvCIu -49Fe69GBoesAQ+YYzUktPBL64fLq4luAXMYuhvY7eMSaIopRAcA9Y851JiM8 -apjpppqdASiNd9pcNcOjNJHST0+oMQg602f4yAaPsOpto5dcGNBnylo6PsUj -8r+SXL4SGOT1ZOlxOOLRFYLpPE4JA1Sl9ljHDY92qkI6q/UxUAGdWW4vPGIc -K2ybt8Ng02+X2sMPjyyXv//k88Zg8mTkkWeBeORYR85nHovBLTp7oc03eFQj -I+eT9xGDELSRRBuORzocXjSU1aR+7tj3RZF4FK8xjpl/w+BKcL37XDQepeaY -fuz4iUHZ+uF7+bF4RGBhaLi6h4GP/sRTing8ErfbPI7R1cLFc02FayTfTp05 -IBeshTC1+tuGb/Fopddh7ZZ8LYyLhuteJPmlc+t27q1aoEn3zA4nje/SZ2Zl -eVQLcaxRugZxeAS57tqBnrWww2mqmhCDRzxM53Kp4mpBb0vYXT0Kj2aCH0tG -f6qFtqPY3BNS/vuv7kyKEGtBxjrRjzIEj4zKeOo7BmpBW4B4mYZUv5A9+ZjH -71qwOBin8vLFo5FL0rKXqIhwgyDRbeqBR2W8M23kJ4iQEe8XVuhMcsDdnO8y -RPjddVXI/jkeXZCv7iWoE8G79lmKgDkeaXTe509zIUJmpd8t7vt4tKVFp/M+ -gghmjteC3XXxKME4d4zwngg29zlOPkV4xDebL0PRR4ShFWmlA1k8IkN4SvlF -Iuyu7ruvieCR57SHhhdFHahQPs/7w0jq11DWEZeuA9lhTTPmw3j0RbyC461a -HVx5KvcucBuHUlOuPz5mWge1iUKygUM49H5+qoUnsg60vg2xEBNwSO55zfrw -ch281Rap+RSAQ7Ji6dk5VPWwZoglzb/EId1sQdaAk/Xw91CGpLkmDvWOveA3 -v1kPclPWwyc2E9G1M1S5ZXn1wN9BYRZBuu9BVH2ZC4318O7sJzPNhkR0w1TY -U3y8Hq5NyPe/iya9N1Ozv77TN4Bz1esbWacSke7XrbPNzxtgUvrrY23qROT6 -9HCdxZsGMGChn7s0l4BW+fvN6N41wClNroqunAR0KcF5OKi3AQLnNKh7TiWg -N+Y8alxyjVByX0mNej0esf3pH6081ATkclFyG23x6H9hWQyvOJuAofHSJeHM -eHQ+7/VvVakmUJilmHqoG49u7j+pO2TaBFoiZI4bWXFo+/b/rlHWNsFdLs7C -ZOFYFPzsbbiiXzMMa1piTzdjUHXokbRqfDMIXQnbdqyNQb5P1rJUS5pBazJg -lVM/BjnNPkt3/9kM1M5d2sku0WiEjvO7y40WMPAwbHSKiUQGIqWKZQytcGRu -9uOGbiT6m+IPGyKtYCYTaRDNGImCeHXckVIrjFzLL9HmiEBlsobEXcdWiHnC -vyK6FIqCGaTIyUdaYU+qdTdJIQgdST0wt8xpA/4a1tyng27oeQOPX4JOB+z8 -zd9kt3BFVapNgpO2HaA7eWu7eN4ZUfwQOnHevwM+LunwFSw4oFAKGu7DlR1w -m1H9qriLPVrucBu4cKoTKst7DC6yX0SSeSqKG3udUBNEJs5UQgFJvvSalGxf -gcw+o2ZVXQEuM+9s8Ep9hYPPbzIeFOlBsrO5pLf1V3h9gX3hr4UN7HFEdvT3 -fgWliqk6GW53GJCh0d241wVi2QmdJSc9ocU36faUVRd4aoeZUzB7Q8K0U3O/ -QxcQaQxW8Xy+cMdQmbUnsgselkh7KRsFQPcBvztnSxcE4NvOPVKPgLIf+YHj -l76B91+nSqmoRNhAldsPBbrBM5LlgoQ6Dhw2fp8wk+mG+NjNpkFKPGRme8vY -KXUDfqOqCXklwWbggFyceTf4ZG4qxQSlQrdT1CG1zG6wrTtowbYzYJiW/oL0 -6R74dnVMUIIhB1Kk1Am8Mr0wf35V9HdwDjTz71YIKvfCtAb1oXzKTyBd/faY -jF4vcFF5Zsn8+QQGesLlVs69ADesj7rsfQbfBhM76apeGClM44hnLoQq7SkO -4+t90LMbJ9yUXQqUMa6l3Bb94Opz+U3nWilIpgnQ/3HoB+ZHyf8ZXC6DMDdL -sp/+/VBg/zjroKsMKL6IlrR96IcTo4umCWSk/9RHxZyNhX5g0rhwMta1EqQd -zU6WO36HBIneo57fAdyyo4sZIgaAvZtt+wwnBt8t60/OpQ3AZ2q0M62KwZak -j1NL0QBU4cSyFN5hwKZiupXePwCNFRl06Sa14K1/1a/o5CCYTBgGPvlFhOu9 -eIk7BYNAfe4FbvpcIyzz6E/lDg5BRQP5B+MXjVBwuv0h9/IQiCYR1q7lN8Lj -QtnYOPJhkMw49kjtTBOEPtg8nSU6DD0CGx1Ggs3Qb7BledFzGDBV9jB2yVYo -+pZ/56LgCLDPmhi04zuhxPz7Ur7bKDR6mZzxnemEh/W2i0aRo7Dmy25aTDqH -j1+eYWJ5PwotfIeevGn5Crn0nyfTvo2CgAkTe7hXF5gtmW7JiozByO+rA2K1 -30Bs756Q+tAY3D0mEzXq1At6UncE+fgnoETL+nDZu17Io1v7Yy0+AS0TO4s9 -Xb1gdvBtvVhuAlSm5i0nRfvAR9RK4b72BERlTyPNn33AQnXP+5f7BEz19hJy -Lb9Dup698s7ABJg8zxWkTB2CDRp9deq4SWiVbOGX7RsC2mtPr2ymTUJCc2Rl -Ce0wXEzdDZjNnQSigPSFbNdh+CafdWq8fhLYqJR/2hiMgLyKVPXRzUmYoN/V -TxUegzM+sv/Z3p2Cow9ngzQpJyGXPMpb8cQ0RPkofEnmnwT1Ma02CpFpYGPi -8mC/OgmR5/iW22Sn4blxgLG/5yQ8T2In2mpOg+7SqK32f5MQqJLTMfVqGh58 -62yo258CW+HUpurhaUjv79x5wvsTHmUm7Iik/oC+2O0Qm8050G4rG5c6NwPT -xbi5Ef55OE7/PFhHaQYaYmesw3XmoXFmxsDx1gzYfPwxlps7DwEcvM7f7Gdg -3MTm2bj1AlhKJQ33vJ8BsXyxXoP5RcipqWehOP4LyMn8BnoYVkDEfCuF8/As -UHe92TMqXYciBlZ5/PIc/NfjuDzXvQ46CfKGN/7MQSxXbgRuZR0wyXa1/2jn -YQV+2VqLbUCfEE/6C5F5+LHM7VuctQEs/DdMP1jMgztHf/C51E1wm87IOzMy -D92MZ53m87Yh+POFpsyvC7BZTLPZo7YPLS/KjVqbl4BSejak7Nk+DEZw730Y -WAJzbhHehrf7kORuiQ+ZXQKHx5HzVjP7EH3cd8XiyDIMCCwV1fr/gZ1qZtaH -N5YhdNl1drf9L/y1ZGx1bVkGqoT1OD0gwxSPiRy53vUbthLL9dOqKLDYMGV/ -vZ0VKCDIHno5QIGd61ZRbTm0Cssbbp5WGxQY9cJkjyLtKoz1/3ItEKPEhG/6 -GcifXIUbTM/5elMoMbv4zLPGyqsgfKv+wDn4MMbA85vcKGoVDnlYfxZypcYq -aE84KJ5dg9fsYYZnW2kxRmsVheWX6yB04OHYs0iL4dQEAz55rEOk+yJKpj+K -CYvaGz8OXIf4T3a2qXpHMa7/8dXv4NYhpPt4p+n0UWxh+63XZeI6DLplLu4f -psNqgm/GPGPcAM/YRdxVWQaM3TWFK7poA+jy79e+6GPCbEejS9YONkGf0SYh -cJkJm8m0ihuk3YIAEwmeCmpm7Pl3rQsN7FsgEzqR9+ISMyafQVufI7EFSlFX -DymlM2MjBTdE8k22oMuR6/gLBxYsRbbfPAXbgtnkbuKIFBvWE5tUwBuwDTWf -i87W3mTDKu7tuWdEb8MrPgWWRjs27HhMqpxI6jboGRa1S71nw16w4vxUCNuQ -x1zxKpabHeujvx1VMb8NKkwbDu/oObDLH370RursgCrtkdqUsxxYn0qvitmD -HeAQ5Iur0uLAaGxjquVsd4B17bfRw1AOTNz0cdOGH2n8hJ6QJi0nFsRA9yS7 -fAc+vOayNxHlxPxG9hXe1e+A5QrlzXgNTsxyFcef8W0HrhqHkL6AnNh3g6HT -+Qs7EH6GY57xMyemy+msWb2zA7k+HyMHOjgx492K4K7Du3DbyNu7bpkTY7Dl -m5xl2oVrmuSj3fTHsTJBsTtUvLvwf0RstWo= - "]]}, - Annotation[#, "Charting`Private`Tag$11690#4"]& ], - TagBox[ - {RGBColor[0.528488, 0.470624, 0.701351], AbsoluteThickness[1.6], Opacity[ - 1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" -1:eJwBcQKO/SFib1JlAgAAACYAAAACAAAAk0utP4WZeEH0nJ60yfqWwQS6rD5H -2/ZAZRd5e79gDcFLe0l6muHYQPK0MNcBV+3AMVHYybI5x0Dge7qLwwvawP4K -iHyLCbtAI4lsd25DzcARixgrAsOxQHQ5dWA5tMLAUw4nwmMxqUBHsI1MjPG5 -wEGk5AV0Rp1A29bLIfIbrcB6G1Kio26XQLOxS1sb+KbAJH5PnoEyk0DH+pwh -g5SiwApr0XcGLotATfOhZH+8mcCrEek8C3h/QCVFNvXOy4zA+qJU6GoJfECG -CttJFXmJwCL+IQJLJHlAdhUpkaKwhsA5RmToUo90QPkUBYL6U4LAsFC+qSwA -bUA6oyoRRVB5wJpZLGN7qWBA/TtHvZMnbMAEfwn5s2VfQPjzwNqSb2rApAuD -f/6hXUBl7H3EFt5owE2ySi+YhVpAPPOkz9sdZsBkOA29u5pVQDApBrswz2HA -0cPIwh48TkCzAbv9w3BYwE8FjUZIF0FAM0KfPUvSSsBn/OUbloZAQNaBXDoG -5EnAGZZ7VpP5P0C62WeeigFJwERUe+dg+D1Aws1Dh/VcR8Dm5d3LrHk6QNpi -rE+Ng0TAPDfqhT8PNUAltqfjDyRAwI95WxTKSCxAK7ww6/NKNcDGjwunsNQd -QG3D8Mw64iXAmXjKs5xTCECdM4goSlQRwFYQPhURQ/g/7gui7gz+AMCdwwpJ -SwfpP/FzGFNyU/G/2c+kmNHE2z8jsS2ZyhXjv7WCrCSig8w/8Syl/2t+07/7 -Wxb7qgq6P2mNlBCUvcG/7gJHU1sloD/K907XqPKlv0Ze3Qy6QlU/9JtY6q/e -XL8OayyF - "]], LineBox[CompressedData[" -1:eJwV1nc8Vf8fB/AbWV+UUGYis2xRWX0i0SCiqEhSVGaiEkIZLZWVXYSQee81 -rlG9j811r5mVvWVnj8bv/P46j+c/n/f783p/zjkfMVtXUzsGAoGgvo1A+P/z -YsSnPeXW/CCazP8k5pUoCte6e6AlKh2U50mJ4Rt5SHcvo6BzJBF8dYs+//Mo -Qhl98W8/jOaDr0ONhtxcKRLiTZTori2EhP5ws7+PviIbxf1Bo9NFIPxz1rmU -EZBB2Tw19yYFGr2NyDFpgAbEy/Z42VFg24nnIlnpgAx/ZGxH9hRg0RlaK8gA -NPnEbqTwFgXCfez48zIBRRYfcrvkQIEvK28Fj+UCyioza3rgQoHFtRrUlA9I -9Omy9wDuHaLLA1YFgF7J+x7RdaWAk4i8/zBuQez38gpuxZz7I+2FgHxdDmjy -uVEg6bikpDUFkNbFAa7zuP/u6inMxs0cRJcOwn1WNuzlEm6yeaFyL+7tOnOi -DsWA2v7KWevfowDDjT+jW7gvh9waN8X9wzjjEWcJoKMvYgcu495Jawnnwz0h -/MbYEreQhtFRQdyqWcxaFriVGgfdduMmDZVmGuGOSzppwIb7T9Wlj8dwq2DS -2Aq+fmB6txYX7puWbaXFuJFvnrb/XTwf5XGO1CJA9TMe5x/foUBFpxQV5QAS -01qRab9Ngaqk8yesswFpLo5oSuOOqx3Y8MgC9HKgUbYUz9vz4XH06jMgo7a8 -zvgb+P513VmtPgEaDyi5bGaF5xNhce5dPKC/98OSi09T4NQMn0/sU0BTA90C -t3fjeW9LfWSqBYiFGjYXHFAET62mJy55fkNlO25s/5xWCGxyjHIlxl/R4Xsa -dlIRBSD7IOB6S18ZYk97paVunQ8lo0n5m8dKUbVCaRjndxKIp4SusMoXI+ez -QZ/mpYnw7EuTFHm6EAWJ9vjzv84GjKR2gW6dj17ln/SiDKXDRMetnz2yRPRZ -fxKjzybDKj313bjbZ/S4tJNQVxkLIuWMMiJNSYj55JFJ7R0voJl2xlth6RUq -FWNzKmgwQjZDoxvcpj7wJ4iJGnI/FGXkrYZnfUqCu0d6R55dSUTzEV1uV7Jy -Qa/2YyEtMhFVP9EncYzngnOKugxHUyJKTdEv6hXNg9ptX9m+iiWhC+0ieh1R -eaAzRV1iikpCgqNzDvy6RAjfYVM+9fgjStMweWGgQoLHveaPFc6koKPpLy9L -iuTD4ZMP91XYpyBKUevFW9r5sDPyoL5xQApy9qrdXmKVD4z7muIufklBygYH -372Kz4dBP+2MHQqp6NNba/U5gQLQb0vv5+H6hFR5P13PEyiE7oTbvY70NIQt -mYiaSFJAJGjmoc50GiKEm2w64HN8KHaI8B9bOhptmTCKdaaABqcBi7teOpoM -pQcqFVGA8nhBIbE0HdXQI6TOnCqGau38OqXUDLRfUmJa+W4J6PrVXsp1yESH -azgCdzWVAceN6ZAHwZmIn98vO3ulDMRXpF8cTM5E9zdoDlbCXyBaxrDvQncm -4o6XpW/c+QLBWNZqln4WOmvWKiXP/BWc+4a+HtybjQZrVyV8db/B3ICreQgl -Bw3c1akOtMOgPp3nYmpjDsotbAqr8MSgU5HvSMpYDnLYv9TGFoJB9Am23As8 -uYjlsUJdARkD/5DocD/nXIScRXt8COXw0bDf/atwHmIJu8nY/b4cKq6U/ZVU -yUMHz3gv5ZHKwXiI/Y6bQR6SkHOMCq0uhzd9W415bnmIqH56u+tsObCvztyt -rMpDM1aXZpK0K6CUm5AfqEJELUyYWe9ABSjXeLYgLSJ6LjWga7JcASqeRKWp -k0Skv59HvJG1EiJa5PZxXCYi2WiHmgnlSqBVupL9fYmo32mUOSKwEqKUoZy9 -hohqND4M02SrYGNMze5zIxElizSUDR2vgmZN66lDnUSUlqJTTTCvAv8dols7 -JvH6vzY9r/pXgT58+N7FSkIqotfbwtqrYGvdNidan4TqVQ3afz6phho2poDX -50iooLbj9cPYatjDz9F5x5yEJHVdX+0iVYOxpOC+djsS6su65OAwWA18rcdF -7waQ0POCzwZ4V8AgJ9Eb8ZKE1q/zlCRZ1MCbJf1LCWEkNNo+GvPetQamiA+z -rySS0OD158VfEmtgv4qvW3AJCXURE9nCCLWQbPugvx5IKCSL3X+MvxZWrm2q -L1STkOubd2/0lWuBh6mLPtpCQrO8688VbGthLMi5yX6ShFxY14Oiqmphottb -oGyWhE6+O21i0lcLfGKSTguLeL9FNb17VmshgFta5c9vEsqNu3meKl0HSTIB -1jE7yWiEYKvd8KoOlJ+d5ZzjIaO5AvWc6dQ6oHjR6vfzk5GuTzKbwLc6IByT -vi0jSkb+0h7v4xbqQCjtWO26OBmFzwYPzLHVQ6vAC4V0aTLyCfEVNxGvh3pT -R473CmS0pOtXo25eDwtP/P6MKJPRuJ62UoVrPZxr/jbNokZGicunSRYv6uGn -0CBxTIOMPGzrdmR+qYe759z+fdAmowd8myv2HfUQrP9KQ/U4GTnf3mRTXqgH -5YKm67/0yAgxrtJnxKnAudZUwW9ARocvfnner02FpnbGTcHTZLRsNhHUZ0GF -RKV5bO0MGbVvDFB/ulGhklbcnGtIRpGpXFcZQ6jAOntgGJ0joxbeMZ2DaVSw -+ZWTk2lMRttdqL7WGBXc5s5Pz5mQkc4RRYGkH1RgMKwy5TAlo/2WAXvml6kg -c/lCPIMZGcVIH/U5u7MBdsz98m7B/ewcZlx4oAEe/ZPL8rxARq1jb6Pk9BpA -U1NtYA23+C1hc5J1A2Qld9PPXSSjg8TeiBOPGoBJ8LncY9xExtMXRyIa4O1O -I+JT3FasPYmhuQ2QxtTGeQ23fT3no7P1DXC+qvoqP27WjnV7o+EGsHSPMczB -15eON1Sy3GqAwj/sCXtx7y572u3BS4OatHecd/D+liZ234qTpwFXrbXtW3w/ -EiNP+hr0aaDrrWcZep6Mvvqe0GGzoQGjZWexI77/V/FdUaaPaOChaKkphucj -J4sNp4fTIO9DTTLRiIzYFL0OsGXTIGmqIlcAz7e0+Jnrg2oaGMRliVjh+cdM -OZTM99Pgp8ob0sNTZPRiNY39/joNnCYOSDnpk9HUPsyBmZsOQufmNdTx+c6U -aPakyNIhKlZB2wqRkdawBwPjNTrMtf35RNIiozBH3YoqTzqs05I+9auTUZ5V -+KfwcDqEK723+naIjLqkxiZNa+jwGaOG3FUio+pAgunJQTpQy9xPbsiR0Smz -poUTm3TgVrxx7LUkGR1hrxqyU2gE5rzF5WgxMlpkYtd+caoRdHe8FfXcS0bJ -EQaDxbaNYBS1AhReMjpmMs+lHd0I+109nOS24f0lmcd3E5pA89snwZ4tEuJn -Syq6JtQEsbfdWa6ukhCNFHVsUa0JRql23t1TJKS8tZWm5dgEoc+dmrLx91tY -avnKrY4m6A2NeThLJaGiilmr87+aQL4gmftfJQkxPz21Ls7cDAX1m8sRhSSU -GZLvKi/WDNdYCWI+USR07midQohFM4QY1PJrvSGhab1nlEN2zdCKij9Sg/Dv -Ab0sfuheM1xuCVc09SAhnZkBP5M3zcBJiEpmMMbrY9nrXtXNQA0+vJi8SUQs -pcOl29VaQM+Xc8xmnojYp7m8abot8PDVmN/UCBHZL+Z4x5m0gNgh9nvXaET0 -N03S4LRTCwgtlETUxhHRqXI4uZjSAgM/N/+dlicim/PjXe68rUDLtfqaIEJE -tzPO5STtbwUT8h32op1EJFcrMd6m1AofD3HveDCM36sx2TdGRq3wJdjJ8L8b -eWi1UGPtdXArlGUZKSUY5SLu+iLZk5ut8MuFaaBCJhd5Vu661M3aBmElDFWO -jLmoq/cKpwdfGxiIHLXUwf+X3/R/Cn1VbYMJbe+yCf4ctMvjLtsH1zY4Wv7o -rW9lFnJXT5J9P94GLvr3USQxA82H8LcldX+HMO7hwxv3M1CV+MN9l6e+A2eO -WlyORgY61rzbQ2DrOzglhPoSKtKRm5+4Llm4HbYUv7kq16WhjAr+Gj2bduAx -LnNvIaWizcRnvAuT7fC0qE4m1zEVaZbqmRzcbAe2bQL76yVS0bBce5UTeweo -ul11LIxMQVKE3Jv/KXRAiUrzdwPHZKQwwN+e4d4BdmYeCumjiejN9t8H7hM6 -Qdno4XZ+lIgyIfuGKncn9Ic/8h+M/oCo494sv8U74YBva5uL3nvE4TcUm2rQ -CXWLrQraL+KQTcD4ccu3nSDBwVvzCCKR1Pxes+uiXXDJ1JspUsUPDcxHPx8/ -1Q17Kc/9Exa80cKdBY+HV7rB89eDLizdE5+nNieXUzdkmJcfUd92D4nLChhe -etsNOorT+jl+59H6y5KzJzu64eghaftCTU/Ilr0fH2H3A27+JspY1LwDW8n5 -QZ6XPVAwvz/TpzUKvicoXEtM6AEfEc209MFoqD0W26eS1wMtrnCSnzEOnrsl -jLl974Fylr3q2TYfYFJN2dlkXy8EVxJUPLAU2Bcpnh9W1AuXLayZ9AtyILPm -6u8j032g3Ei193DMBUK8gaQToR9I+cE0Jok8iPmhIZC5ux/W9RsDsg2JEJuj -ePfE8X4wOnyY6EUl4Yns1Zp51w9/0tTKOiYLwDN1yUrgxABEyIsRblLKIJNS -rP7YdBBOV7ossMp+gd9rP+wpVwdB9o3/jG/iF7BXZSev3h6Enl4O3eGXX8H2 -TvnnQL9BWO86pJ7qCMAQQzfpzx6EMzT3ScasctBK3eJ6yToE8/1PIndOV4M1 -5sbeXDkEJ6a0WPKVa+BpgDDBq2kI2J0EommeNRA4ECB0oGcIBJox85us+D3i -+FN61OIQCD0j3zssXwf9DdLGRLFh6NjBszXxmgqTRSnn+/yHoc5wvwUlrwm8 -pj5myZ4YAfOGWb/9jM2gJ8I6+Nh4BLqFhAVzFZqhUZXs3m45Al46PDORwc3A -bvMbIj1GoMZxST5TvQV87NJELqSNwJK8ta92TisIF/o96/xvFNiia0Ycae0w -ntJR8KdzFFxKuTjv/GkH/Tv0J/tGRwECJZKz8HMdhybk9RdGodxaV4chvAOO -dvkcSGYdA9EqyW9/LDtBUJmzK1J9DO6Kxqy4/emCfrdUW/uEMbDuG3zm79kL -/ikHvlTZjUOk52BoFRl36jOLoHvj0JT4QuTSbC88GbrKcNZvHJ7Wd4q43uiD -a4/CQsZixsG4MUnS3qwf2N5vvregjcOlhc9utmKDoDC3waGsOgEdq/q6fUrD -4Ch/f6aSZRISjs1PHjYfhirbaPqZPZPQ/UJmq9p7GFRjlXs7JSah/LS9RVDt -MCw1NbzYrjsJAh1jeW+vj8Aye6J1hs8ktP56mceWNAoMf64QhZYmgaYeJ2J+ -Cq/j3b0HRn/Cz3L7/9DFWUiuU7Nz7JkG6w9WTN7Bs2Di7nLQe2oanASUE5Yp -s/BYXKsqdGMaIjtl4z8KzYFXlosPnW8G1i5++fFzbA44xGQVX1+YgQtd2/6p -nVgA5r2TItJNM9DqUt0R8PsX3O8tQC11s1BR71a43L0CxtETV2wa56F8u+SB -zM0V6Fxd8U7snwdptFUUJLQK6ixSKaNz8yCm3lCYd3UVHvuYB2EsC8A1uGXR -NrIKCRXdh5/ILEDm0/MWXmtrYMJW5xfpsADRLmGKFtqbwKXF9pH11wLkSNL3 -aRj+g2tZ7NctWBZBtyJ92tb7HzwEQTXCrkVQ+llVWpX5D9oyZtuzBRdhisdH -SpCJgBUa2j7dpbAIwV47f4zaETAJdDCN9eIimH63+kmQ34ZNtjPz5aUswrGh -ibMHWxkwtqK16NETS9CsJBJp5seM/T20K1EldBkiLmw2DyYwY+Q41nNiccuQ -EVi09bqUGfv+5lwIT+oyXFF0Dju1yow1+X8oZShehnFH9226rixYTLbuBvvg -MvTW8F23ucWK2Rs1dFCVVkB37kKyuNt/2B6tY32E9hVoc9xL7/21A6uIrdkI -lFmDpud123qFd2IP1gcCk1XXwNtuTpPz1E6MuMbRWHV8DS5Khb5kTtyJ8Woc -TeK7vAa6nyS5cqS5MImQfw6rL9bA/Wr73u5eLkxGNex77MwaZCuHcRlacmNL -VuOdTwrXIdwlMVbEgxujU68PqFesQ3mH8CPO19xYtZd062rjOvANC2cfB24s -JuaMn9/kOlTHp/7+J8GD9VE5RWlCG6DrEOa8tcKDNdVbfTUL2AAfq9aaJC5e -jPNTiaRl6AZwh4LeTVlerED9Zuit9xvAeytTUv86L8a35XTvLWUDVhmqKzwb -ebG7EmNhQjMbQEwresY9xYslS+urnd3YgN2/uG0bmHZjPj9Cx/2YN0Fxybol -Tns3hvkVea6LbsLN+dIU0uXd2MFSbysthU1o17vCPHl/Nyas+s8sSHMTMjfV -+I+F78aCy4ts2k5tguy/6+v5ubuxJz78gVLmm/A/dT199Q== - "]]}, - Annotation[#, "Charting`Private`Tag$11690#5"]& ], {}}, {}}, + Annotation[#, "Charting`Private`Tag$13688#3"]& ], {}}, {}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -7399,8 +8481,8 @@ Tns3hvkVea6LbsLN+dIU0uXd2MFSbysthU1o17vCPHl/Nyas+s8sSHMTMjfV "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "ScalingFunctions" -> None}, - PlotRange->{{-1.8698602427377178`, - 2.429064364179787}, {-2.8227907842046323`, 1.6555650709518592`}}, + PlotRange->{{-1.602752891892175, 4.091484273767077}, {-3.110903172900441, + 1.6555650709518592`}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.05], @@ -7417,8 +8499,9 @@ Tns3hvkVea6LbsLN+dIU0uXd2MFSbysthU1o17vCPHl/Nyas+s8sSHMTMjfV 3.843123802541154*^9, 3.843123805205811*^9}, 3.843126913959206*^9, { 3.8431269864722557`*^9, 3.843127007285858*^9}, {3.843290913075088*^9, 3.843290918797572*^9}, {3.843290955112083*^9, 3.84329096493569*^9}, - 3.843291127609296*^9, {3.843627014697064*^9, 3.843627020850462*^9}}, - CellLabel->"Out[60]=",ExpressionUUID->"97e35720-4cbf-4f67-a343-2f096735823d"] + 3.843291127609296*^9, {3.843627014697064*^9, 3.843627020850462*^9}, + 3.843728712301803*^9}, + CellLabel->"Out[73]=",ExpressionUUID->"897b2bdd-4551-4a9d-b234-810e9e14bf11"] }, Open ]], Cell[CellGroupData[{ @@ -7924,7 +9007,7 @@ Cell[BoxData[ 3.84329887522934*^9, 3.843298884636981*^9}, {3.8432990285119133`*^9, 3.843299070623949*^9}, {3.843299104353858*^9, 3.84329910471282*^9}, { 3.843631921736072*^9, 3.843631922215613*^9}}, - CellLabel->"In[61]:=",ExpressionUUID->"caeef800-5d91-4fb4-9816-9aacdb626a63"], + CellLabel->"In[74]:=",ExpressionUUID->"caeef800-5d91-4fb4-9816-9aacdb626a63"], Cell[BoxData[ GraphicsBox[{GraphicsComplexBox[CompressedData[" @@ -18151,7 +19234,7 @@ njXLLTULX7PNIjPxMXzIrg3vsojOF4N3XmRNT73kmE2ONTPSqc7A3rdbhJtu 2DvxLsS8KzVyvTPvyjv07rwznkAP3A9exIOIwYcvcta8z56LnDnMJ+21SIxv MoPeey+yJgbLsTc+59BF9gjzPoctEoP11EuMT+A9jljk/8fa/wO0LrM8 "]], - Annotation[#, "Charting`Private`Tag$11795#1"]& ]]}, {}, {}, {}, {}}, + Annotation[#, "Charting`Private`Tag$13794#1"]& ]]}, {}, {}, {}, {}}, LineBox[CompressedData[" 1:eJwl12dY3fUdxuGnTuIkTmKMnjiJkziJcRy1VWwdOFpxVdSq2KFY24pGI3ES JyeOgBOc4OQ4wQlOrLaiXdiJnWirRbuwS2/Mi/sKF2+eN3y+v39mn3jGYad/ @@ -20599,7 +21682,7 @@ f//3X/4eDv7Q/+Ff/pyq//Uv/7vx/+ov/zuOf/cv/72q57/85xz//C/u+Os/ FrameLabel->{{None, None}, {None, None}}, FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, ImagePadding->All, - ImageSize->{759., Automatic}, + ImageSize->{756.9999999999998, Automatic}, Method->{ "GridLinesInFront" -> True, "ScalingFunctions" -> None, "TransparentPolygonMesh" -> True, "AxesInFront" -> True}, @@ -20617,7884 +21700,7844 @@ f//3X/4eDv7Q/+Ff/pyq//Uv/7vx/+ov/zuOf/cv/72q57/85xz//C/u+Os/ 3.8432974990409603`*^9, 3.843297649201621*^9, {3.84329853373332*^9, 3.843298540787211*^9}, 3.843298676395097*^9, 3.8432987369295473`*^9, { 3.8432988781939793`*^9, 3.843298887412931*^9}, {3.843299047691544*^9, - 3.843299075084392*^9}, 3.843299107422085*^9, 3.843631924942247*^9}, - CellLabel->"Out[61]=",ImageCache->GraphicsData["CompressedBitmap", "\<\ -eJzsvQeQ10XWLszr7pp1XUWCzAxZguTJ5DDknJMoUYKiSBDFhAQxoCIKKqKI -IMGAARXJOU9iGNRVQQQBQWVGXVPVrep7uvuc7tP96/8f5N6q+9b3vVvVrOAA -w8jp8/Q5T+g8ZOKoEWOHTBw9bEiFtuOHjBs1etiECm3uGg8/9Lf/KlHiot9L -lPivzyqU+Dv8syhRIvzNnyVKXOAPVFPf/uOBkSNHfnXbbbf9AWcTnNbw/RLj -1L/72x74vsBT4iL17d8ay2/pf3fKb/6u/0VJ/i/uUN/+feCIESMOw4/nwMmE -X/juWB9/53n+hmPjfNJX8z/XxaPgh9LhX/1r9OjRc+Cf/4R/rjN8+PC79O/U -Db77M5zu8BmWuOjSwYMHl5Bfc/s//Rn9Xf4b+TH/Cz5W/rP+4Ysbwg/J37wL -/P9k+NWL4J/lH2hc+GfdfZ6/6dj4n/tV+qMqqq9BhVGjRpX429+nTp1qfvpF -+rc5Ch/eSf4W8n+j7Af/g3+w/BMMkF/aO+wH/J0+oBN+7vqLdXEe/KP8ktPP -fR++v4D+c5b4G320+t4E/WPml5f/mxj4vK7Uv1R1/QW7CH7jMmPGjHkEftWx -cPBXKYaf0YN+49Dvhv855N+xsewTXAwfswJ+LPRTJgZ+6XHhzwL/RtXQ/3YR -/Ij8K/kBHPmR4/UvJP9j/QA/pL52cT7H1+D777O/y/G+iBNj/tKRzwL/TuDf -+hvhV6kP/ymvHzJkiPzZ8u9oWfsf8kH4x37wIeWHDRv2NvzzMTh/6927N9Z7 -X/gZt8MPqc8Df4r8Dyn//vWCfyd/uvs33f0p4+P/LnfF/xydP8k/OuK18ROc -3fBRbeRvoH/Tf9wM398O35f/DT+EUwNqg770b8L3/0OfEP53ldeQvC6y4edl -wMeGPnR8nF96XJxPCf+O/N+4kSM/UFb9gLoQ5Tdfy2/wviij/wiCX45XBn6s -ZODHrv+fj/tv9XH/nT6X8/049Xftv/QP/c/fxf/vfNx/p8/lf/4u/v/74/47 -fS4X/HdRvXauCHzk+MCPTfi//HGhH7vMfFbnXy3/rz7Xc1W2RUyH/x98JH6l -6sE3g/GUEIPpm83q+3/rVoL971x/Hv335B/XyF/jb1deJa5r01GUbNNJXN+6 -EzyHS8G3fxelsjqJ0q06iTLytOx0kSjbsjP8cNkWncUNzfUp17zzRaJcsy7w -w+WadhEJTcy5SCQ0lt806nqRSGwov8mU32TIb9LlN2ndSlwmElO7iaSUrqI8 -ngrJXUVFOJXkadBVVG7QBT6dKvXhV6paD765sS78ftXqwDfVa3cqUUXUqN1J -1KzVUdS8qaO4SZ6a8nQQtWp0ELWry9Ne1K7WXtSp1k7UubGdqAunXtW2on7V -NiIZTgqc1CqtRRqcdDgZlbNEZuVWomGlVqIRnMaVWoomFVuKZuq0EM0rNBct -yjcXLeG0Kt9MZCU1E63htElsKtomNLlItCvXpERZ0f6GxqJD2caiY9lGcBqK -TmUz4WSIzmXkSRddyqTBSRVdy6TASRbd4HQvU190Ly1PPTh1RY/SdeDUFj1L -yXOT6KVOTdG7VA041eHL0rtUNfiv2rv0jXCqij5lqsKP9SlTBb6sfcpUFn3K -VoJTUZ2+ZSvAKS/63iBPEpxE+Ni+NyTAz+97Qzk4N8ApK3+sXJkSpUTfhNKi -XyKcJDjl4VQsLfpXglMZTlU4N5YWA6rBqQ6nBpyacG4qJQbUglO7lBhYB07d -68XAenDqlxQDG5QUA5JLXiQGpJQs0U30Ty0p+qXp0zcdTkZJ0SezpOgtT8OS -opc8jUqKno1Lih5NSoruTa4T3ZteJ7o1u050hdOl+bWiS4trRSc4HVteJ9rD -aQenDZysliVFSzjNW14vmrW6XjSB0whOZqtSIh1OGpxkOPVblRZ14dRuVUbc -BKdGq7KiWqsbRNVWCXCSRJVW5UWVrIqiclZlUSmrKpwbRcWsaqJi6+qiQuua -onzrm0RS61oisXVtkdC6jijXuq64oU09UbZNfVGmTQNRuk2yKNUmRVzfJhVO -Gpx0OJnwY/I0hNMYPqYJnKZwmsFpDqcFnJbw71rByYLTGk4b+Hlt4bSD0x6K -tMNFslpLXC5Ktu4kK1WUzjI1KkvUFCjUpazKi2Q5lrhY1iOUI5yGXWVFyoI0 -9ShLMa2bU46mFBt0EZXrd5FFWEJVIZQdlKGsQlG9TidZiKJGrU5OCcryq4Xl -R6XnlF0VXXaq5KrIkstSJdcYThMouaas3HSpNfdLTVaarrMbGl8ki6zEFazM -QiXWwJRXj9L14I8CBQZfxJ6qxGqZ8uqly0sWF5RVNVVefUpX1ZX1D11ZZSqp -6uqrK0tWTdnyJUpSZcm6gjoqBxVUVvSrUEb0o8qpgtXjVE6pQOWUkpVzEZTO -9SX6UfHI2lHHVE/6+VVPD6ye7s2wgprrCuqMFdQBK6gtq6BWcFrAkRXUFE5j -OA2haqiKUlUVlQ5UURmoorJQQeXgJOoqaiWrqBKcKrqSWt+IVVQDqihaSQlQ -SeVa14NqilZSSVNJGaySGv2fV9PFsvNFq6kFdBooJfjXWEy2xalq0s2tRAoV -k6wlqKSuWEndvErqSpUk60jcCKcaVZKsIt7MnEZmm1g9qKT6UElURdS4VAVV -tk2rqdewbLNqKtokeBUU6VLpF8n6KXGVUz3dgs2pFjYnUznYmP5BlSMbkywe -WTqyQZWtBF/NvrwplTVNSfekq3lPglNGdiXRLwFOIpwkOOXhVJSVVQYqqwxV -1kWyKcFnrYqLCusmLKwYbWlgsqquEro3/SPUm+RnnQnNC4rrIllZJTJ0bcXo -Tn59yQ7l11hrrDHeqZqybiVrLYPVWgqcBoF6qwmnOtbcjarmWPeCuqui6q6y -rT3qYlnVgzWYBDUIdXiRLES4UMuxUqTGVkaVI5VkCpSQanDwRYLChC+gW5qq -PCWibNNYIkpToqpML5J1Cj+FKlUfWa2t1E/JAnhCVQtH/RZt5TftZL3q8oXq -7aDQ68Wly0oEfNV5AV9hgG/K+r0idd0+kb52v8j8JFs0+jhHNPkwVzT9IE80 -f++AaLmqQLR656Bo/VahaPPmIdFuxaei/bLPRIc3Phcdl/xbdFr8pei86CvR -5ZXDouvLR0TXBV+Lbi8eFd1e+EZ0n3dM9HjuuOj57AnR85mTotdTp0SvJ78T -vR4/LXrNOiN6z/xe9J7+g+j9yI+i98NFos+DRaLvA8Wi//3FYuB9xWLQ5GJx -yz3FYvCkYjF0QrEYPr5YjBhXLEbeWSxGjy0WY24vFneMKRZjRxWLu0YWi3Ej -isX4YcViwpAiMXFwkbjnliIx+eYicd+AIjGlX5G4v0+ReLBXkXioR5F4uFuR -mNqlSEzrVCSmdygSM9qdFbPa/igeb/ODmN36jHg667R4ttV34rkWp8T85ifE -i82+FQuaHhevNPlGLGp8VLze8GuxNPOIWJb+lViR9qV4M+Xf4u0Gn4t3638m -3q97SKyuXSg+uumgWFPjgFhbLV+sr5InNlbKEZsr7BdbkvaKbYm7xPbE7WJn -whaxK2Gj2JOwTuxNWCP2J3woshPeEzkJ74jchDdFfsJycSBhqShIeE0cTHhF -FCYsEIcSXxCfJj4vPkt8Vnye+JT4d+IT4ovEWeLLpBniq6Sp4nD5B8WRClPE -kcqTxddVJ4mj1caLozXGiW9uGiu+qT1GHKs7ShyrP0IcTx4qjqfcKr5NGyRO -ZAwQJzL7ipONeomTjXuIU027ilPNOonvWrQX37VsI77LaiVOt20uTndoKr7v -2kT80Kux+KF/I/HjLY3E2aENRdHITFF8e4YoHpcufpqYJn6+N1X88mCy+M8j -DcR/ZtYTvz5eV/z6VG3x27O1xO/zaorfX6om/nilqvjjtcrijyUVxe/LKohf -V5YXv7ydJH5elSSK30sURasTxI8flRPff3KDOLOurPhuYxlxcnNp8e2268Wx -HSXF0d3XiiN7/yW+2v9P8UXO1eLzvCvFpwWXicLCS0T+oUvEfji74Ww7dKnY -eOgy8QmcDwovF+/AWVF4hVhSeKV4Bc6LB68Sc+HMLrhazILzSME/xZQD14iJ -cMYe+JcYeeBaMSS/tLg5v5wYkF9J9M+rIfrl1RV98lJFr7yGomdeU9E9t5Xo -mttWdM7tKDrmdhXtc3uKtjl9RVbOANEy5xbRLGeIaJwzQmTmjBZpOXeIBtl3 -iXrZE0S9/ZPh3C8a7HtYJO+dLlL2zhKpe54U6bufEem7nhMZO1+E87LI3LFI -ZG5fIjK3LRcZ294SGVvfFelbVsP5WKRtXidSN20SKRu3ieSNu0Qy1LSsZ1nL -TVfniRZQx7KGsX7lpkOV7+tf6NJdeFh0e+lr0X3+N6LH81Cyz34rej59Upfq -Y6d1mU6DEp0qS1SX5833YmlOLBbDsCxHQVmOuUOX5J2sHCcOLlaleK8sxf5Q -hn11GT7cXZfgIx2hBNsXiUex/J7KOiPmyNJrKUvvpHgJSm9hk2Pi1cbfiMVY -dsuh7FamfiHeTv63WMVK7uOaBeKT6lBuVbHcyu8XW5P2QKntFDsSt0KpbWKl -thpL7W2Rl7ASSu0NKLPFUGav6jJLkGX2HJTZHFVmXyQ+Lr5MnAllNg3K7CFx -uML94kil+8TXVe4RX1eb4JXYSHEcS+xbVWI3Q4n1Fyca9oES6ylONukmTsEb -5UyX1uLHgU2ghDJNCf00Lg1KKFX8fF+KKqFfWAn9BiX0+7M3qRL648Xq4o+F -N4o/FlUxJfTbigriP29BGb2TJH6CEir+IEGc/bCc+HHNDeL7tWXF6fVlxKlN -pcXJLaWwjK4zZXQYyuhLKKN/Qxl9duByUXjwUnEASin30MViL5TRTjhboJTW -F14m1hTqUloFZyWU0lI4i6CUXoIyeh7OM1BGj8OZAaX0UME14l4446GU7oBz -24HrxOD866GcbhAD8ipiOdWBckoWvfMAXOQ1ET3yWohuea1Fl9z2olNuZ9Eh -t5tol9tLtFElNVC04CWVPUqkZd+uSqpu9nhRJ/seKKkpUFIPQUlNEyl7HoWS -ekKk7X4aymoulNU8KKmXRMaOV6CsFkNZvQEltRJK6h0470NJfSjSN38CZbUB -ymoLlNUOkbxht0iBNpmxJls0hvbY7IN80fLdApH1dqFou/KQbomyHb4G7fBV -3QplG1QtcC7U0zPQAmefUq2v96O67fWZela1vH7Y7mQ9DcF6ug3r6XZocWNH -Q3u7rVjcPVy3tkm32rYma+kB2dJYLc1or1vZE611Lck29nyLk+KF5qqFlSix -U5dSI7+UbPf6sNZB8bHsXDdCKVXOFZsqZIstiftU19qRuA3LaL1TRrmqjFaw -brUQyugl6FbzsFM9jZ3qUSih6eKr8g9DCT0AJXSvKqGjwRIarksoFUoonZVQ -YyyhZp2hjDpAh2oLHSpLnG7dQpxu10yc6Qxdqgd0qT6NobygQw2GDjUcSmw0 -lNhY6FB3Q4lNohKD8nqkvu1Ss6FLzYEu9Tx0qRegxF6+UfwJJfbn65XEH29A -mS3Xneo/0Kl+WcXKDDrVD6zMvttYWnWrE1tLiePbrxff7LQd6/A+KLVsKLXc -K8Xn+VeYriXLLQfKbB+cXVBqsnNtgrMOyu1jVnJvQrktg/M6lNyrB68UC6Dk -5sF5Fs5TB68Wj0HpTYfSe1h2MjiToJONg9K7XZZfvuxm14lb8stACSaKgdDR -BuRVgzKsBWVYT/TNS4EyTDedTZVibpbqbl1yO0CH64wdrgeUZG8syQGiVc7N -UJa3qrJsmjMMSvM20TBnlMjIGSNSs2XHuxM63t1QohNEnf33iLr77xX1VeeD -Mt33CHQ+2f1kqT6mOqAq113Pqi6Yvms+dsIFqmwzoBtm7HgdSncpnOXQFVdi -V5Ql/B6cD7CM10AZrxVpm9bD2YjlvE2VdMoG2Sn3SPCsBsh/DTlfqa8E+Pmp -6/eJNOi2GQw9N1PoWV8RCj2/LdFzoUbPyzl61i24M6DnLgsRPb8E14ZEz89r -9NwD0XNPhp57R9AzXCUPIXqeYtHzrRw9323R86ixtlUr9AzXy7jhsl0X6SsG -0fO9Hnp+wEPP1LpnInp+QqLnrO/FM60APbekFq7R88tNjyn0/JpCz0fg7jms -0PPK1C/FW4Ce35Houd6nDD0XiDXV7R20sWIOIGfZzvfiPaTR8+6EDXAXrYW7 -6GO4iz6Au+hd1dJzVUtfBvfREuc++jRxPtxHsq0/A3fSbGzrj4qvEmVbhzup -PNxJFbGt3zhRHK1+tzha8y7xTa07xLE6o8WxerfhvTQE7qVbTGs/ia39lLyX -mrJ7qRW/l5rAvQTIua++l36Ee+nsCHkvAXK+E+6l8YCc74F76X64lx4G9Dy9 -vvh1FtxJT9YRvz1TS/z2nL2TVNuHO+l3uJOo7f+Mbb+I3UcSOZ/eAG2f30W7 -rhNf75H30DX6HpLIWbZ8vIOy4f7ZA2c73j9rATl/CPfOu3j3vOG1+6cL9J0z -De6aB6DVT4JzF9w1owA5D8svJQbll4V7pgLcM9VVq++rWn2mavXdc1vC3dJG -3S2dcruoe6VtTh/ROqc/IOdBojncJ01yhqu7JC3ndpGskPN4aPG6zdenNo/I -Oc0g5xcQOb8Kd4S8K5bBHfEm3A2rRLq6H3zkvFPdBxI5N1R1DDX8PiDnVfr1 -i8i5vSpdKNvX8NErS/YFDzl7nb636vT2YRsXOY9iyHlIsZhEj1iGnGUJTu3K -kHM7erjq0psLZTePkHNTFzkvOwdyXgfIeQM+VDVyjt3y5SM1z5TZ61Bmr0KZ -vYzI2T5QqcQscn7AIucb/bY/KoKcv5VtP7OPOKGQc3cHORcNg/IZBW39jgzV -1n+exJEztvUn6jDkXAOQMzw+F0Yfn6qEVrES+hBLCFr6d4icT2zRJXRs53Xe -A/Qq/QBlyDkHkfMOKCFCzrKNv+89Ql/FUnoOS+lxbN8PQhlNhnM3tu4RgJxv -hXIaKB+iefIhWhMfoimmnHrktfSQc3dEzv1Mi26aM1Qh54zs0diaw8g5dc8s -DznPxxb8ahQ5b5HI+SNouWux1W41yFkOmDIJOb+fr4ZKsqTa4jBJtsLOgJxt -PR3V7U/WkxwczZZt74x5iUrk3JeQ870WOcsB0ci79HBIIWdsbbqWbEub4r9C -OxeJaR10G3sM2tiTUEdyADQXWtg8QM7YvjRyPorI+TAg5y/Fm1BKsnO9B53r -gzrYtQA5r7sRyqhyDiBnKKPEvfoBmrAVZz1rxT7sVjkJq3DOQyW0CLoUL6E5 -gJpnm8fnV0mPAHKGEqpI8x3ZocZHO1SDYeJ4ymA12/k2Xc52+kF36o2znS6A -nDuKUy3aQXdqLb5rbWc7Z7pChzKznYbubOcu6FAToLzuTRE/PwDlNbWB26Ge -hg41F0psPpQYznf+XAwlthQfp1hi6nH6bhKgZiizDxPEjx9DmdGMZwMrM/lA -VagZutVe262+yL3KomYotQIoM/lIpXmP7FqbZbnBkQ/V1XBk53oLznIsOdm9 -JGqej7MfiZofhzMTym4qnPsBMd9zQJbeNerRKmdAQwE1D1IP13JQgvBwzb8R -SvAmhZr14zUWam4PqLmTQs0dCDXn9oUOZ1Fzc4OaR2jUnK1RczJDzXUVar4P -Op5FzckGNT8u0jzUnLFzvpkfadT8GnbCpWqOJFFz5ra3VUdUqHnLB7qEt6xR -nVE/gDdp1LxJouadBvXKx/CFImd9LQD63rBHzZ/T1sLD+hPddRvT7Pl9RM/y -qnj7oELP6qEdQs+vInqWj26Jnufb2TNdIRI994w5e8aHOJ89swGXg57xeuHt -WqFnNnuWD/R7BkVnz0H03OGsvnba4IO9tUXP8tEu0fNLzY6Ll3EA9lojPXt+ -I+Owebm/lSzR82caPddB9FwT0bNs5+oFL4dh++wwLEG29M0KPe9N+ATuo48M -es5NeEu95OVATN5JeiAm76QXxacJ/DX/JNxLj8G9NEPdS4fVvXQ/3Ev34tyZ -t/bb7dyZ3U0n0vncubs4iXPnUzh3Pp3VUpxu01yc6Wjvph/pbhqGd9Md6Wpo -9jMbmtkXvW79v1HrN/dSJXUv/bbczp1/epcGZnAnrSkHL/kbzMCM2v430Pa/ -hrZ/WLb97H+qu+gzuIsOmWHZJTgsw5YPR7b89/D+ka/2xXD3vIx3zxxo9U+Y -Qdk/VbuXL/UxCjlfD/dNabhrkqDd3wj3TG1Azg3UPdMzr7HokSvvGGr1XbDV -9xatc/ure6V5zmBEziNFukLOd4r6cJfU2z8JjrxHHhQNFHJmA7Jdc/HeWKCR -8w4XOcsBWQZv8xu3qnshRSHnfYicc3X9UptfqZHzss/dTo8zsh5mRnYyMiPr -7c3I5KOWHrQj7rblaJCz7PbDETmzOdkUOSfrjeUnkXOnAHLOQuRsO74ZlC1h -yFmW2yoot/fqsYdqjXzd8oPIWZbZeiwzud5530POS1TbP0gl5iDnJxA5T9eP -Uwc5Q+uvcTeU152mvCxyxtaPa50TsrxiIeex50DOTwNynuuWT1zkjGub80bO -rJ0fZMh5DyLnzTgA+wiR89vYxl9n6xuJnJ9ij1BCzrqU/iWGHygJyFmWUgKU -UmWFnPuaFU4mtmyJnOkh2tmWU05/bNODVYtulHMbIuexgJzH6dac7a5xNHKm -x6hEzi9gGw6UFLVdVlKpG3aokkpbtx+QM3+McuRML1GGnOfrwVFPZ4eD7Q5a -XR9odX0fKFJDIrnDkQOioRNZLUFbu53XktrfIHIeiMhZrlF7YhvjyBnq6MnW -Z1T7osEP7m4kcl6Ec583MvTGVM58dBl9asrIrm6yVbfalijLaAeb84RKiNY2 -ejv6aYKc78yF8nkGO9Qs7FC4Ga00Bcpnsimfo6Z8RtqtaGqMlQ3OdU6puQ6g -5jYtxOn2bK7Tp1F0ruPPmx8C1Dytfngr+qI72/njDb3S+fVNuxn96X1dYmq+ -o1AzdKkNZZ21zvEdJdWM5+ief4kjgJr5hlSudmS3Kii8VOQdolnPpeaRugHK -7JNCPe95D8ttBa54XjsoO9eV4gVEzU/Dkd1LouZHcPYz+YBe9ajNab7sYnLd -UxpRcwXVyTRqrhtFzbmEmtsEUHMvtVGlcpRbVQc1Z7uouT6hZvWotai5wV6J -mmcY1Jy6Z7bqfGm7/Vnzy7giItT8BqJmWbYuatYdcQ0cQs2b8QG8naFmi3RL -XiByTlWz6+16VoW/Zir8mukcPa8m9HzAoue3wuhZr6u+ctpx9xfC6JkzN3rF -mj1Dmx4AbfrmyR56xpUWf5yH0PPEOOg5wtxQqy65NtboWT7a5bqLBmB65XXC -XR8Del6i7iBAz3AH8UGYfsHj7ksOw6rRMAz3X0nyPtqN99FWZyBm7yT9ms8L -sTa8dbK7C4O7qQLcTfxVr1gb8Kq/6Q49GKsn7yeaO5/HPozmzvJ+6gT3U/cm -Zu6s9mHyfhrD5s6T4W66H1r/w96rnnZhuG7+M1brf5+1foexUcoyNuBOOiLn -zvuvUatmavsFcB/lFWrGxi44W+U9hK/3D+C8w1s+3j/Pwmt9trp7rlZ7rvvU -ivkacTsg5+H4Utftvoq6b/rm1Ye7Jg3umkbQ6pureyYyJMvlQzLZ6keq/VUK -tHqNnCfCuRfukgfUPZKyd6Z+ee9+Sr26M2i1vFOvljO81XIGrZY32dVyCtSx -vBf8ARmuljVy/syQrbpAucbbLvci5PyILkv5qB1A6yC2CuKlyJHzBELOg2z5 -Oci5syZMzQTkrDu+h5ybs1UPQ84r0r7ANc9nbFh2kA3LsMzK2/UOPVD3mAcq -tf03PeS80EPOTyNynoXIGcuLt/7qPnLWQ7NzIuebm+hV8ijb2hVyniJbe7Ju -7Y96yFkOxBYgcqaBGFsj/7wqEconAcqnnEXO/jDMR87ZUeScH1jdEHJ+z0fO -rI0/hcQnWt/IwZdc34w2yLkMlFIiK6V6LvkpT69wOgNy7kgrHIacmzvIeQxD -zhMROUM57Zuq2rJa/+6ebdY46TsJOSMBarslQGnk/LFuu3JQpZCzfoym4RpH -t0O9xmnzliYwxmQ/SbLiHKilpwA5P/GdHhTN+MEg536AnAdM0cMh5xUak/mk -W5lCzlhHD7IX6DRsX+oFylhPsm0h2VAiZznzcR+gn9vVTS29upEcww1VoIQq -hkqIutRqnO9EmRrubEd3J7UVTcKtqCI7sblOzXHim1pjxTdy3lxXbkRxpiM3 -oukD1bz5REM9bz7ZhLiE7aAztRbfyZlOWz3TcbmEcqaT6XEJ9bz5F5o3z6gX -2Ihieb0aKi/7MLVkKJrtWE7hia2AmreXdErMEKJoxiPLTHILkaVBcx7ZrTZC -ia1lj9R3kBglN6WvQbktPGgfqs9I1Azd61HkGsqSuxdKbgKU252q7DRqvlXN -fxA151c16x45A+qjOllDXPk0R/7hX0XNmqGRblDzXWrWXA9RM2doGNS8B2fN -u59kqPl5RM0v6VLd+SqbNfuo+V21KsrYstpFzZs0ak7ZuNUg3BRCzZJ49Um2 -KufrW18gclaInNgfGj0nI/dZz56h+36k0bPswIozKbvwOy56lutfSfSS14dE -z10k2esVydw4oq4Rl/esW7PPe1boWV4rilR5VvR+qFj0gTbdbwqi53st73kY -Q8+j7mToebRFz3cjc4OjZ3nlKIKYvHYYep7aTbdwi571AIzQ85xWtpWrawjR -8yI2CJPoWXOeGXqGu+iDCOc5F0mY2WKrYY9tFzsTt5g9mGZtcAaZOxQrVHeT -ZpF9al71s9Xc+YskJGOW98iY1N5rQnuvBe29zihvJ3aLOIF3lN2JwR3V3M6d -ie98xuE7N3b5zjh3/okNzmT7/zVW+3+1irqfDGPjTdqF2bvJMMg2BObOe67V -OzAkaX5acDm0/cuw7V/MuM6Xqhe83HsRQXMJnFfZHST3XbMOIte5wHKdJTvs -Frh7JDlzYB5xneux+6YZtHt517TDe6YbtHu4Y3I1MbOlYoANVXdLRo4dkknk -XFdyneUrfO/DgJx1q1fIefccQM7Pw5Gv7oXq7sjYvhTa/Aq8M94LIOftGjmv -26uR80eclHmQI+cOS1Gm4CBnKNO58MCVyFmuhp7A0pzhIecp+jEbCzm73MwY -yBlnZSHkrGUG+rGqkfNxi5ydYRlfMx9iyDlfI2fT9nejrGCzIUbRaseumpcH -kPM8HJpp5OzICULIuSYiZ2j/x+vFQ85QWs27uMiZhmJIdvqFIWdVOk+GSsdr -7W8icn7XQ858jeyQL3X5HNkXRs70+NwNpRNCzmZ1c1C38heQbKklA3r4JVfG -kw4Q8elfYtiB6xXJUq9vqppHaB96hOY2C8gGJHLm5Ce5wtHIWbbpFFVOdyNy -lu05hJzn6JYsh1g7FmIr9pHzarXmTWcEqBRAzqlyjfOJKx3IIumAHB4t/Vx0 -gtbXBZBz14V23WqRM7Q6VUvY4qCW+j6k9zcD2P4mnmZgwtBiRUCkOrqf7W6m -0u6mnW5dknD4NOc4N9M19GpjhZwl6WmJQ3rSHEM156FOhSW0GR6fW8vr7ehO -Q3haZ3iFbvl4ZKcEmuvozvQldKavyj+iSscwNQKPzmP15DbUkwhkRufN37Ww -85wz7Rm/Wc5zbmbzHI9H+MuUZDtvNl0JWRrzahgVjp7pVBK/Lwt0Jiivs6td -lgbvTna2c63mFMrZDpQYoWbiNmuWBnGbLwlwm6lbXa5Q82K23lGSAuhWTyrU -/E9c8fwTUfM1iJrlY5WkBbLsymvUnF8TOYcaNfeGLtaLUDN0sm6Amrs6qJlm -QX3Z6ieAmnNc1FwHGRr1EDVLXmKDfYSaZ+lZ8+7ZjKHhoeYdGjVn7FiiUfP2 -FXpFtI2jZtkFP0Z5wjokWm3GLazHqmCoWc6VSsVCzsqi5HKGl/8OeFn/ypz7 -Ab/6RmQ8r9MTZ9l3G0HfbSIx8wcaM6v+yzBzu+WfasrkUouZ1cR5IU6cX0K6 -lzNxPmEnzvIykQusR13MTBNnHzMPOc+Js8LMQ12+hsLM/Rlm7onCiq4cM581 -T3bdwDVmfg4pl1YneExtvBbH0AmucnSCBeYmUpi5IgmX9uISmY/COHeMv+Xl -FkxyNRYxrsbznvLC24Spm+leqxE0b/oxzjbsW7YNO5nJWc5dIrfT6bZN9bSZ -WM43622YvJ2Kx2SIn/xpM73pH/OUF2rarBfNv8ubifE0fla3kuWOff8JCps2 -Wt4Y8TSo4dNtVCinzUzU5C+YNcNZ30CLUFmhGM5SVXGQRmRaUXGn2nD9C26c -a5HhLDlh1VHMlGIWy3o8JnlgHUUn0gYi/0trA4cabSDRMevvn+DhZb1UTmMM -Z7tU1ng5E/Bypnljr0b1w3r9rt60XSkeJF5WSoWPchTPijV4iZdNj5fUqlfd -Ht9dluccwst6r9xrpixJKMeHocc/iHgZ98qKkQl4+ba7tOAggpexzzsTsj4W -L/Pd8qw2P5py072eT8mOIS3KfZqSoMAsdehZavAyX+hsiYmX3WWOfo5yvGyW -zBwvQ2l9zeiZ3zB6psXLQ9i4LICXBzWJ0dTtEvnXR+0o7Hc5CpsPZbPgRvvU -jIWX5RjMp13GFAjY8jl08DKzON7PREryyblWLY0vc0QCtDCej3j5yQLdwKcy -vKzKyODlsrpxK7pTLVzapHt4uZ1p1m4pcbHASIWX5SK4AVKe6hLlSTXlmawh -E17WmsCM7a8hRXIFa76El7Gc4PmZCuWUyspJin5avHtAPT8dvAy11MV7exq8 -7G9tpmq8bJQCk89DEzjUfXf6+lrVtlCgE4PZLGnNhJeX4m70zZQos/mT6gc8 -ae1u6E471ESHl48rDuD8DDnJmR8oHa4HvM/VA1JHqjfS1d2EJji+Yr1NMzXB -OdMNOlJvPWU+6zAH5ZQ5LTxlZh3pt+dd3Q1pAYmbYZc4jJvhSG7LiJNbrHJd -l5ee5pjnKJPdyg6V5zOaC6PSW18H+BIcqQOcw9Ts01GCex9OecxiB7rWYDVh -LqufqPlVcNpTm2HlTGfCzLFyJ4aV9YR5AE6Yo2xmmjCrzqYmzBMRK9+nd6lG -AzgDdTzEy4BOhxNmredxsbJ+1r6hJ0UKK7+jy5X0f2o5tFZ1wbTNhJW3GaxM -+j3ufkEcCsLLlxuMfO5J89+vuFKkb1qnfz/E5lxvaNDzWoaeP9ToWS6pJGcj -C902DHpe9rkaYTno+ZVzo+ees8+Nnvsz9Hyr57QRCz2PMxNnJkfm6Bmf64Se -H+6Cyy502Xi0raVcUjt3dYK8pX9tdIIrUr9wdYKcq1HdH4XtE1sT3bd8lIK5 -CpnO1N4XM67GfMbVmA331OPI1ZgmDhMNs+J94ggbiSkFRu079EaMT5tTB9lp -M27ETjVx7yrNcm5mps3fhzZid2hrAEPTNMvmuuZd//tzN0X1gcwWgFM0z0Z4 -GqXdtzxuwIg3ZrZfeC/tws0XFzW9zcZkL5u76Gq17ZqBb/bJSot8jRgNyHko -3EG3Kh2y3HBVU3cPtwHorlQUVhvYwYzHeLvXd4y0AEhW98t4vFuYBcDeRwE5 -PxFAzoug3S/BDZW7VDbawE16NJa2bo/hWTmuGm8VKuSMe+VOuFfWyPlrlPKi -jPfpk1qE8BgugaSWCZCz1DIRIzPiAoBGN6rjj7QP17iszC72sUpOACHkrBY7 -XFCQpgVNNCazJWYXOlHkvBVKyxU1ZUdKS9OgDhn5LadnPobI+REPOU8KCJsA -AjSIQoATBAF85DzGQ84hehMhZyobhZxRVrucUS9xFEbIWZnSqAVyaU1x2spb -O5PWSuR8wCLnXCbv3+osay4PImdq508WWHGSa04jy6gUIOcb1KK4f141RyjQ -SwkFZPvO8pY2PXFpYw1qjMw2m8QC412xwD6X8qSXv/MYcl6MC19vcbOFu2kA -cl5vH6J6cZOnW59e3Fi+k2I2h/hOJz3krNuaqqP7vRfoeP0C5ZrAcZ4m0Ohr -WQ09AjU0veNZw2zm2tr5LawrzSKNnCPMZtyJkrTWlE+FHM0kTMK5TuJmT1Zr -9TdUOoURetNTjh4worvxHpzHvAWN8npq2BdKBqlNPmtQsprbNXXV6nLKPMTO -caisfpos1eq2rP4T0AI6Xk/4INVawKSIUY1FzZyb4WpvSDjAzWr4IocoUDvg -bGaGNVRib0F5kQ5Qu2fYByq5Z8ww7hnXOO4ZIxSbuaRCzTcr1CzFBNWx5Oor -WpRGzY0d1NzFQ83tz8VmDvAy6iq/KA81742Fmudq1LyLUPNCjZpViS6xZap4 -GRY163Jdg6h5Axx3BqxRrJ4up6/N1iiWPOOQalU6669zNCRyztj0Ce54ET1v -DKD1dXu120bk90XGM0fP5FXH0fMiFz13f8E+yCV6Vgth2aYZelZXzIwfGV+j -yIgoSCuorps4fI2xo6PoeSJbGBN6nsLRczeGnttHPeqeQY+655nYQi2/mnxj -BmJLHZ3g54x+6aJn9apXemVy+sH2nuj7061m/nQr4Z6K5bChW/znfouHu+qI -4ZKFjbNCc2d3Kybnzh3hvmonTrOt2Pf+VmyIx3KeIOfOqeqV/x/2ynf0gZym -ySHAOwgB0FnjR5+noe4o1v6J4cydNQA5Z3NPOnYvrUKVxesHOcP5KrPxUgzn -A5rhPAaQ8zD1ei8D9xBuudSwjLV8xXD2bQCIkjkIKZnDFcM5Hdp9Crb7Otl2 -UJa8L6oN5BYAGZLftW0ZcrtW4avbImelgIiFnFcVRJCzp2U6F3KWwgNCzgND -yDnEyvSQs+n6zEPLrnn0ftn40RFybuYi56U+cq7/qTXRYq0/KmqSyFkvmfcZ -GhSX33qP0kR6lHLkjBCgApQVgwBfM+RMw7NjPnLOYBTNWMhZyv19YYAajAWQ -MzekWY5L5LfZElm2948t9VKua06yBfI3tEBmFCcSKx1gyJkolxsYcnZ86LCl -zyOqJSJnKiG5MB6bL6lOGjkPUraOFeMg59YGOWsfup5qOWx96Iaalp2G7dpH -zskoFkjz3DSiyJnEAu+hgdVax4cudf1u1Xp9ylPrIHI+rGmJZCaFmkBbRzgQ -whfogFiawLFaEzjWONMUua/PfrZlWZ6TXZPKVhVlNqsBj0TOip8ByHllGpRP -iutBp7eiKA5QLMK9ONPZCsiZl47vpLHEsXGUfk+cOWi2oEht0nMc24mO+UY0 -0Il8xuBJzhhULhotATUzVrOcNw9ozGY4GYoxWOwzBplSXWkB50Aner4GagGr -srKSc5zybjci6tPHN1iXVIebUdLR3XxlHqVh3zluVkPl9SETD2gdoHbPIAU7 -d8+YQaudAuueoTznlIMqqdkTNWrOj4Ga1ZqnpYOaOxp1ey/jDWV5GYNd54wc -LTBIxlmzowEkvzlCzcbgRqNmy2Z2UXMmoWbV7VbgrOgdMy+SqDljM0PN1P18 -rR6i5oZrclT5urPfggtHzooTonkh6cgLSTO8kO2qC0teSDLzqlO8kI8sem6h -0HMBetUdUhLjdhw9G6fnr9zZ83xs0/JhPucEGmC56LlXjNmzj56JYsnbt2E7 -j3SZGxNjzZ59l41O1mVDrpGfIPTcSq/BaCDGdYKkuHB1gp8znWChvZ+4u3P5 -2P50+5g/ne+wUUAOG4muiMmyNqZ7rA2aO/M2Hx2Q+XNnx12jVRutxGjnKTEG -sLnzKLyzSL9Mq+fAAM3Y0cIr/8+lTB/o6JbpriobdNY4qiia/spZ78PofnI9 -6ey6ealnpKUYzmxgJtv+HfnyBf8v5eY8iO4hZQVQL6IN1Hsui5ytgdZgqw00 -YibJ/5qoFRMKOUe1gdZ2FpHzdkTO28h21jPPQjqmrNdM+dJd7VgASOSsXADe -iLoAdA91fH9W5m2ZOSszpp6JeWg5fnQB5GweqWy98xIhZ0OM0iZaKz3kvLo2 -W+tUtcbpZqWTsNWjZ7oWtLqsmJNzopXe/ptTNEPImczSHSJUHOTcrKtGzrc0 -FkW3IXJmRln+Svm3gL3sH4sqR6wcI8KAOMjZoV6eJ3KmNbL1obvCrJCfR+T8 -ODOmuRcfn7ebEpLIOQEN0atHHZwdcxp0cM7RPnQ+cs5E5NzAIGff2vHRAHJe -EC0l34du80aRAqWUjMg5XclsAwY1po58Hzq3jqybhkXORhPoOdMEPR2HFgU1 -gcRslmEEMzpYK1W1s0EjqBcts1nWjkTO5N6sPOiwfGius4aXTnkqHbuu2WuY -Gu87BugHOFMjgZOcUK2eyMzPnZIJzZuHuPNmlNKebKbnN6fYvFnPb8Lz5sj8 -JjRvZu6ovyMBijyeXKltUmyWBlvlHI+scnRHcnznkEfoMpovE+sOuTaPK5l7 -BtcBPo0lJhXsU0l6i3aPyihdldl1uCFNxFKrhsSoemj7mKEeqkqXo5xUZcm1 -w1kzoeaeRlSQFUMDmMEYGvVIYECzZiUyeFjNgyRxSs+aLWrWcyFCzQvsrHkH -zZqX4UoIUfMW3zVjrdL1xEXNn1jU3JTzjVdpvU+ZVheGnDM3rw5qEdOI+2w+ -F2JV79efi0LPOc7not02XPTcfqluz35OikLPL8ZCz6e82TNDz4GMlFs575mh -59F3hLWCE/Aa4uiZVsg+ep7WyTo8G4865rJhdIK0TvZ0gsuNTtDPRmHuzobz -zPzpIsZZH53TYePTxChrwwY2eOZZau7M3X98NUb03jrVrEtgT9aM8Z0BOd/c -UN9bDhTAPJQHYhhrKZET3lmMWRYJcuDWAL6zhp+FQs4a8o4qpPavAxzWwbHC -Js0ge63Qusg/fZC5OXOSZj6tmm3b74v2s0YbmBvVBtqWL7WB+s7JZAZaWjkx -We20kvdNdbWBDnJ+Be8UHJRtI1eNqO2sHpRp86zGvN2/rZHzSuuf1Ykj57iu -GhY5x/Sjw7KLOjlD1/dyGwxyZp2fz8x4ifl+dNY83T5MeWlFkfNeZvsoyVDr -mCUAl97yBymPGpLIGdfOCjlP81Y5DDlHYoYIOXuGNRI5dyXknCGKyGI2Bhnz -NzMcY/IAQs7LYpTMh4EW7yFnXTYh5OzK/Lmd4/sxkPNzWD7WwVkTnu7Gtc1w -JRfgj88aEQfn7rmc9IQP0JzeEQfnRtLBmUsGTJzQFBsnxCUDu59zfOhc5Lwq -4qahkTNqAskUXa1v8o0PXTtCzob15Lpp9DCaQO6moVeowRpigx/DeBqhW1aI -42xenlA/M9tzjvMZl+OsBjtqXyORM8kEiE/oetAd0PMcmTeARKcdCXaWw5ka -OR5TwzppPM+6EHdIxfkN5w3yDhQrMkiWi+eMqvnN/rwZOtCtyG9mpug/cc4g -yzpRJcW1gJ4pupw3uyWVyNxRy4ozTs6JdtD4xjhoxPKdu8TIB1y35ii32egA -D3IdIG1FyT1Du6aOZ2ldQ1W+SSlAzQk630RxC2/yUHMjg5q7GdTc0aBmrWon -1DwQUfPguAwNqwEkhkYANe/hqHke8poXoFR3kepwSs1DqJnnmhirSNc1I9W4 -ZuwSKQypunPefNfZAmUKF4ycpR5xq+/ksRYVRxY9p6CTR7JB8sR9zjHzb/9z -io2e4bCUQRNZxtBzT4aeHd6zTBiMkZHyV5gbE3CFbK6jgefOR3nUoOfvzTrZ -GmadcBWDjmmWVgxyzjM5/mjOc57lPHN/OrUfC6cK+uZZhY77j6fLwHvrq4iz -M/emG82ymv6KNsNznIe766y8u3xzLZI8qRc/H6J5GuYY9gASBhQFItBObAEI -APfV0Z1yN3at2o3xFMGDcT3prJnWYnT3mY9EzSeo9R8grrPWW2h5EydpugMz -u/OiHJSeyBAb6KoEATnTsKyO4jpPRm9Ljpxnw90yB3UT1lVDy5o4co760Unk -nIraYXfFzJDzZ0rQq/3o5IP2a5ef6TgBnHGUTb4TgOPkHHCfDSaeeW4AFjn/ -yJycucLpuLV/JDuAmBa0B9wMlERc5yRs88y0PkTk7GegoAA3gJy/SMIMlPIP -A3L2H6PhgM6g1aNCzm2g1TdWa+WiO+KYZXkEJ2rzEcl/jMemWzIkruUPzmui -4ZuBdTJHztaH7grT2p8z5Mt/Osh5XITwFAs5Nw2UkIwSiuFDB8hZ2zqOgzNR -Ief6PIhTImdlcoVltOtFVzKw3ZMMbGbIGYM4JXLOCLppFCppj1QHdlzs6QXm -H4vhpoHkwweLXeQcYDxxrYBBzjfHZjtJ5EwWqrJ2nvM4zjKya0nDIxI5L/Pc -m9+v+6n4sPZBsSbiQbdPa9cTok4a2V7midWsz1dOGq5zc1QZ+HVAGRghN3Hr -RuILqrwTplPvzOc2cHBuY7NOJL+ZZZ3MQPJTLNkAzW54B5JZtuSMGi/nhB6j -jnTgSsd3zro1u5FCfsYJKQJfYQldc9A9Y9bBqyPuGWONYY2OF7oZu9QAmW+S -T/kmKUaIa0stBmrO6QOPVR81I0kqe6SjBlSz5v0TMRHwPlGPULNa+8xgqPkp -xmuOombN0FiKxuqUBogOzVtXu7kmjtec5hY32LAnnIO9WrMzWrzr5mBLhFq2 -5YUi53eiSYWKN0noGZWKxndDo3rJfTbKQZM0GAc9v0HoWV4xKKVYeDiInns4 -6Pk7d/b8iMfcuP/8mRtjkbkxzps9h7K5JXp+sIclkdE6eWbbs8wwyw0HtorB -bywhk9Czoxj8zOM8s5Bg6fwjWRtJ1p8uKmkKeW2wXJRIHrf0zmR3l0wUrBx4 -9cfSZ2SyubO5v/w0QcZ3HtTIzp1vT4f7i6maiWVGDkCxiJvLrC7DcXRGuVPU -Y6OkzkLh9xUqmPMLL41Y0XJPOpO/7ZlpEVHzXtyDjVGxZ9KCtqwx0pL7rz6K -pJkRGJihSjCnD/PXiAYF1yGV4D635afuZgmCaljGkTMZApAf3RqlVdAvcC1r -iiJnJWuSyLmNlCTE8aPrMdfv+nZepkrv/rCTs69u4muekB8dd6BVxChmoB71 -BjjuEKLoURpCzmuqe7FnRIRK2O5FDdkAh9zQY5SRoELIOQgFQsg5NERr1i02 -co6Rt+0gZ/PQROS8soKymDVBwP5aOWLjWNIdjvGVMiJnXjbKmubQZZF1zWI4 -C7mDM5KdyJ7mHiayHWZEtiTyrxl1cDbImSxqejCi5SCGnK0hej0U8mvDqwci -D1CDnHdyW8clcDwfus3aVyMNfTWSjU2NRM65MXzo/m09ahx14HFtuerkCP1o -EjhDiYGyZcVNDBwYUAeil+Oj6OUoX51kA8Xcm6luFHL2craVjWMt1wB9c0Ub -V+8Ka7n5eZSp8SnL2P6COTebFQ1TBhpBbd3RXt7JIKdUSKMe0xHVmTe7M5uf -PEP0iCOqKacqjirQYWl4ObaxVzgBwS2hZrnGOXSxeoySItDlNl9u7B2X4ypn -kacInI2lxX00yHNOoWZVXmGnZptv0sg4QXUzcoKOhtf8fzJrrstnzYiabXCn -i5rTd2GGtsonWOSlAZLX3CrkI65GVPqJ45+Rsmmro8hL4X4WH9uZbnN0gzOo -eaVFpheMnCWDRF4dxufDfp7p9Hlucj/PFKYc9NG9g57V53lIDbjaMadndc28 -hsELcr21gDM39JUTFz37vGcfPcdiboxh6HlEGD3fG8pHYW5105GMydHzs/Ka -auEpBmMlC7I2b5hl3N3ZONXtQc5zKFXwfL02nomSM/mK2X/5o1pQz535oCzk -PO/dYZ3gDuvm3WHDPast+fK//xyDNFQK/s68gH5hGdzRLJTS3urZhQHyziLC -pu9O53KdaeVso88M1xnvJ8UgA+Q82MSeVcEdWH1H3hRRCeZwlaC10yJTgLrq -xT4JkDPz11Cu8HbNnLHLC23YFm35adjyU8lKa33ASusdjZxZ1++IXb8LCXiD -7rNnTOkpfw1c+cT1BRjtIefBjBzlI+fOYeRMXM0Xmn/rIwDHHMCIcB3zR5aB -gqscbfy4JUY5xULO8yIPUWOvVV4j5yMmdzueHa1HgPKQs7ajSXdWy79Ma+Bk -n0RsZgk5q1ZfwYYzRCLN9IDs5CZX/K+QM7fMCiDn3RGJwOUxkTNv78rBGY0d -74IzSpEvCTlrkW0/z8HZEp7a4hAMHelyfAdnCuEcYwJ962VPYsjZ89XY9axF -zjs8Q3T/AeogZ1QHfqKtpdgDVLVfJYZfwvc2UV8NnbVtkXMfyq731IE8azvC -dgqoAx/yXp2UvEnrUO5LY/LqMxRyXp72lWfoGCI57bNudE7JcFGtZ+RoHppc -FuBZ0XjKQEoKjL3ttPOaUzRvbtOSpQR6+vQITzCF6dPrRyUDpLIxkgGPpcEk -AyGbGsXS2HF92IFOlhOLr+fcZrKqWXvIDeKkOc4iZvQ496D10ZiO8oEpEfc5 -OWsuie5z5b18EzcVULtAWdRsZ82a1xydNTPUnOM6z0k1IM2aDa9ZoeaZUdS8 -K4qaMwxq5rkmpAR8PzDL1UyIVOOfsTvgAucyISj9y3WB0+3vQpFzw60rmKO0 -Rc+6GyN63hTIYUFH6dT1ex3lIEfP5PmMWb8aPaNrnbLDctDzkQh67ikF/vLq -Ic/nx07HVg0GeM8R5gai5zt89Exez97smbvV0UrZd6sLmc+q9Zjx2/jaJAuu -YGYAmphJQzLrOL++cp7lPKM2Y0fMVEHfa2MRem34edyeWjCJ2WkZl7o7IzqN -4+eYO59qqe+x7+Q91gHvsZ6AnPsBch7UkOWhZHhxaFGT2t/4HfZaFc004y9/ -bhbgDdG+BSigGBu4J9PssqvcFEG8s3ay/djHbHhG1loLUObEfZ1l+59oDGnJ -Sf4GQM6VvKEZmdFmMWMAImm6YcG27ePAzJgCWGlTKpM2ZTB/DXXPbPPizjZ/ -jDusTfpOQT8eeZd4a2aLnP3EM5QfkKdzDHVTXOQ8zkPOI+Mg58DcjOQEUeSs -/TUUcvYCg99MsTH3jgA3FnJO9JHzB+YhajNQXgsg56fd9XNQeIvIuVaMFQ6P -tUfkfHZwY1GshmSyTNIVsYmGZL9wI8dnmHXWAj0kc6VMFcQviJyLHVEtQ87U -6re7rT4UzJBfaMmY2zzk/K6DnD0vZ7Sm4cj5Tjhkia69nCuI/vncy9kX2bZj -2ScaOWehuWMz7uWMyFk9PrOxhPYHHOk8uUAm+moQck43vhqfOL4assWFfTUK -g74a3RagddTzfv2c1lnb0yhru9gkBirkHFIH+t40g3Cww8XsRCb0fJxlO+Kp -m8hxlm1IIucVno9zxI2OYoOSaHYTz1PDJzfNZVY0s2xEfUV4ZFa+z0oCAk50 -x30nukZufu0pOauR+dptW4jTHZtpjmBvQM2GIwgdZ3RmIEaoQWTe/JuXwPUn -5Wpzc0cTwBmbpfGtCuD0lTZXMcmANXjc7z1EKePEVQReqVQ30kfD5mlbHw3t -PmdXOWOU8JZ8NChWqAqiZjffxApws1jyQBclwrWouZ9BzS085zmra78LS873 -a34Y3aEkr/kxhprnMF6zzdDWvObX3VwTzz/jXPxhrQTc7/CHTVofeldkkfqO -z3DRv6JsiwtFzssY2l9ps7+3EHoOqBjJd0MxN4j7rNGznT3nGd8PBz2v+Mzx -fO7ME1MQPavW7aQM8tnz6dizZ5/3jOh5RDzmBlr+KPQ82DPMjOv1XOS61bWK -tnmby/218QHS6Pk8OM/Y7rdyzrNxquN2Wq4pgPHaSAhIm5zE09CamQcGsyxu -Skeh3VnTbq7PRms9d5Z8Z5o7Uw732RGxlIINjC+Qc4+9XE170C+Be4xpNBQc -eI8zNsIeGxYKYIrggct1eLDnTrehkHOdtWPmYvbKJ19nImtSaPAo5fVznc5g -yquAKsE6RiXYI7cpG5pplSDlLZFK0Cdp1pMJgtmxjWjTd1Pb58h5Gd4zq4xu -Is1RCUpV714TFCxf4FIl2GpVgULOqG4yyJl1/m7GmS525+/DkHNMZzoqseHM -G4B5OnPkPJUIUVhWJMR1PJ3hQUoyAt/T2U9DWVODEzalKS0zCXAIUC5yduVO -IaOAJ2MEDfmP0NvDkIAj56bdLXIOpgY2cC3QFXLWKSi/e4La330jx5B11kaG -nL2YBk5wkjKmg1AueSzOzHg5M+RsvJyxzc9jRMyZbF1jwzd5mJBEziT2940d -A17OOX1NOzfIOXuUMnfUyDnsq0GPzyhyfh2Rs5YLpKNFTZrnSCeJkzy+HkvI -+mosc/1pujnOjt7Lk7K2sV05iYEToomBVh1Y7HCcDXImjjMOczjHWctvdOSA -dXNUr01CzuTjbN3oCkxaoHSj26IITlKrvtXk2Vqdejj9xF3PkIczMjUq3h+d -1ZhuM8KbN/e3W86mLF+7VWtxOov4gYzfPEjr0s8qfmBAaOuTntCF7o8XY6sC -f34nytI4E4OlEbFFJ9RsUgVc3+YtMRSBlC7gKgKvNiaPxn0O+YPas1mW1XUq -jDPq2eyh5jyLmjujALcjR825hJr1aseaP450EgGN85wpuQfNrNlVA+r8W803 -9FEzSzjxZ7dbkDcc1z8DlYDncHzLYpxmtWZFK5xO6Jl8w4Ui521LPIbJSvQA -WWXUjFw5mL455LsRzQBvzLymW3q8bBc9/zuYN9idZXQbi6y/gp7vDaDnuxh6 -RrPMu3z07OUMTvHQs3Wrw9AzZkBLbnXz2Hp5IVMMGkMApmp+N+LznI/7slyV -yb0lplOd3/J9rw2e4vRMYHcW9aOPOQVI9Vq+77OR5fnRm7lzo6jKmQZqzKiW -KwX/MGvoShFv58g9RnBgS8BjA/dlJq1JMcwuRtLmJWJToWtMG8vX2XCdD+i7 -avQBnYgyKL+U2omRIa1kkfUy5gAtvcBgfS9l5fLsbWsMUF8h5xBJ041wiA7M -yIiWt32OnPcYfw0PObudP+SoFQM5T//BbJv7cWe6ifHzgh3kfLObhvIQS0PR -mcFnmaezS4Qi+xrj6YzWWsa6po7v6YwmAUnMmS7yCKWYTuvp7FpsScmTl4ZC -ZgFJDDlXnXgeZcTW0Ao5t4WWj8jZpKCk6FDgOPZZJGeyVjQB09kPGXJeH7Cj -idXuETnnmjWNFdZK5LzaE/8vYrY0z+CD03g5K6KTtsoaoZgafgxnbVwlZ2Dg -r+tIZx6eIUe6bOtIR8i5/j43UCiWLXom+mrQkCs9UELJHDl/nG0c6ThyDu1s -/BxOVyfwY0QnEMradvY1Q4qj6kA/fxNZTpSAYtwcsQUxbYBEzo6ZI+MMqrmN -7DxJ2d5Dk8sCuIljNP3kc87U4A/MkByAMgNjrWXYvFl3mlbidOsY/GamSS8O -dZpQmNACqwr8PZQk8D6xNMgPlXEEvQdokPSkuM3cosblNvtpJzYjkBSB7gpH -us+Rj8Ydyu1J+miUVN1Ius8NDCSd9OL5gHlh1NxOoeb+DmpuylBzRo6rBlTZ -gOTXrFDzVMPQSNnjzZqVpc18hpp5LiDzz9jmqe0UcSowr92wE/0zrE+yzgTM -M0pAnjLCOc0GNS+mme1hcUPzC+Q5b1uMfw5Cz8sUeg76Tm+xc3PLfd6mrhjF -0SZlY/AFgOj5bULPxDT5XKNnFCe7iSkMPc+x6LmnQc9nHPQsrX76xGJujGcR -way1c+sfbgIQCz0/fA70PIdbaTV3E7pfN0a0HD37Ps88xgGNAZLQiz4hlC8Y -x2sjsmp+AuVNrtLZkDSru3NnawxwC9xpA5lmw3rSm0mA9KRv20x7BHWD+6xP -I/HDQJ3IfZYSudUkIM1RCsr92W8BpeCfqNX4bZmXxq0YZ9YXSK+gkbFB/vO0 -M2N3GEGBvZTWVOhyndWe7KDmOr8IZy4SNh9Fx0xKRLkddRi3KMZGklIu98un -wVkGpjFB+88LZAnmeirBnFERSy0yBogpb9qxEOr0NWdglhEYmFHbTzXOdDGR -c4dIisNRJEyFeJoxkHOg+7tczaJoBhqbnT3MLWw8ZzonspPWOAw5R53p8BHK -kPNmBzlzowBtsZXjrG84ctaxDtYsYLaHnF1nuqPOGjoaJRxBzt0QOfO1jJeC -YpDzHLQ/pxJZVFn8KQdlRMz0woNCyPnE5pCX8z8jjnS8XHi7X3MokILCxP/P -FFztejmrNq+HY8MVar4WRf+VADnXiHo557WMOtIhcm5lVjZDjbGja09zjysV -QOScrpAzlwpE1zbpgbWNHAo1CK9t9M4GfTVM64qRYO8jZ+7qKPc13FcjqBGQ -yFnWTRx14EysGfPa5OpAKblBsfpyjZx59KbmOB+02Vz40JThQTsSdqAsYD0z -ceQc5yXGU+NTk36iO4274QzMaGprZeBxx37GtTzn+Vsm+aQt+aAG+M23R0W2 -/zmXyBbtaX4zKQIosvW8m+Wc5rQfXm8c6KI5gb4lOgXYb8IAexLaOtxm9gh9 -FrrP7ICPhnaf0+SnYfklUTag3ec0aq4VRs1qE2pLS6LmDoSaHYYG5zVb1GzV -gBOVE5SaNe93GRqpCjU/qdc8OGtWFuq7bJp2pufVnMlc56gMjX+G6mYWNfvZ -fFoJGE03yfJQs5nTGoc3LUuQJXtD8w5h5KySuC/38fL2VxnPxHOddhIO0fPK -6AXX2VzwTYGc7k+wTzuu04CZVx10nJ4NZl4SBzMbraDEzCeciXNvDzP3wYTB -fvEmzuPiTZzZ0z6AmR/q6Tk8s2c+96jTRkAnkF5mBU2vI9PZhjfYxNMPfKYz -8czw9iKuxu5zcDWswwbPcKL3v8zjxs1ZkqdxZt50zpgsOca0uann6izTBCXL -uUsgh3uUu4Q2yae+PpDxzf54XesDfw1kcMfkafDFM95eRNck78ydZkt2aYTh -rJs/YzijV+b9GBxsR2bXo6FWFcDLNxmKpsXLrRlexgTB3P4se9tqA/3whrCr -BsPL6KqhN1tvs8gzomZuNgvm1Cg1U+Flxs4kXVPnmLqmUw5eDnppTbLlFUvb -ZPq+j5e5vul88XJcJ+eAUU1cvPxhwMl5sVNCGi/bEjIBwiG8TCXkyGzj4eUm -UbzsCZl+ZUImFRcUBy872SdKCEDZJ1G8fGSvxcsmcBMXM/x5SUvljw8Fsk9Y -dNDTBZ6DM1sma7ws6U3l9Egs3/rQmSVNXkunqUcjhMjB+TaRkU2LmrtEbTRC -j5jSQPNOd0xpwtLa9BgCgeSwQMCqAzhe9h1pIi7o+q1JWdu+m0Y4MVAym4sd -TeD9vhNNe+3h6PKaIrknlLap8PK5mM1KDOB60IWYzbbTvMT0NMjPMDFBXA84 -0ZPOYnlg3sm3oSlzCzZllqxm6XzaxTqf/kisZt/5NBS8OcebyiAbMLYJekLE -yjEuN4OlAx6M4dasM04ud4zQKeMkqgP8p9EB3uu5Zwxl7hkyhFM6Nff38k1M -lnZeFCtLoxoyR5dYWarWWyhehs9mlk/SOxUvo57Byj6b+VGc7kSxsk4DDE2Y -yWsu6tDM0WXUjYI7IecG9H/aJtLq/xingWeJLNRuyOUQL19uMPJ5Tpq3vxwj -s+UNNOoJuYJ8FEXPGwN/vrXhP5/OScE/3wps32/ERs9q9OWwnfEJ/yRHz987 -6LlPDKeNYcw0a9TY2Og5Zrpg77DD88yIy4ZFzy+x8IbXWDZKVCd4KGqmVYm4 -ZlbYFI+rke9xNQ6ZO43TM6MJqF8zR/pvanrTZrIE8G1oPYeg05QmKN01ustp -szsHKA7NAaax7Zmjcdacs9+XVorwNIw9raFtlrbLZ1JoqI2Zfff7FgFb2eiM -3JyNyKnwSrNwJhggc1DuwXvrNlRh6BwUDQF0gmCqFTfl+QmCvRRybuVbAiA9 -0w0MJlcNz49up279mWhBy4200mPYAcg3eQA5G0eA5dyPzkfOvpcWz28ojjA0 -h3rIWU7MTOyQj5w9J+cwcv4+kIFy3BUM+EY1gQwU5eRcHi0eTbTQpoiTc47n -5HyQCwUMctawIPL4dJAzDxH2rQJuVtRNJRRo2iM8aSYLADUiq++OyBQlU8tn -5Yjsz79CyTShwKHIoKsiyDmHL2ZMy49mn0ibWePgXMCig6QooEAboI85cK2S -/A/KL6nGYsqHLr8mxgfRSKyph5z1o7Ntbm8W+MscnDFCSFKcosh5hloWKyN0 -x8F5YQzk7JvSEHLWi1MSG0V96DRy7hLxofvWqZ1ezE2DMusdNw3fAd3RBBaH -EwNZ4qZWBLi5Jz6nCVuORM6c2bxaUpo4s9nZbYbSAt8JZ54k2swTwwYsP9XR -0Rw1/k0+hcm3aoyTr03dpW+guwRcT2NqAdG7iYsDuAK96MME5qDBc05KmZwT -Lg5w9proOxdxa2b5AbSsIUU6mdLwCCGrAwy5Z1xvnJqlxLZ/HndqzkCxQDOF -mm2WdmdkDfYwD1IXNQ+NoOZklghI8UKu35w7YdaJXYCad/qoeTEap0s9nefQ -bDJEbH61ZgFvc7zmNAt4fzAJUM5kjf5vxSHLZGYuFBxZkgtyuWYxJs1xkfMV -8Od5KZB4+BrTOS63TtTb7J8zmt/CJ+k71byLFP3+JJ171bVBd5D2y330/KX9 -M3rouYdBzyeZ04aLnnvj7Pkv8TWY7axZKvN8lFA2d2eNnt3AYCnOsKGn871c -bjkA0DpBym+ywqZQcLBs/fI+M0znYIiDa0Vb4DjSx3J2looN0jpT64e7rabr -Sm+FTdD6MwZ6c+fOkTTBM+3hbuvS2M6db4W7bXimpXCyRbQcrP06M3C3oaPz -70squRnc7+r8pqKPElQWypm11mRL8s2CDOd8uzEjD03j5oxLZ4ICMsxhYSHT -BuL99SDcW5SDMkpxyq6Hu6scLpur4/CMQwBXG6gSBJXqws3eln6YKYCcGziu -Gg9aP7rdlp7JXTXO14JWIueMNWSklSd5Xxw58+4fcaFFP7pemHzWe1YAOU+J -OjmPjOlHV+ymnwU9tTxXjVZnmGDARgwZtmYgAo2Wzjo8OF8/PiugUIDM0bF8 -9sShOjnlk/C8h5yxfJJYBkpVBg0UcuaiJ7KnvRlKCOFBE0TOQwE5S7PzcUhh -iiyX6zjL5T/Ycpki6rXhubuWcZBzwMH5a69M/LbviwHWFbqmWSb7pJA5OCsL -R0LO1xjkPBqQ8zCFnK+HkklkNo6egzOWjZX69zCWsvzRyWPr5TCstomt98M3 -40UIrYgiZ2OETqY0hJyhTX+gxUamdmhfQ8ZQUDsyebPHPC9r+/EzohfT1PZ7 -sMi4afgvTkcVMByR863FUTcNk3tyViNnHNrQS1MObLQDDa8VSQ38QiJnM6vh -zGbHg26P8qCT/Iw9XlqgazxjnTT0ZvOZQHdxt5rfkGzW0wPadQzP1+6g87Wz -7Ebz+65NxQ+qswByvgX1MyirLR6PrGaz0YyubLhvE3UXygv4RTpohHJqkQlI -3IxjvgG6enhegSp01/2UPJw2QOl8wliBNuNExwe9xHWAB7kO0HfPoNh6zDfJ -q4qouW6MVMA2OMPppFBzB0LN0I1aB1Ez1wDeGdYA7p2q1zo+at5Nlo8voBaH -z2O9XBMHTX6M+r/1TDu3DTU66DyxFlGzz/5F1BxhMqDzcUfm3eYgSvRvu3Dk -PB8f5OyFQN56O143f97QbN3NDF8f0QuSU0ja2n2eV50OLiUeShvmrRcLPdvZ -8zdh9Py4ppDRFRXTaWOSRc90XdHsmdbLd3npgufl8Ox51M3O0tcYrc7IEoDk -zYsbhnWCnOn8cU3u7pzN/OlI57zpnA4bduWs85ykS9DnvrgpZKhlbAHQijZG -/NkpX+9sWM5NcDKA+kDjS+e50jv7NAkPaml4oBgbciqAio0VlIWSyJw1ApFo -23GHptbQmrHBPelk/rbj5swsAlZ6Q7S5PAflAGkDKTxY8spuQIGTTRB0h2eu -NjDoqpGtAxxk9na9/agNlMjZcdV4Gl3jOXJ+HY4XGHx+sWcKOXvJZ51e95PP -fCdnQs7f6wgicgUIONHSOudcyNnMzxhTcxohZ5Yd7BjVeMg5FB5skLMSOuW7 -fnRJ/trmE2eYlhtBzjyQMxopdNg3p2HraAc5NxhqBmtB5HwHIudJHDnXj4uc -/6CQzXjI+RNGy+QlIgdmKi4ojJy1Ac3FuGZ2kfN7rO2rwM1CNzZoFhGcADVP -KqBB2bWKiqnltBQdxB2cMbI+r1VQUivjg3wTdLmuScHWXid7EoYv+KVDsfU+ -cn7DiRBKd8I39cMzHCFEPnSfGh86rqmVPnQqxstz0+g102oC+z1QZF6cHDmf -b2KgQs44tFHO5+10JNdsJU3/LpJ7oplNZj+jkHODKLOZkPOWCtzwfHNcDzrT -XZiThkNmKu9tNJ14ILmOGSa+JS6g01Vczya1zWzTDOfNTfQ2c6DHao6XsuXM -mz0toJLUJln9DNcCrtVJW99tikbVG5aGx2guUG7NUTMan9FMD0+KqzeGNAWu -DlC7Z1yD7hmSoXFdxKm5byTfpBmLEQqgZjVrHuCg5ibEZvZmzS5DgzSA0zFn -4HE4s5Gh8azH0PDSAMl1QpUc5YV86KBmPX91HSc0kxnKcM1+lgSoUXPUcSLA -/33tK42ajevEUdTNyRZ3TJRreqHI+Tl8KTyH6PlFM39WeS7E3tiG3OetUb2g -7thrcd61MfJisG4b+43bhvaqQzN541UXCz1/xXjPGj13Z+i5l4eeyW72r6Dn -0X8RPT/AQ089h2flUdcarzIef8ZzuRv5OsEvxNspxHk+d5DDuU21lhu9s3Z2 -9k21MMjB2NHGi0CTc2dio3F3+pC7RlTzLD02f/R96bg+cBrecVzvzBgbPIOb -iJxOFgrt0uhuk2qNANeZ528bN+dD1s35TU/o9BwboiljLaYNHJEv3Zzp/roR -EwRZ9jYTOHXE4GCLnG+JkDU1cp6gB2f7aXCG7V8llWrknM6QcyYi58wQclZ6 -3Z0aOaOwiSHnVoSc0RWgk3EFOGyRs3LVkDFEp0RP4+SMD1Pp5OxtnbmTs3qM -Rpyc5fysyN08B/zoVHbweSDn1znpyTGoKXRjhah0yhNy3hEhPGU7sGC5F2X/ -UtgUPWk6IzyRvJYTnmglzZHzIEDOaFHbpKdGzsMQOdODUiHnBnFav4ecl1tB -kxMO7GefkA1AZNWsHZw/ZxFnEjk7Ds6FuvXbgdkVDrnJODgXWCktrZjvRAvH -oWq9XEaXTN6NTMCU7pGc2plWr5FzXwze5Mh5FEPO4xVyrhcXOaMhjRoKLUbk -vNLI+F3kDO1rg42t5z50LVdx5Bx1o5Et2dYNtiU50GGpm/18Hzqmp3Vfm2jy -dEvYTYMygyj3ZLbJPdG1wplNus0o62aJnNUjkzIEjITWujdvT+TuzSEPujBT -I+Kk4axjfLMZHg0UTw/I/Jq6oF9TTAUNk9TO8Pyanq8BXaWa21Xo0ek4NpdT -pfO9l7J1wimdf7nm5wd4pvbFEfNzu665zCE6UTLgvEB0ELln3E3uGcoFVT4+ -S+u1jQre5NLaUJa2j5qlxLZvEDU38jSA9Y1L82TmN0ez5kexM81WXMI0nDVH -UbObBpi5lVAzIkfjNLHBQc3SNUN5zfF86o+YyzGbu1rk+FmY0/yq5TSrObPn -1XahyDl9xxyHnZKxc15k1q5fDUu8bJe3Bc9KyUDloIOesXsn82wXxVLJdubt -3DlEfQ3w5dBxaRg9dwugZ8N7fhza+6zvGXouMujZkTThkIzLmqjdK/vZUTab -m4y0OEnTQc9OuuBZ67KBisEQWfMVShbMtIrBIOe5puQ851vCpmGh7TJTgVCA -MCds6lyUlz02Gp8OWJ9N2qs5DvXGXWOYWTnHY6RJn80zPIfb5KFk6rmzDHog -u9r7U1AKxTTPFPKAjA1D5jSMjSTF2CAJlAMNDNf5OnEEB2qG66zyty8xwzTD -dWYr6GVoS7sAd2dPFzCVoIQCBZQgeB3cY2WUA5C+w3w7WjLV8lw1Atnb9oUP -yDlb3lP34x0lyZryVW/96NLRj85Fzu9gxtJHeA9tVLoKBzmviYmc2zt+Wof1 -KotiiMgG0szOwsg56OR8uxs1FElA8/3oOnu8zTbfO4on7q21iJcOImdjrlU3 -urLRkCAWcv4YkfO75/Ho9IMdNHI+XGkKIOdonNA3ASfnCHIe3thdNUsfOuPg -TMgZH5TzmYEWkjRtYEN5eFRq5KxMZ8hAa10sA61/BR2cC1j7JxuAjVAiHDk7 -Ds6FNDDTyHmmRM4H9ENzAjo467YvDbPK4oq5mrGZlSVDUiaJnLt4yFk/OHnZ -DFdmNGlMImCR80NMssSQ805rgh5Fzu9b6Y6DnPdo2b4cdK0OODgH6oZ2Na6b -xvcR5ExONKZmaE/DSYPDGHKWUhtfHciRM7YaemW+GOY4y92MRM40n6H8AGV4 -bjjO1rJRc5yps7wLJWKdNAxTQ+UFPOeWRqKnP3celHoWc6xBVBl4wlEGYjat -2mI211tM9Gr6cWBD8SNzbf5pHHQTXz3DZjGOheMinDejKvDXt7SDxs9eTL3i -AYZyAuKQnGR3IZITbTUpaYuEtSvhLDXm50R0ulorAuO4ZwxV3OYyzHOuBss3 -SUen5qam8/hZ2pQl0Nqg5lsRNQ+3zhk5Y43fXN39EzERMBZqDjE0bBqg4ftG -0gB9fzbfoZl7s1mfifhKQDfTpLMM8mRKQIManXQ9jRzLNWl/Ych559OoiHwK -CWFzYzBVyK/uDZRWrHSUg4b77LiIRJWDafiK8NEzuVU7XnVvfBaYPR9mvGeJ -no8F0XMvBz0z1eD5zJ5vj86ex3uz5wh6ZrPn6XzlzEJQtWLwZCSXmwcIKwjA -OM/G3bkaMwqowIwCjPp5PWOjSdIm99pgxlqOszNBgBlwz01lKuh7vFRU4jvj -XaekTr6rM6mg2xgV9JmOzSJ5KK5SMM2ECxufTVJAz6up3YJeraqsal3GhheN -xomcWy1jw/ekk1OBAna3badQh0LLdV5OK2hUOT+DmU3TUfIk18+UIDgUXv83 -k0pQ7cwoAo2CHLzs7dxo9ra2or0dkfN49MZ0ZU56H+YjZ9v+Q8iZnJxl+09f -u08hZ7ShVcg5ggBCTs4MOc/GskKlk3yQGo+A++JkoIw5D+Ts8DajyFmXDskF -rDG6tKlZ6j063zWBDoWOk/MmCuNMwnVNgg11cJ2cuT2t6+Tslg0ZomuL2liG -6Bo585UNMxBQyLmdRs4mlp6RND0H5989B+c/AlFnP0XWzfGsZ8MOzgUsHFiu -ZrYykuZqOI4PHRua8aAGSW667wCPqr8OkbMmOFnknGxNAHJbeIMykgdQ9ok1 -QNfImYZj41WLN9kn+wIOzjtdolMmJzptDcQHbdgp0lTpoA8dBhIYH7qAg3NX -9KELImf0pOkTqBknq973bxxcFFEHcl+NGR24OlBGcJ1y1YHGvRmQcyog52SN -nOshx/kmy3HWQtr9WCLbATlbJY3lAgaYGqo0YjA1KvI4+nFR16a4ykDKpW1h -87X9efNod94c07GJec9p3TlTBRrH5kRUBVoOYCQjQLI09obtGw8Uht2a17Cy -oQfn4qAi8J/GwpFKx65rZL5JKfScq8wM0BswslNTU0J+lrZEzTJCKC5qNobo -5zdrVpY1uykNEFHzjoWM58s8JhzU7CbqaXc2hhI5av44pARkqFm2L6aQ64iZ -Jp09doZBi2SxKssT2QoXipzTdj6JHG+eKe7P3m1CIs3fM7hykBSS5Fy9Jexc -zT34aAbfmBISHd+NQmP7Q6wVntGtUwY571m3+B4yYfApXC0/ftqi5+lcNeii -58Gs5cuVWQg9j7vNks54iIODngMOz4/6hE05PGvJcrl9xWA6oGc0CrAhwoec -1fMGxzfIwgByqiNGWlTL4e/W+F1n9RyxLWnpvhsauO+Q72zuu9Y6h7u9l8PN -7zujFGRJgjRgcxgb5OhcyWVs+GTO9WUZY8Pu1Pz8bdqnca6zHqbZHJQlqNng -OSh0p91TQAmCEjlbKCAnANYooJFWNXsRaJphpk0CjL9GtvXXqKeGZ74zHSFn -LXNKR2e6jO1W5qR3YO8HQ4PlyjndjT6TyPlcfM1utNKay4I7mUeALCfpRtv/ -fDJQRoWR830B5KzQABfbeiaPOs4eI4U42YkZPGrDABvG6Tw4caBGMfa745o7 -LvbggR8lRBDhoYgMSpcNF9kO96LR+kHZ9BJnugNyHtFY/MTLIWKnxeRN3uDs -D3SkU0RNz5Eugpy3lHayT44wKYBdy1ymIIB1cLbypjXO4Eybni86aE0cn2GG -AA9B26ehmUXOpdGRjuxmac2cycKA/cdmLxNn1sJBzqMtct4/wSDnBg5ytrY0 -6TutI10GOji7yFk70qUaRzoXOZOvRiuU5TiOdK9yB2c0UA040nHk7GsDgk6O -mFMfWx3oM5tQHYjt5RU394RqRCLnd30hLYsJIilAyI0umhaoxbMRpobx1PA3 -mFo5E00K9GYwnPun5s1N4ZEZ4jdLeUBaxK0pwv0zZVMZ+c0VzBzmF+516jg2 -ccdm21HItekLuabJ5ywNy23exrjNHzJpAGWchJIBjY/GAd9HQypqSqnSGWBi -g0JOzS1Mx+kSEzXfzFCz79JMkgE5ayaX5iivmRgaUdTMEeIb1tfYSQP0fSU2 -G/8MqYpLVRnULAeEpeipHJBVgRwQw+217AwnhxpbmZqxEmqWRCqcs14ock7d -9RhTSD6BeQuc7z2PcZ+jvs8Z/tdna4y0xI02LbEBy32xDJaoax1nbvjouSuh -5xdpBn9cfV16zDnJZs/44Cf0LNdlDyN65i2fmBuEnu90zQEsei7Sko0Qeva9 -no3fBjMKyPJzue1KjQw3jc1W8udONoovedpcwU8VJNaGz0rzvTasWjAahRYO -EeZ852P1fQhAOzbusxHI4UaHOrVjY0rBnydhwDBnpj1VW/we0nPI/RoROhVj -I8FlbPBoNDQP8DUcyp0OV9HG11mx0C53uM6vHmQqQZ4giAa1Y5TkiYibtH6u -g+pmVAkqx/l2bva2Y0w7TDTK1v4aOjj4brN2bsCd6XwIsONlFzlzmdMWba1l -ZE7wWpV7HiZzssj5oPF07mgyUL6yGShB5HzGogCV41AU05nOQc5QPuOHM7NH -5kznI+fp7V2hLeU5zA951PrOdPXDznQbfaJTgutM565qVnq26C87tujSdssa -1k4LI+caDDlHnOl0qPDJxr0tcjbU/9S4Xs6G/g8QwBgDSOT8VlLEtPHHNQw5 -b9LI+Tg3bjSOdOjlXKCRcz5a0NDjkkrEIucr9APz4JVG3sSttKYz5GykTQY5 -yxVNJfbQTPGQc5uIDbpEzq6Xsw3dbIDIuS6LDkreNx1Df2Mh5yW4Qn4rPnJe -t0eTC+Vw54O/4kjnImflg44MJ55Vr5Az+dH4OfUjik2rmRwPObcLe58vMBzn -o5R7orPpkz93kLPaZh7Qa5kKgJwT96ny0JtMN2fbTws8yAwbP6NOkjTLpGvp -jG3J1JjkimYdtyZXGRjN125p87Vx/nL2FkDNPr+ZIoN83h8mBPy+wG4vlQvd -Cm/ezDtJRHPOc06i6YDnEtQSD9C3P38+EFEvfTQmMR8NmbQ1GMqGPJt1Tm0t -3G6GUwGd8smRHacPM3W81eU1Q+dJC6oBp4TVgLsRNSMzwbqyvYIpA0sMarYM -jffMWid9C3oZMzUc8XmTN5ASMNsoAbUazs2e9lGhg5rJT4IjQ4WaNa+3B6Jm -VZqIEMs1vjDknLJrJnPlm4VqSd/Peh5+jYj7je58O6QzlnSuWxGYyX/o+G6k -Mt+NFPTdSGVZ4/Zr5Xs+nws9HzFfox6InntG0PMZZ/YcUg0OZgMAPnu+ncmc -qP2H0HPE69mQNj2DWhwMcMnTQq4YROLmSm/9HIUCuWaIpkxqPdbGPm+IdoCt -n6MezzLniYibmPPEpwU1QiHCfO7c2+7ZmnVkPhtM18Ec6lQ66mjPwJamBbPq -WW9ns2PTpE7L2CApFA7X1jDGxuY47nRsr+b4Ohdao1o5GSCHzQWkEkTdxlQM -EdZRaNeqaYA1C7jRZG+7yNlzpsvh/hoAA7JvQ2e6sZjLFHCmUy/aKATQ9xRB -AHSmi4OcG1nkLB/wEXetxV9GnenmMiKUk4B2buRsyoevbQLIOepMd1ata87p -6dww4On8l5DzZhPCuZ850+U6ns6czGklAho5M+utCucQ2PJ4NCwbg5xvCyBn -Fnf2q/FyrulInDhyViSmGLH0jq8GCWh95JxPyPlShZzJiNbEN7ASeZsCgg/S -8OxKUyaPUQqKMXEECIAGtIONLKAyMwRItQTN3JYecu5hAoQiXs6AnLkljULO -+1hc/d7HcDhGqxofOa+IXTaYgqLLZj9zpNM14ycIdYmXIERZ9SHkzOuFIed4 -vhrmlYk+NFQnhtXU4pSrDmQcZ62i/UwiZ1IHKkITs5/ZmgjlkUA+zn7Ots9x -XgAlQasYP/3kIeupYTycx6oOchw3l1wZeNJRBrJ5cxvUmnPOX8yUwAYRfjPf -XEoXOlIF/hpSBUrvZvTSOLUx6qVxJMDSKPS8Tsn6fH3hpR63OUpumuMrAjEx -YBzToN+qOgy6zykd+k0s6STTygNy3SztDpil3TaImtHUka9t/oIaUKNmmqeS -Exui5m00a+YubKsZao56SBgkuG4/+qe6SLDlKq4E/BSVgJQ5zdgZ3IXtRcZp -9pzYej6JrUyWJrSzC0XOybunsySYGSYNRqNnyhufG2BveL7PvnJwi585aL9m -qexrZn03uGudz2jx8gaJlBkXPZ+IoudI1qCHniedB3qOk81NXs9ERKPrjYib -NBzgudznbxjgcZ6rIBwoT5xnztqQ5M2PPB+h5VGrrURrUvsFu/e+UkM0f+5s -U5+cuXNGgO+Mc2c1MWiLXkI8IZUc6lji08/3p+iJwUwriTK6joWWneanopg8 -QXnnGYgA9932cP42ETnprtPyJ+tPbxJRVKLTVdEswQKeJaijHbRBbVVEzg1s -gLCCAmROi0YBOWF/DULO2m/+XnTNfIQhZyRsMmc6zSajtbOFAOkGOW8zyDnd -Q86R+VkAOUec6QLImTlsxfR05lY1HnI2cfae6omctrSncxg5v8bSUFakfeFG -2Nfmaxq0pqnILdF9ca3rTJfHxLWFAZKTRc7To8jZkDptoJBBzikh5NxISZwi -llpxgoI5cv51JaP/87UzE89yCcAxPkDzo+mNiNYGn3FzAIrb5MjZeDkjDJjG -YMBdcEar4ZmEAWX1I1MJamsaL+fe5EiXy72crYVWa4fgNMwYzrrIWXs5S6NZ -4+W8x6agpDNHugyH5PSesULnD86U6INTi/mDexrLcJJezj2ZI13vx5jV08NF -jgc6z90MOtINCSBn7quBQ5kn2oSzAwPqQPmylMiZFDTWucl2Ep2z7XOcfTe6 -V0Rh4ktQEvNcpkYS89SofJ9HXgp5OFP36ClOoTLwO6UMRH/TdpSvLefNjbXO -PJBIS8kAIZ258Td9vbL4I5AVWBTJ1dKbS+OlERHTXmVYGkoSUHiJKwk4ZCUB -jpiWZQRyH41p7KFJK5rbDuisrUFqo5mk3efyfc/mxixLO4Cac33UPITxmkc7 -Hhpq1pw9OeDX7PGafdRMKJAnT2/FVc6W9xzvCF1eOD/dxOaniru7z7IPVsdA -gISa0ae5k89pliX4ErIzFBI8hiwEmjMzBzZZlnIVBK2sXKMYyDmQuX2FaLBn -qkkab7DvEeNqnbJXczZSDZflWTjPM7+6KONZU8ZW2q9YKBPG5HRrJrjSTyrp -chgzt/RZ4Awzu18t2eTJCuA4+0pxtjOaAvmY2QsPlk0/Jl8DMfPdLJubCzeM -zWb3aKjDLJXL7eoE51GYsKcT1CDgSxcE1AmAgArISSPfzcT4Dhs8j/tTXyOY -NCuQ98SXziGLAGZLa1Qdctrs5nCTL90P6EtXNELrA+WI7WdfH/iYd+O9XE3T -OTlAYLcdOQfpYGFP/7zHpXASKLBuzpeYHJQP0CloucHLJHi6yiYI4hbtTjjS -iX5Q/rVwo5UzgQ591PYsnQmdaHSm85rk29/aA2iqphqb5dwBt9Y4hpd180/e -w0y1dpOpVggvox/dFu1Hl4pOzgovqxyibHJydvDyW4dcP7q/gpcfxogh34/u -bqZz8vEy2zvfF9I6Mbw8q+0Pjt5JLWegVBaYCHvAyw1JUvtF8Hm5hiLRuKuG -FAUkWFpT1MrxTWekpl01XkRwYP3o4uLl6i5ePhbBywMsXh7ZyBqb+5YA8un4 -VC3xu4OXoRReq2TFTWZs5vnQcby82ZXMhnzoQniZzLTWenh5mSduehaaPx+b -yYh6KpNRDl4m69mbGD2zIYoBrIOzwcs50ewTFy/r2CBycFZPTONDp/FyhnFw -fgXjy3y8TD50HC/vVniZRAHN3s8P8pp8RYAc1yi8LO1RyfmcOTj3vV/70N3i -+9Cd621JeDmSssk1gd+ZN6XRBDY0uSeK/f8u4mUqD7OIoYyA3fiUtMxmbTbj -etCpJ2Qin7g8qXaVZGyu+RmTxdEAy09LZDk/g6bM1DnQf04qyz1H07PYORxH -U9U50IAmlEH7is2gjWgBnWh6u5RRU+btdilzeC/znWOM5nxjPEOM5svEWswF -iJide0/Lx1Bt/hBzz1Cec8o9o2TgeeliZWt63sYIAjoGJ8y3GKyseRmjTY52 -vdCEee8jqEiPYuUMztjdwSbMJtcEnSK2oFMEuhQ7WJllfKT6XnNoXEMuEVmc -a4BYOcLJIJ+5l5jPHJ+aEifjCYYCEStLJEh4+a9lbl8h6u95QF07UsUv5chq -Mr9vGqLnWWw6/zRze+ZfP8/lGrNSMp1ExQ/CbhvBHPJsnajocVv8KX3MjG6P -7dwT0bPL1/AzUryEwUlW6mzQ8x1R9MwnzoaC1s9dpkXQM/OoM7nczU84LhtB -2qZCz6FAYdyuRbw3fS30215SKnI1EA7wSLQvyZtOOQlNFl9X9bO4fZZzwEmo -uZfDrXzpGltfOl8faHgaDeyWbQ6DC2pWYIVQJgvl/YRzeNIxiICbNe3mfCnO -By5hOSiXMW2gHqPNx23aE7R8xhwnCQlugzvuFkDOWhvIXTXCfnTtY/nR5YwS -qYCc6ys98wQXOauxmXZytsj5pXMiZ60okMiZXDWMwEki5+bv6auJuwPEzUBh -WQ69WQpaEDnHdXJmjM2Ak7NCzh3dUnmaTdEii5mG8RczsZGz9qPbFUHO77Jl -tOtHp0uFRdcbKiczo4kZIaQfm98ayCCRcx9xpkd7FzlPsTDgV+5DN7emS/Zf -XMkuXkLI+eM4AqcAcnYETmh0vpsJnHjkWXh0pmmajyKVicRNdzpQwC6b+xth -U1oMGa1dzEQdnCVyvt0ImXjgpoucdclkeA7Oklao2vzWd9AMivnQbdyCLWmP -I6dtRg7O3IXGR86mXjzkDC26D2Wf3B/wofOQc9B9JpSyiQtMnhg4r/nJ2JpA -XRsSOX9gugjtLLV7s82l5czm91j3CKUFEssP+RlJ5MskkfMEj+GHzs2UpOVk -AcTpGn2Zm+ko5mZKMlozZa7jTZmZ95zH8PuJPTLV3MXXx+xAR1O1q7yGiQAw -XJPtK/2FDKcyLTlotTKhPG1yzxhr3DNKQlcpbV1O88lzzjo100OzWwg1qw7T -z+wzHdRseBmYCLg/Hi+DO0VEJ8w+4nP91Wy2h9G3bbLuEMnomkEuqVFnYllq -UYau4mQw1NyFZWeb6fJz1mdOlSGh5sdYQp5k6yICLNfwAjgal18h6u2Zovyv -5JJLo+cHDXpONpyNxwN+dQG3Z6YXNPSxbZwZztw2Nsdy29iHXnX86xlghp8T -PUdfHoSeewfRc2y+xm0B9Mz5GhOHxHF4DnnUcZ2gggSnnFxuWq5po62vDNOZ -uztru4BY/nRktLWOGW2tcmLRYntwehpBn7LJ587Jwxy6ZnR60DY8PSCO2sgM -G/zAHZ0xLu1X4mmgGOpPDHw4H57GtwAT+N1nneq1+EkiZ5oWUA7KRwwaLAXk -/CpLEHyywLpqTMblsxymDQbkrPlnldQdpxfPaSzQgVsEyKVzH0XXlFDAsaTN -uQP9MyVytlTNZEPV9JHzK1hvbzDkzPzoJB8KaouQc5qHnNFXq3XEV+tLm4Fi -ZmgcOZ92kXMgAyXk5KyQ8whmShMrA8X3o/NWNCSn5RFCxslZ6560kzOjNPHw -zS3l5XpmDyLnrQwifOwhZ/eR6SJn34/OC99EP7pvImY0EjnrCCEZMGyQ86jG -CgZoB2cPOQdsAf4kmSwvBTI3N4Za5ZSD8xky1NpsS8JFzlEfOmkNQKWx9RC3 -a7Q+dBw5zwsInAg5KwfnfL1wvkW5aVQEOOA6ONtls2tA0x6tALICD820HOvg -7EbVe4Gbu84XOduSUctjx8IxL46D85cRB+do2qZEzmdd5Oy9Mmk/E3GfYQMZ -hZx51jauMQk5c02grI/FDDm/mfIFJWtK5GyYzcbkfD+Uhu0e7taSezPFSgvk -XcPPPOFUJZy3yBIgM3OjB2TzZpWghZvKXt6mckyGFQPQpnIaOpnyefNLOG+G -R+YfSyu4XeNdnqtdLkhlilcmB1g6IE/S4qYzK7CLLEKdDG0vnwiUyZ2Oe4bM -N0H5bL7r1GxRs58KqFGz7C5tEDW3dFCzFgTILpOMs2adU8tR8yMuL2P3bKZv -m480p5cZ0rNpgJl+GqDjChF1JE71WLlNV+cHZqSup1qUyfy1k53toD2eKf2E -5mQoSTtziFBiAyjJC0XODfZMxizySfr1sW8Kdu2H1TVk5/Zhv7qMnVHGs3Ej -IQ+/bTwrhb9GOEuc8mI041nP8P3XiPt1bR9Bz1+arynN7nvM42xnP2HQoufe -DxVb9HyvXacpuiaDAj7b+W5vQBBBzz3ZdYeip5ltMSQVr7xnPZ2gGRhwWJDy -hXV3DsECpYfe76UK8oEaZ21QHjda1CbOB1jgJQomTVO66Kg9rUvZ/Nbw1Kyj -kOapddI8tVaMp2Z8OBtrfaCzd0uNMDZ+ZZpoBRkYY+O3QIqgZmzw++/6iJuz -zHgi2wC+kt5wiGsDNTTQCYJa9DQbk1AfLrDZ25K2OQRgwS0me7s63HF1zApa -79M0HHCcnHNdPzqCAhw5WydnsgewIqcockYYEEHOWwA570D3dF1PAAMc5Oyk -oMHVxJCActV43mVrOsh5qkbO/ahk7onhR+esaYrUQ9NIailHmDJQHDOaKHJ2 -HpgxpLSr6n+KD0xugJ5rxADbmPjJNdzy1zOuAbomN1FsPRlvMUGAZ+P4TU0S -Q1k/Ol4uDnKeAMh5MpL8lQ9dPQsFfORsDLWQqmlKgWKBEsQPiJy1yMlFzor0 -vyfqQxcrMHgDMzqP6+DMjGccB2cHOVfCiHoSAvjIWUIBOUDrzpDzQIfc5D40 -JXJmPnR7ow7OpkWp9qSj6jOMD905kDM5OCuq5cGgg3NwR8PdNGboWunDasX4 -0PnuM1QnPGUz4KYxvSPL2m4dzdp+lZk0UT7QKmgf79d1kTP5MwFy3lqeNDJb -nI2lO3MhZbmfFmidNA7TphLj54/edCfLAZCzlsE4b+4P3aK3ip63ekA5b27l -5mtLTcwg1MSMyjSsZlUuDzBNDM1a5nqWjX56Fm0qnXlL2Wiutueg8Vm+LpGD -hy5m8UCsRA7pEonEA0F5OGuZg6STse4Zox33jARm18idmhuJnrk2FbArSwWk -baZ0Os2CzmJRs5XRphnH07tFbWJo7PMYGqrTPIGJW88wPY1NA8wwqJm4uRrd -pcdiFqDXnHLNWL/X8HK1EwTLNEF0JwmEmlng5Xg47syMyUyOGQGfOWIyc3aG -QnsSNT+o56XlMttdEHJO2TMJHu7jdPbCfp5LjuwNwxCfib5Y5Ff3jHDzyV3u -s76ilqLi/80YDBgvaZDldKeu9xkwHnqWLR9n+R2WhdDzEfu1dXjPqKl83Jvd -n+fsmeCAj555NrfvUecP1Iigxk2EjPAJ5dB83eavo9/DdbTx4GSaaLVzS+Kp -glHWRr4zUFvoeHFqoy0cppV/BAOFdSbKUeZiz/nOdvfW39NGd0RtdJbWRnfE -u7AP0weyu1A5Cj2gRVG/Piphg01L/UMxNqoodYeCDCZFMDHsSceYaqTqIOuA -QwWXKx10biAHhWsDdYKgtqjl2dv3ISttjFpDX6sYaTfnV0BI4PnR5Vk/ug7k -R2fMtazQyR2ieU7OxliLkLN2ctbuP0tRjfG2UmLoF/9aG4GGq+fUdbqW4IWv -kXO+h5xDOqejNgNFOQR8Z9HAdIYGuK0jaZ1iZqAEkLOHCh7pyPzoYiDnl5tK -A3Tu5BxCzgEnZyV+2qudnIPEplh+dAvMeobKhAdvfpUURc5RVw3Xj+5ko74K -OReNboQOzvqvv1k9x/ChU6bmS5gVrfeIdIib6xlxk9sDoMF5BDlDSeTGCHHg -w7QlaNfoODgzs3NO3ByhIMH1ChLoQRp3cM4wFrTcwbkjOTjnhByc/RUNR87T -Tft3kTNKA7b7yJkIThY5JxvkvN9FzpS2iQ7OLnK2PnQ9fR+66faV6fvQRXwb -WT49kQC5Z6MMCniEIefYWdtHHTcNcpuBupDImTSB1mxmH3Nv3uxtKwMcZ2dT -+YT4gsxlpJMGJWixLaVauxhTGWZkHnPOwnyYJL/5VjtvLvbnzRQTRKVCyVkY -S0+rmf/4W8pArnaoRJwMAOU7d4ljOEMyAO7W7MsAYslmyXBGu2dcrzznBnqe -czzfJJSlbVBzrkXNzRlqzsx2GRrcb64BRtTr+ShHzXMwR3q+cFPwFrMMD+4C -YR2a05iaTZnTcAcIxz/NzzQpNA4Q7RxOM6I6NEvvGsNnLsJpfozNR6cz1CyT -8B7UOdKyHBMuCDlfLtL3jMevKaJn9XVF9ga9SFQHR8cN41cXcHveRW7P3utk -+3L2Ovnf3L1nlFdVtvV9x3juVVs7qK32baWIhjaQKaoASYIkAZUMgokgZhTE -gIqgYiuYAxgxYc6htVFBUMCCKqoowNTmDAZo7TaM8Y7xf89eaa+19z7/qqLt -8Dwf6jbafb9Q5+wz91pz/qbOWpLBTLh+/HfNc33F9dP+cRoAWBJ2Wj0frm4m -Nl/5ueXU5Tg3ji6insN2wWQ/ikYGEKNu1mEBZaM3Dg2uS6BqU4lB53l+UmhC -643nWZc8pNfRjwnZOex/cnPnJI9TSwIpFD7ZywGqRPvU0DXwPNQ93NCHos9D -znsQVQiJztlZOFO51q5SAzdN1uBcNDvW3Ir6uewsJPhW0uuscLW1zuuselD4 -/HtapwQ3+HW07t7mMuGTa9x+bVeCbDUhWhDXoWnlHPDoVKEDw7Vc5InPtrZJ -KeCRtOCSKqqcbQcKu8qUcmZCACrnHEJAyKNLqIHQu6kpAUmSs1LOZ6eUs/Jw -Xtr3G/V6sI+TA7VUHdQFlfN92tTUTvPo6PXYl6vRELi1nCIBvh7NQ7c8j25R -zKNTF0xdHWQQjko2fBAB0I+X2vrPuowS5fwt0zRAObf1yjlB00Dl3Mxw6L57 -tCTi0JlCTVbOKQ6deyUIcL4ueyU8wZmNTDsoDh2+Glo5s3mTI08XkKGJ8QAT -4HK5uy/ZpBW0vWBqDp1fz1joeUxwzl/RhK/LrdnrslDFmVA5lymCc0cK1brQ -uhuOOYJzl7D7hGq5dPdJkt0YEJwjZ1MO8fz0UDmrd8RkARSvMezavlU1a3Ih -LaUD3cDFKWd+LeBC6XpPoI5ep2SKe5xfb+QZdMhiusTb/Zufq9YupymShk4G -jgmas8ip0Ru9fY79j30nXQpfj+3sGUyneAbTdwkG0w/XZ6/KfNxQMnTm+/ua -QRTgO2H/l1hic9KlEZdqau6cbs/iTm39enhMo/c2/1ElZSzgXNfRN8lU8765 -/SYp1Yyg89GymslTzZ7X5IDnXjV3ULNmYajJPPRmSbKVv+LVnO/teEK1ARID -oj5z0KizY4Plpi16K/A0v+OTgCbJFnDm3HCHVfMcCrOzO0OpO/fJcmCbsdln -q2QblPP/ZMq5c8UUmHdhUPl0IsmeCTRZ7x337o0O4oTxvLoy4dUx7ZnVM/vI -76HZPqMzw79z733G5KCf7+OmOY9Vt15uKqKe730rID1zV3nQuHgVecfF95ym -PI8t4tzg4+7UYt3co9WqTTPqnHoW3saXBsMpK+mcxCAfg049+3JhpNhL/xNR -hdzuDV0bWhrgUM2uo29W8ABOC8aZD93F7Zmc4xNMziPNDg6YnC7zITu4oEkw -TEprxwbkPfz+DSBcuV5nTXNOeJ1JJjC1fg051ZaqyQGnBO/W3du1mOvgiYHb -s51aswvwNY6DHRsSg/DMa08JaHfeoSRwwACOPXGJsFbO5QoW0HYNd6BckFg/ -p5Tz/cSzcTuzmOSM79Fq7kDRyrl3pJzf9h0odShn5tExLyDka52geoTlFcmU -81QdC8hVzlvI1PS1Ijl/AQmoBd0VpbYYiqalqkbbl8K0oJxXB8o5zaOrUTw6 -LHvg1UxQullCytkZPJtZHt0HIV9DFW+ich5Q2HJSF7g0MlfjO8Wh08r5R1bO -YNxsZjh03z1WYss0E8rZEZw/1Mo5KHLQylkjaRcnlLOuP7tewQJmE34GB2po -3hwPhiZWzhx5al1EOXuCc5+qBMEZ4ACnwrCnNccCGqSc7yfM7ONGOZca5Yyl -v11yu0/eyuk+oXdl7udRJmDUBcRtPCfezZwcJmmP25rPoaOu7TnUS5/XtX23 -+WTgqjK7TaJyplUM9544RGNjt4bBWUvoca4mj3MtU5kaca6cGXQXW2+fIZi6 -i6P6SlAy8FNwarhk4GDbmtW/h+3XDrj/34qvj74Sl7UufC/zZs6T701dJ03j -GcvTYQxAr2TyX4/1hjtXn3WMJ5nqdcy52Q8nAifB12M38vtp7Ewbq5pNlzaq -Zv+KjApU84TIoSGU5ko7a65TNa9g/gN1aJu2O0VoJjRNitDsvjyYXKuMk4C8 -9lRJQKfgwDh4Z9BpcrPqig5dBPMCBoR2Z4ineauQ1MYoZdd4G5XzwRWnwvrL -dTD45sUp1P87jcpMz6WjyRWaevdGKZHrOmpy3Uom192MDWegnnWnTEB7TiQH -S3M95WuUeq5OzvnRTx6oZ/p7F2bJdR9H6tnP9euYPU/12K089WxmzwHhWYej -Z3NiUJWmonrmZkF0bYSJQYlCtXkj6uSGvIdpFVxO+C3tXAtjUD4t/XqJ6oEy -nSjFuJyc+7DThM+6Hk5zZ8p99LGEOnBsHKeIzmf6Dm7A2OY6NjydzkWjYq/z -75NTBO91pgkC9D05t9oOqkEQU4IPKr4GYLdqfy18jfNrGbnleJvOseGUMyah -kVDfLuBroCQQYMBaNm/iCtoWOpyhsLQceUopZyUFlisp0FDljB0o8trkqYFE -6imlnMNZWkym21KvDpTZiTDtdULdojjANkEcua6eCjcD5ZwXpsW6+ptFMphI -QMnFpnQTL5jT7HomgjmOyy6YpJxPtspZSoMZZq5xtLfv7ZUzI7akyCFRHEzr -F7H9F6lAY+WsCc6MnXk2XEWHmC0yM81S4EYuDT4eQrS7EyzA4WgPyF6TNqb7 -JK2cR5iKeiza9NDztgJxPBvMhaicLyGg6rzA3NSA1+WlVaScK71yzj7z5l1J -dZ+wsyknTauJ56ycJ4XKeZJXzry6BFzTcEuku0RxNerq2obPRTujnDkhwx20 -WAu0QuYs9fE4v2m+EkRjYl9f0qkRkpv9ZvIL/YU4LOcLYebN7Y2/2TL/cd6s -XxH+SmwNqoEQ2EjE5nq4NBA5s4NBzjxtcuVhxwl+Ndj+7xOBOwccjcbQA+Do -TKPCQk3VpW2BjbFq7kaquVPga25tKM0X+gSbUs3IfohVc/krvqejXLUB4quj -Ozp0P/RK8giulllzqJp7J5sA35IkILgzbn8v8jQPyXNnGP8tgiBT7gyn5vQ8 -tEl5321Szt0rToa/686Vk6WBsUP2990OjqUzMD1YOR1IWT6FqftSrHujTBwy -xDB5Newx94nM8jCR+bJPDpbK7wGZz7xxLiP1LNQ6w3z26tnMnm9Pq2fr3Pgi -Us/D61LPjN6KnBuqmzvoR0nzNr72ZQ/C23Dq+ZNcBNfDPixNEqFWSYSqTCJ4 -1wZOEjSh88ngTNSJ6ZtMo+DbqgsKOlFYFoiJkyUBs4aOoonCsGjubIj2Q7Nz -cTQ62L4hx4YrgbAd3IFjgwuHHWPD0OloB/dsI5AMji/kYlEx19mfh2zqNClB -2rs9ofka3L1d6/kaF2TKeXotSoMTnDRYtweZODWZrmOSTDdAuEEJpnOy0IFh -AbFxsxMZN+0QTUsBGqLB7dMoZ1EDxeZoNxOi5vo85fx1rnKOOlBSjWgBN0Di -AFwbpNgB9rWIlTNvpVk5c6mwuVRq5QymzlSQlpWzrkm7UynnmyyZzsmGoK7e -k+n4ghmWbmbKuTS7ZHYeXdg8lJRzxNVojcr5aoo8uZWLQ2wllPN3bPfPlLPE -ngIDZy4wIAdQ61nOHlCL8iBWztdKgNZGn04HzBaGaMdywaYj0tUcGBg4u4up -yXef6AKHcb77xBRtToHhjkAcCUWTVs4Jc1NOTX1w0aRb5ro6u0/iNC2znJF9 -DspZ72bOzFHOgGvamiTSSdd2f3+rdGW0hquR6tpOKGdOB5oGraVg+1+d63GO -aXRvg2FplicxiVPjdM8wDRjOnxH9X6dhNvFWchC1Zbm+E9evbXpmS4OeWfI3 -81pGdZ38EPFLg83kCw1xaTgC3XZJb/PjCW+z7jhhUCMjzvm1mLDOcTT+l9qz -WgSqmZnNHJzlV2OwMTJZ1Tw++5JY1dwWHASaPHchMTQuUam1PF8zp9X0vDNU -zZrV7GedKbUWch76KH6GcB6En/GucWcccVOgmrnMVnua53yZqeaQnbEVyjrZ -ncHpNQizkwe3Sdm2KeceFZPhSHJf787EyS7L/t7dOgx7ZXAlZtwb0JxyYcAx -ceSsFLlOc7MXUtMgu2U0BZBn/5q7Efd058/+fTKz34NBR/fC7HeRqefBRdQz -/g741mJ9z6MUc2Nc6Nw43YNrTynGenZHYFicOrgOUycZ127p9pGvflCmzofb -p0qGdavganUmvpxoUUUHW03Ux61S043/GLSjqAiUcrGhiZMkgZ47s4vtkH6S -FNzELaqcmh7f2bKddfZDOzaUg+1HlftgkC1ABZ6LMx8frtjNywVICVLvE6ym -t5MGwRepQdDwNcjM6ZTzPJke7Fw4pxbX0e4MHC/K2SWi95eBmpDpsrNPk+m8 -ch5nmc5g3pySvV8p5UyAWofZ0sqZmM4p46ZWzu3JadblT1WgnHO8m4dp72Yd -pK1kj7Cqrs9Xzlt/HuXc9UN1oXwHUoIPlb5VeKT9GwpBs6Hwp/0ZQcOmTnep -ZASNjwJwJKoyWsko/HkYBxAc1ywcujXTCPSEcm473r8mrJxP6QKrlm/dIG2m -X0EzV0OGacxyhjV0SKQrkVeAgQGaSOflwa5eHuh0INWgVYfVDht+QSxnr5w9 -NMBLhLmknAG1lf0IyxlMnFo571cYVXOQulwiZuuIat+CcphRzgHLufIEUs6n -SUW9tKDA58itQhl/HipnRtGEyvl5WXWKcl6M8RrbglIkE0BBdCE4XvGFaQ0a -mX1GRmfKOWI50+dDO5ogC5DDcvbK+WvBM7ki2lTX9r06HdjuDa4LBOUMjYE1 -aP1vvAYaULAciOcraFzSHuf11LONsxVtWLrUtwWqNAz6+U7yc5UOxxQ+7cgM -5+HCcPbJwJ6Fzf27x80nk8rz581XtC58f1VL8jf7bm3XehKxS58K1jHapWFW -Mb8mlgZ+JcDbvMGGZl/Y6Gcs3sC0k1TQSyIwMjDtLAamY2lTiU0AaGDyPj/X -o9WDmM2hah5Gfj9+NbyRCVSzRp0nVDMWayZUM/tsX7UOjU6vcIc2o2i0aibq -nPhrVyp/bd0OgZgtTGsc42nWfGFUzcLOuKIYO2MrKjcXw1HujGOm2+Ra07I+ -kXLezv0ZW1F23E3J5xfpz7Oz//ww+/kp+3li4sSJB2Y//10PtX1IxUT5fXXN -vvqOse1+ZynHB+4JrOOjnXZ8OMW9KsXctn5pBHESK9r8LiltKKyOuj3qacXt -IQOiuFNeab75XPNJpLjrkzTUJtC04sYIlVHcKcJdyOgwivvTwoIeCkDQJQUg -kKM0IHvyOntVET7Hw6ZkDeNTwayaolMoJ9QgjmfVrU40Z6qZVTMXP6TauXQh -86DhTHU7vPLsTC0Tl8d3ocuDJxEL9y78RLGq2B+9p/FHfxq1qKj+QcJ2VfD0 -YUOCyUH7OjaACs2ulmh2MHHYQwC3yB9qHRSqIaLL0OyqEhxoeN+c2p4KZ6Qv -Hg7UNncPrkihueIGlfaU0M1V2xv8sIAYA4NDOte1voQo7B7WajuPbRup7WO3 -RnWdBlvDajuk2UW4dMWBLkMO9CPAgeZXQsNuUW3DYC6xwqlQNLsquYhamh2G -b6+35cQcIYB6ewV+5LpOh69JMDk+7TRGqe0OXm0Li0MBbQ2ayxmcbOGwxtLw -KxAbP3GFjWr7N7lqm82fy5Tajih2GzzY9hpS25dmP7DGrvV1EKi2f5up7d9R -ZCrkPzMqPeQ/oxFKFw15tY1yonWotvXFtCgy/UGrtlUItxQGbaskhGuaUwz1 -0aptgKbKrbRhapvzA57/HHDSA16NVdubQW3fEKht089NQxkCoDq17fsGq7zh -ybSm8DwmZD+nurkv9qHaFio9k+BweN4p+/+wKWBTSGwa2cV2cp+mZtSK+Yye -aArd3qL9f47Y1NQTm55M9wtGM+rQ2UFlQgbsuCHhh17PG00Vss1ehVTIduK6 -3xKeZq+AWBe3o+B8eoBV2lVFlDa5DNqSw6BNjtLGRo+rZJXjE22+S7BcvNCu -yUO3ojxHX5cXczkQnZLMjfVxejDRiGJ80KS0h4aJNt0k7T5Fl3xt1Vrg6Bin -HB3u1dMpt2Z5avu//nuHY4899shMSP9/2b90f/4/8K93mHTCCSe4PwzL/rNl -9l/9Jvu5J/v5PPvZdfz48f+nHrq7d8V42jAcC7tqd7i5LUPnqskw8XabBp1X -1H6RttIQybSPSxVpep4hTXu/jiJNv3qPHzvkZhWZqvKyoaqUElUFtXdVjmcH -WyK5H1xr78MD7T00qb1Dp/UWIzCOzbGRFtPeIR8vxOaC0JADleBfPZ3Q+Lhw -Wzcf02btLUJDs/F4hqHYeOCbK7F9VCFV2ky6JZ84L4esf1YRsj43uQZ5bWDi -9Sps6hu0GB6NqROm6n/L9W0zVVb7ahQgP6rECZ6uAQ/vWYXO5ZNV8UBFd69D -K2n1Bk/QX7ohQfRYvxOM8zhd4uYWF1Gy5Ew5SXeXMmMUFowqoOV3gMbVupvH -d153n6509wzS3ZfQnXZeTg1bHUjcF1fk6u4cKq4Ah3SHMe29h6vmwjzdPT6M -7gYLoGnHqv23Wv7MPFK/DlsC3b2JdPdnuTVFDxWpKVqc1N11s/B0GAsBYLwE -8mM+BK6z7ubiiakJFp7v/RbdfWpnEBTfBgy873nx7UIFC/ZDa9TCFh6i/qDX -3e7aKbq7GAPPkDx+FS3B1xLgRkJXG3IKJTYEhRK6hg109y4YugKRsRuiCqqb -BiKj3FuoqjUSl6E3id6Vysmy/A51N4QQXrONhfm6m4Hr3PWtdbfBRsa9K/fp -9+TdnG3QJq+7LyLdHTQW6k3QKVp3q36ic0N69CBOHXiMKjPw9HhGdHcp6m4O -4WSfB6e7OY/4Ek1mXN8tdq5gHnGN6Vwp5qpWHbfMv/uDzt2EvCdu6Dqy8Fm3 -Qco52KuwuZ/KIuquFXIOQjVRdjV17Vx/v7SIczDsWXkiKPLk3I2K6b6/Ku5Y -wezN9spBuH12DY1riO5d73ehgoSUpq6dCQm5C8LUidwxjgo89TXUdKtIj7eG -2xTT3P61aMfT7crpXnNX6On2XNVBndbctr877FRR7DWI8L4q09COQuyw09Be -j8bsNe2idn4Q76J219gPLOPuau1F8H4QS+vYYjT3GOVL0JNt92lid69r1Gve -Mdbd/9N08uTJ//Xf/33RRRe5fzuQtPcvUFA7Cf5D9o+DnP4Gkb2d+3/bIZDW -fV47lgw844jjySYeNJSwkUfL6zZRmYuH6bVPwvTYDu9wIAvkF9kpkNedUtDC -OlHfOdDCR2t9gfh9KXmdnYmwoghMPWKDp9VEXpBRt1GkUlp5ZpIQoGfw09/4 -Voo+XxauZHmdnZs4x8vkddcPDQXp/gA9/bRmHETwPGskWRMYSTjAuN4Y7Gw0 -BfXDecHwwo21TzLI6U8TEFEca6toyhEaN03Di1NjEwnojCtbFn647sDCj/N9 -xaGveA3KwfkA1fZrLmmptMHFGmo/Zmm9OER+ZAfnbaqBgpkGMyi0jQfm7mSi -a0yYI+bnEstgbS8zr2N2ri5oAcw0HI5OWp8JsMq22bvlrq0OsYMGrXmwFW9w -T9uLpm3CSWvE5qaq2t7yVW250nqTunXips7JhqLS+qQGSGuF/LisH940i0lr -XpZ7X9Wb1lfF0nofhuWF0nopSWsLy0Np7ZEfvgVUS+srAPkhXUZNz5cmUJTW -zDyg2yc3IoO0PiqT1odlj3xnhfpQSawQ9UGcSNM24R59gOQ1MpC8zYsTkLxQ -WuvAovKXMgXsZUUBszwDbPxknoGT1l5L4LbHawmc343NtMSY6ma0KW8VEHGK -SWtuNT7eSGv3KWoNufqgmOU1W8yCE51bSFrf7duLi3UZibSuit4TvoIODPHS -obS+nL799I4AJG9GvslKvx86miCh3mE0hRmEaZ05JK0BktfLQ/LyUB+PE/4m -u2aCtKYNj1t6+sDiMvVleJqQqjx0CdHSQQm4tl27Rs/skf9IF7J04PLbUQFG -tR8uOvsc4uPsI4IyFrYVguW6na8whJvnQcpyHcfZv00FFUOcdFjCItWFMRRv -cfbvdH63WLtndMsE08hvCe3hCgkYiKfKV0xlYSCracPTC5TasaLS9G2zDclq -PcpuT7K6gwDarlLeqvnK3nsnGg2C0hVckiolxqNsp8S4Tm8xciXdKxPK6t7F -yqhZVmvDSBhOJJv1UFW2MjzHMBLiPFhWH6csvxPPsEXVzTseCtJ6B9HI//Vf -26OGZkkN//Q/8H+3+0P2zwMyTb33+PHjn8n+/F728+tTTz21PnPsfhVHU3/O -UfRrPEZc895PMhmouZGfRPW/tFl9vtyY2if4ex0Vf6/c3JwS+cdlcf6xo/YG -cf5R6CFr0uw9EdzBr1k6dQJPkAjuT73gTvSNOw/QmHBwlyO4i3aNB8TqWYN8 -iOWyvl8Rcy+Ve2Tndia4HRqhVC0JhVZdK0fqS6GPpGSpGtyFSASfeXw915dn -j9YPJNESskfDfpccgtIY5SFxU4szLClEul1UKyJ48u5p7h3bj7JjO+51ibKO -7MVTjYjcJb54Y0AJWY8evJvoKL1ciW0uSZ60jptfSzI1sQ/VvHFZBVL4NZk6 -FtvY6VIGl9rTodOldaXvdAGxXXGZiG2xoIIf6y5KorAF9UlPe3fHYqYgjNh+ -rhLEdt587h66j96uxbYmHyhmGN9BSWzLjO6sRBviSWE/8tZ6kKm9qDC1RyS2 -88jUD3cgMrW6e2pwu9QelejaIx1k4PWOpYSg2uAKOE0JYZAOWVaBr3d24QMG -jEGo4ZQARXls9nqMRbF9WhdThuzpIC09HYQLKjT6QFW7gdgO6CB2SU6hhRyx -vZ7unZ6rVwS5uyFE7qLYtsvyXWjF414N59TeKxPbzcWWGiIQnNgebCxWFt6O -Yhsxu0ykxhBRKLbVoM6I7TuU2H4oR2wvA7Fd6uymqS6XusR20OVSp9jO7XIJ -CDojAiI1WQ3dfucqIlJrrp6mgzxoM44stiXjaMYw7C60GUfDkGp0k+0N1zke -ZuoZ8qr2jvjOcLPZ7BXkG0fb/pa/qr4C2WymZth5fQWm8TDtGxFAu/aNbLQc -vdSrEMV9g1wjhxaQBuI8I9RfACOZMO6rQTn8KlgrFSu0brraSGUa26yZKtRp -r8ZCoe02oTcod3Zifp038qQ7Kc6v3VfFWa3IzVtHnjHurVZ+kYg2Tdy8qz/D -u6vpr/7KCu0EAWScchqwOmO/yAnEdjuRWvlakNjWc+x8sf0/zjTyZvbvbs5+ -7sx+mmSi+7/qcmpvlyntARVHUVvlKPpdjjUOkm7iIDlBuIiRgwRG3OcAhL9t -4N6W3CqohKtBbZdrtS0u/Lvkd439796JX5bKSxL1pQNlvsL+94guTmq7fx1q -+0g13mb3yPD6qO0Uvjelto9Nq20BkRHcP6KMqJwkSIyuKDHuMeUXbymLKqMU -CELWRA0wnD21pLhzRMsKO9q+uPAudcKEjm0Jf5l+LPTlfU5cPk35R1+ed424 -7PjWE7VrpL0l/JNrBDml+xR+uLtF4XseYqjd4Fd/QrL/Fy/qbKRHKbytspHr -1uPwYhX58FzZxbMbPVWElfZ8ao29Yj3z+BCb4JW2kxONsjN0bxhWYKEy1sLh -oIJDX1Zp84DCK21sT2yllHb71ay0PZq3TJT2ndhQ6tZCZD8t12vwl6g9Ma20 -fd4robSJMTZE6Ly+dBRJ1l+R0s5vT+TZQaS0HTEhseXRPD49vgOl3dvGhcGV -qi6d95Vnr0HHtwOSdcJE1cwr7Vccj6+RpYpY4M79ko3cwFSREs3j49fiEn8J -5TBDXjaSuse90u7sITqcieRCC00TcXM7VQUnHL7HHYevkV2L53D48BUgoHtC -aVcSbIyxCYs3xBy+sNziSl1usQ6VtosMnyTYhN/Rq8HAHVTavtgi5PARMqGo -0p6C29XKoPvlNU2wzpT2ijyl/Ziqe9NKe4VR2uysksg9K+1k90sDlDZ9KkJH -VaS0EwTri8VJVZzDBw4qBk+1jpW2LDw5x2MzkVVR7wvTqzkxT4vOpkSvdqwp -t8nhx108hGruovKQuhkM85DdMA95VBfb96I7dbVDm+cut/huA9/1Eoy0adEp -s5eEU0RqkBSl1bOmUrTq7DXYYF8D14w4SzUjni7J+d3IU4jE1iSp2r0G1brf -hVU2g3XGxipbMpBTRGU7owFSk1PjbKYla5V9V67K1r7dUsnMsepKM958/hFD -QH0fqENlM6nC5B/jFsThl33pu0aAGJLoc1HZR3Zkj1ejbFDYp9ru671LY6WN -Tu3/MzJT1Sdn/wLU9nZeab9Fzm338z/uv6uP0h5YMZp+n8NpRTHaGEp6KEOJ -5CWrQkKJm8GdVSffzymFMhg20O97haVj65uV92srOslSz1gsVXSS9uzXpttV -ntpOrjDIYBd1+FAxczzb/iri+tV3tn2GNt6lBnpDNNPvG8L+OrWtZIZ0yfhA -GBhTO3pIkzLiSRMtbM6bavSCNZJUmQSMP1sty+9yvzJsXHyu/QnMtam3XDcD -wPnaB1aG0ER7eNfCl7qznAnYU1V3zCVtoTwDs+Y0xbg9U9pkIono1zzBIBce -98a8bxoXrdIWCokrx1BK2znvhN/nwl/rmd/3GyFfn7AOG2ZxcOfkxEEU+rJK -e7DqjOlbFXfGMFqhLZlOIXsMZ+bsqASj3kpbespXFTpm70cnVNp5ZIUBOuuV -UtpKRQxT5Gt38TzqXAvokdfglKAzJuzDCJW2tMlprOVmuXBaCslHit+HGTD2 -Uz1OSvuZAwMoPChtXPFoT1VYSMpx4ZqAQuJeidfhlbhaBcOIQkJKGykkZ2XS -wyttiA6rXCQo7WGZ0p7SWXWTk5RwK5yrVcnorUwfYd+UMp9y6Etx+zaxrFi6 -R/b4744DvBVpOBlgLDfsAEM8jfX9x8qXd6G4sAaUJSTGWl75JLpiqHTZ1Co1 -SGm712S+Kl+4h0p/tdLG+DDCetBrlau0G9Ky6MyjMpyJlfb4QGnbrpigT4mV -9uF1KW2/7pToDt86nZkKhy+gtMVaWKxh8WG15QzZVHOpl5we+ebZI7/PdP+4 -uy8BmUc+5iaxTso80mNg4XPFpPJZyIMxC6l5fdNUUueSNvIl+F59CQBnucjP -s42d8Lm9sovnnmrusof1UhlLIRJH1uZ0kD+uY8HKj32VshbyWsfWJe1B0Hdb -lzSMvhBMeD08pbLXepUtF07oKXEqmxGWU8XO206ZRlwvSUejsvWM8w6KK9yb -KS1uTwxMI0m1pXtIKpMq+1BS2f1M9hHryHC+qbOPIc36s8iHHfa/jAgMI0cF -zX7HKQ/2RDXHZoUNPSX0yu1d2jtPaT+U/cPfEkrb/Y/455n6Ke1fFAZVjKDf -6xCYtWGv/Cjw2ofz7a5CL58MTXIdqzjrqvvQzyY6SYIHqH/vK1UXuuEBomGo -XCgzvOqz7ZlINE+kIzM1AZQZio53M7//vA70d2yR803U/ROq7SLW7bHaun1m -EbVN+IVU/0xE0Ha2bbM+/0JwqGjb9r48LzPsECPk/7HEgHIN2BcuVvtC3XdO -uZcSHOK9KXlz1TnDYLOIRBLsDDlvHqYh+3hitnRwTUw4SNQk44drD4xaBNCu -zY21fooR9sxAe0CFJZC4bvO1GzyBxLUGPLcxxftDWTGPjHgXEr0Jpxbaj9qC -5ERbyJJ7pd1HEMCx0qaOmaJKe04sISBRzEo7kxDQzviEZMQ1+leU9vOotIX+ -W2vovymlnTevC5X2mDylHbYzaqU9Ll9ppzpmuLs5VNp35yntRP3SS6K0eW2O -8a883p9HYXqQPPpV+ZVwpc6eQPIed5uz0gYCSdht7pT2uLTS/qOqmruB5AST -R5wXdZElj0hxhkNdqksmkEeWok3bBYHzMMCstNeS0l6pCjS0xHiIXgf2pmoU -MA/zQhTweAkIc4GGVtqdSWn3CjDA2C2DEmNc0Mp4ksDk/edGK20mZIdKe6FS -2g+r1bhW2stxpk2+0y7PppS2p8mnlfZnsdKeiQH6qJUxVNr0bjiToY4xiMEw -UNpJQnZX76a6nzl/es35B1DaQh5pWkFKm5GXtpHRfQnw0acNZyNl026svITc -PEaMPwjutJ0UOEdC+mtf9BH27Y4+wmHaR1iu5tn+K4BU7FaKRcVAnjwWVSIT -X8Q1Aq+BzsVH9Uo7CeryFgnwBEkFQxrZLfsyOJW9l2L6tRIS9tDqg7PHH2mw -unUxNcvmtEJn8PKiym5LF05sAbSzbKEvv6ZUNigtnmve5Xt9FQG7fJltWwwJ -2EAYIZXdJfLrhmlH3xnDIbnBOfTroay2IrcIrU/roosESUexZJ/uu0yEUTHZ -Ow326RAr7boo2U45H14xFBw+iCY9En5n/RLz6h4UgPREbauefR868kVcqNu4 -Q1ZrvojyYkdsvxRNm3+vvKNQSdalynIfzqoN18/20MTq+S/F1fOVfGNKqOcZ -aYAC346Mep6k4WUKzpSCKFAF1xwiaHt4mZcOtx38YaSe9XH5dEvP8nuRWhuX -CalpmeGJeMNp3Nj4RiraQv7r9/bm6YQzmDIoYbyUN2PPecjw613YdGiPwuYB -wXTCkZpOys7NKdm56RwhMzp4R8jcVtJc++OtyO8TE+rDTMtuZLzXn79ESXE6 -L3kq8dZalA3r1/8CGCIcZXETiec3MrtvJ2T3bfDsPq2c/SRiV2imdZAENJce -QPKA2hnX9lTr7uLKGcuaNRhBK2eV3hLlvJCiKaycH6d9TqicV6aUc8885XxH -rJyHXG3jCHnKuWjHTOrxL0LKtsp5Mynnz41yXkikbFzVMCnbsfuYlL1exRBQ -OS8V5YzpLnwNNLtPQ+QXqTiCLzB9UzFE3MAOQQrOKOWDju8nGCLcbf5JGSnn -MzoHAcfWJuD4A7FDfrqzhTD7/v5Aih3SCAZysp6JmH34+L+7JiBkK+XMkmEZ -rWsiWEJCOc9VZtPzlNn0BFLOUthczamutj7VtdYDygbJCmeIGs4xKGECrEi9 -cvYFpm10t8xruluGVjmv3kbrz3thGOOVM1YxWeW8kpQztjJ2162MRjn/pYhy -Vp+JUDmfnVDO6t3geE5IyBbl7Lg6/bZIma/LIjjlzO9DRMhWzD7KHzjl/EKm -nJeIckZ/oA04Pk5mqEWqXmk+bSsphcMGqGaB5xqMT5MBlfOJ4oZAAickY7tN -ZX/cVGK4kb4A3Jlg5tNtY7/17dw2lhN3h6/A/yaJ2CkXCEfehdGXfQl4jmK8 -1hs4ifMral5EZOW563ZW0XdmhSDRdQygc3y+dyiRsLFDQatm7iqPVXMnUs1+ -XjkV4vDsDNCq2TtArkuqZpm35PXGLLEpN6G2ufwvqWbTT66obey7dZ8TKF64 -8x2hXwta4iasZJKe7OzVGWZUs+6L+VrcHyMC94fwQerp/nCmK/eqOdXsqpz2 -6dBrm5TzERWHwxfd/d7chd/Nn3m95r7udacZdR+N/33Cl7+S3B5rPD7E0s3z -6HzkrVa3Ip9kfEwlGS06pIMkGT06xAVWIyq2aXF8kwpqtbsnUM/XxepZHD1s -JFW+6mNC9Xxaqtw5qKgLaNhOPc8yCLJvhJMgCcbunyTLnR8s/UvSU72Y3XNN -VE6lJGyx1dMGlV6kMmeP+b0YeQiM+JW5M0WzuMW249Gqb2ZI4TOdXOx9CCYX -nYNuGDnojlOh8Gnk8JjZ3js8XOGGeKkd+bq5r6gjGWF7Zn5nECHvq7iWSy2u -r/2F0PdezX7clMFV0T1Njjk8K3cC5XyDWmVfpKYLJ0L9nMP6/i8xEFg5U4EG -nI8cyRqM0qBqBOx1HPsAlfNEWl3jJKFlpeo1Xx32mjdcOZeSci5H5QwZ7qdS -87Q3c9oZqYpJ0XxBOc/m1rn48c+lXk9MXBwV9frCIxMwSgjvZhfHQzT1+mNF -vX5PgeDf8vS9Vm6rzfQ9j/kFo1NJBSDHEPW7hNYvzylqzqOGvrdeLpIcL1DK -WbrNZyKcshkpZ5NaPBkkxUeMCCk7OlPOAwt/dco5SitSl7lTzpRW/OnO5n64 -9iCvsUk5P+0ZCAbpS6ZRrZzDwmboMqe0YgUp55dJOaN51CpnW9r8K4gWCA9h -naddT6rZBWJaCINvSuSclpaHsJar5xIDt7VjVCvjBIoaaOV8lrwivm7OtjJG -yhkoVMoEBcr5BRm+WOUctDI+hIbQw1g5u8LfW95DNO+NPtU7dC4rZ3w/hs/E -NbRRzmf6Yl/9WeCojX4vRDkPVso5u03O6/2lUPeYdr0woF3zZ+CpQDkzGqRJ -9hVoHH4F0mlFm19H0vW7jbFS6f29GRaliHsUzNVYEAZFSdtYv56FzQOJwUpb -xy166zidc+vtCn/PXg1nevpB59YX+tx6qvvApGmWBa8BRQk0eLJSuTp8Z7n2 -TluvHyYUuVZpZ8mwn5A9+seCq2NP7EGoSYHeu2dfhbBWibvKvbEJt/00a670 -vunWYm6yRGssJvWqubzorFn3xlg2hIexrYCmxQ7JnpF1AQIk7IyxJGvNOzbJ -ROPm2BxT9cDNscW7ORIU6/q6OUAxTyBoW6bA9m2/bcp5SMVAMKU5Rw6uy+z8 -2X3hsT3Tuzd6Bu4N5FvbPnTfLXM2zc7ON44dge+tYvhemm3dib3Sy++jYy8v -mci/a88B0Z0y7hjsmkqh6g700Buf65Nm9VwPBsiZNooVra1JPU8P1POFQxNr -axg0fGUMohDF6vqRGjaoIlsavGHn+fpAOnCxM8MOcOBWKa6NB4OJw4IAtMf9 -MRcIrdQwrDVSqWysdcVxErEXnZ2DgrOTe2M4e3KBBewZR5xGKYV7Ojk391Ap -RMv72FD7CwXX2172c89QOcYDpJwNt5qU87lAI90Zolaufm6ci1oBmHd/ilmV -FlXOhwZA3uLK+dJAOXO0SilnM0zT7YxaOa9B5VxVVDkPuiOGhkXKmfpiQDlf -sMW0M2rlbDqblXLWCuHcIsoZK5S+TPfFdFNwvU7IreYwboS+Yd5HC6+cfUmG -r1HSvI+1ivex3vA+bhQZIbyPEuJ9NOZX4mxRzqluc1HOZwbKmRsZmfPhqGGJ -LnOfPsRH3tDDtHJ+xdcl1Uc5C1RPKedQOmhA7xXr7aravRKnZNJhYs0uMnQb -AzVKoXzwQ7dUT0zvtWOksFkrZwHzrmFDk29l7AA81yvpc3Jj9pogr7r8lXzl -nHPBVMo5bmW0PJwPcHgWKGfuMx9+ISnnRJ+5HqhMCZUz72KCnphLKC4zr7fn -Vc+nYLpWzjhAYdcSGff2q0HlTNvHJjw/0ZwPmz6E2UnJLaojBkO3cPrzo74P -saqBIXlSnEOPGB9EfBrQA+fNOnmoYXqcjLmYkzHhvNmal8LUIbg0gq0jfgF8 -R6949gKI3p835nuhfR8MkZ/IpMRcj/HE9YBVS41etcRdMIOMSWk4ZdOPih0a -ygfdJlTNFfT4a9XM2/yVC2TG4qhqpgNmWaIDZulLBpwHPA9RUlVJ1ezCuWFD -CDAeTNLwg8gDPSR0ZyRaFtGdsSVyZ4QcagPMO9UjdE4N3BmgmjPVBfGD7FXb -r/0h26Sch1b0p+bMPnB88fz5MPhdkv8ZbkHevdErB18dtsNg18803w6zmtwb -FRclSHqErl51vb8lvUos0Vc4abhIqedHg6Qh7xfYlYMbaoyHYEGtVc/rRD1z -BzqoZ3bkqNnzEbxXCNXzZWolR7PnkXXNnouoZzB9BiW2bkWXWl3rhOGCoPpC -uzYeVbgwvbL2MFLtctN5kkekfTGk5vmaCwYWBHPng05V/VrBvu7gILnd9xCP -p+ZurQno2HCMf26xBVreZW0K3zuXm3idMUsiZ+cjTXyq8FmOVmHbIu/pOFXI -0mEDtNZunyDlYYnzA9RWy1hq7s+aRatpVs7H5yrnztRO65XzgBzlzGCCfOXs -mxbzlbNvWuwYrKE7Ln7NKOekh/NNG7RVTRainOfabfSImd9gYqqIco6w1KFy -Hl2XcvaNcujrJCqY2lBrMtgj1OOs7f5Aad8XlfMSo5xDUh7jbJDfoUtI3SXS -Vc1thBXMdZbfwd3mTk4A2sa9Emcl+B2YKvyk4zGFzcOdcu4k3I6/qS7zH1SX -+U9QcNSs8OOipplyboLK+VFfIbfl6b3kkffKeY/Cp5AmtKACjlBp5VwTDNzw -ArlDgpDHyvlXvtqCcDZaOZ9slHOjTD5wnVwrBZA8WF0q+1EZklLOpmExHLpZ -5WxekaRy9k0vnaI+87RyPjhSzq/nKOcPjXKG90M1LIJynlFcOZ9WX+U8IK2c -F4hyprYCfg/aGRy1M+055SyEvCarAF+D3NTFEKj1Xeb3S5pwA6RdmOKEFHaT -K3eP+f7ZBfEgSrqYk39M4dMuIwqfdR2iMuWH+mIC9uod7U9+9up9N6MDzJvl -Qmm6donOHs2bfdGopjdJb3mi1cV3fm0vl8bY2+w3j9zoEuJqTjScjsbZY79P -QMNj7HTYoDgkwNWorwI89rhqaavTg9K1N4soaQnVvMKq5k55qvll3eLCfI4V -1E/O3ldWzTVWNSdaE72n+V1cy8gMklWzJ+ANVe4MmEWGqpndGecGmOlpFjMt -9LtT1ZyZFfMkVQ5yvO/fc6aobVXOwyr6QEEsppgOhd8nJkJ5/szujWEyf2Y6 -Xs+c9GDcba7IeEH5Cx53TMajXnPZMYQERN1P75KjWj0nkoPu9w/e59fE095Z -lb5o9ez7zFk9v+PNnjenZ89DtXq+WM+efRwkVM8hcBfV8xZf9hIaPxUND9Rz -X1TP88jkJkHsbioxGKjnx1RZM7o2kIS3VEh4sWujUrBfixIZkcTcWXUnutU0 -oPxbT5K5szTTBhkRaMjq36Pw5eBw8hA43agd63vjdd4XzZ/3NrcpbOlL3NNk -QziB7Xkcv5RiZku+04zpHWHQdhsZO68OlPNUyoJg4vp3hbGZch4jEF1WztiV -aAC6VWFHeaicfUd5+9VxR7nGeZW792K5wnkllfOKQkcg34Fy1pwB3ZXYf5Ht -gJPu5RvouLuq/so5+cgHAEjwc2rmgFYJzuY/wNv8/SP/hSXfBcr5gVJFvmuN -qgGUc1gfWqJ4HCWWfFeMx4HKmRE1/Cr80WJqWDkHfYkfqZ7yT8qOReU8rROU -v/lecipdVr3kPy30ytkZOlkqiHKGXnKlnF9yF0ZWzrsFiC9eUyNiff3G7Qo1 -2c8aegWEeEcXyCfJ8s/K2bKlEUIQFTFnqnkCtFf8TilnzZYmCMHanrKOEeVc -NUxiAIcEEsLDdM/MpMN0H6KVT4ntJk8rZ82W9t3koJxfyJTznytg0HIwkyEf -871g26qcRwX89dDJZNnrxZWzG6DoIpcbVZFLHWxp9/yDcibPnmwdSzTx7ok4 -HViywCZdXDqwSWpmQrS7dkRjyt02qrZczodzwwAnA88p9XVeQmI6sPCjdCI2 -x9ch0ZSLlLvg5NdmJXr8gb0hhDuy91M1qH7s7woKW/SahXHqJxnuBqrm0TXo -2xvOgVjDkA4gj1Wjgkee1ixVPhTbZo1WzezQuNSnAZMOjYX4hTCN1NbzGqtm -nDkib2NNnASMEmM0dLmbC1oU4Ww++16Vp/mqYHsfsTa+Me4MVs1uk39M4M6Y -pNJkUQpwknZnkGJmEto4/PT8oV3PbVLOIyoOgcu/+526Ywznz4fK/Hkgmc90 -erAvzZ97BfWJXVXfZbLJRbM3wL3hvc84f75ccaWZdDefSHe3SXKw3CQHQ7rh -84puGOwc6DmIG1wCl45Og95u1bNPDX6aTA2OSDg3tJSIhnBq2JBblZgD0dWJ -wZsSWC/bg6XMbkS2e6nZWohKIdnOE0MrDEPaEkO9ZAjY/M2YHz01yolEc2dJ -CgYcI+DyH4zp6jya3RWtLTcaktXkdCMevzS06PPzZdU0rrIhG4nDv1Yp5xdp -2PaEUs63K+V8OSG7mL1/ilbOEIX6gySotXI+nAZr+cp5Minn041yblcf5fxK -rJzxPFyCbrVYOXellZs7BvuYKkSLm6lTOV/0jazT6mxnCYqKjHJO4WUczDFP -OfdIMKOdchZmNKoGNiuxcn4BlHNVppzd3JnX1X7tUpyvcbs0jXvz0jwAPL4N -ynk2mj+Zr2FSgu5CyaDHidkr4ZTzIFTOKh34d04HMlfjNgsQYJSM5WrQoI1A -AsLVIHNnxNUIlHO1Us5CsCPlzIO3+8i4FBHsCN91PuFmprg1TA2+EqicHb6r -RbqVZW1YReFejWFKRth4FFcgeuV8nlLOFAV4jWNRN2WvCb8i9VHOrpVlFWDV -O9VTOR+h34/AzZSrnBMZAN8uHijnkduunBlqCnsX5/XfXynnqsLSxmsUwY43 -jjFXAwxKJYl0oAvDkinpA+ZEa58el+EmnBqbeiuGqZuXjO2MRbhErvuW582p -bLjiQ7t5898kG57Ty6XbApRLY2PtjhKMrVAXRvY2P6YMSr4x4Fe25pDNSdQa -gByNRvS4H6A2j51IYaUqDmPVHNr6ef7YRoqnL1Rrlkw1r0qkAZVi6iRFuayW -gqQYF+bCrBEpdbCpZ35G9qlw0NOej/u+u6iBQ3MWxNNMEYCAAz0sTzUzH5g+ -J2NS7oyp/tMyKTVnVilA4AZnijmcMzuFBavOMU4592i4cv7FLwojK7pTk2sX -IhHa+fPgIvPn0L3RI3RvqGaWtlBCRe6NNcjeaAs4IXwGPLkO1TPuHDAgLZzo -FfwskMc9yQbn54G8zy9xgzxWXTJyyFMLQ0b0635tLXsHywTH21OsnsOit2Kp -wdyytxD+qdjQrJ59bMqrZ50Y1AHr+zWpzg0fMhnxJzC8rfN9h1zw1iifTWQo -oBKPmgu8/XeazI56Dj9MON6QUKeSgj0H4N7uUMfa70aODdrbQU6kzNPplNcZ -pMX1B3oOtIPiOuAADeK25qYE/eRBk+lYORsG9AZmQO9ozs5rcpSzG7Adw8rZ -5UFqXIqaJEIdytntbdyEgatgtXLW4AA8I1k5U4k4ZD6Ucl7+KE0T4hLxIsr5 -0DqVczBTY0MaPe4jL8xpWwl72/RWOqj4FOVsLorf0JqFSodC5SzMW9pSl7+j -jEr+wogh2VpFptPKmcqH5OL4p+zxfxoefzR8PqhWL3eYInFOCb5FKUFUzr5I -/D1OCZoicew2/KTjcaicz+oUdxlea9OB0mUoxeFU2vYEmpNEMvz592LuZHu/ -DNwIwxVyNVg5r1ZQgZcIMfMMmZaESEclbppINyf7mUU1n6ycT6xBA9MxUOJG -AzgJzpYmlLMawFUNS5g9J0EYvSP1GRZVzqu8ck5dLuunnKt8G5FRztRG5CTC -be/iJyEsDy+mnFPp2ZPqr5z1TbKhytk9/4v3qXbK2T3+SwPCkm8CeJzYpFwc -fiu1ADhb/zzyOF+MAEZOuLDHuVVw4nM2nJwaX5gTv6vv2Tom58SfrU58Vzq0 -YD/haSD5vymS/3ModJIKlHnJb+TRXw8ujR0EJ/OySrhob/MdG9CcBG0qtS7l -8mvByDBHY+I6b0w6SoxJQaFQ6pKoqjvTqhkt/VDfqRwa7StC1Xy1ODTKlGqW -LX2yQeV52Uoa6tyLRJ1L+FtT/IzD7nk7SAK+j3PG+Zo4l9dPqIlzW5LuDN2c -wt2EkgI81aYAT1PuDD1nhn47TpPR58apK7fS2b9tjnL+b/fnHY1e3qEwqqIL -Xf3LYCo2DKbO3YHg7b7x3vWcyAzSHSlsNOwWejaqkLGleXXI2tL9KhdBA1sH -426/0kOF4PDTudA7sx/VZGhoG0/7bOgSS9tAospq49fpHpCeTWOl8uq4oNTh -N6vRgtbMwfhNe3PqnDifGC6vt0bL6zAccnG/LaKZPaQrXlwvEs3MTmfFp5Ox -WyXiBRqtkPIIT9h4TGWr71QwrusoFOXnDrixw/LjD/XGzvSnjCp8KtR88mn0 -phbYwd1g7vAVdKcoYv5ZHYFJh7lqWmIrmnPUmyINhXsFXeAhj+5XSCGixldN -ctZ6+V6Vo4Z2wuy0vDipl/dQerml0suMqo31skEGVPpRWiu3mXGCYDUjalkv -zwv0MndL0amYq5el3Njr5UQP+KI3INSh0YyxXiZKbQP0cprkvCVJcra2pG8K -l2T64LJMH1xBetmQnLsrkrN53NnZ+UbMo9tnLQkGBstovczZQOTRYTbwQXVl -5DJk3QV+ZdAFPlO6wAVyDtnA00w28JOOxxc2jyC9rJ3N0EioMoF3EHDLdH97 -msaWp2wmkGkaEYdOYWu1tbM208prSS+vUMuW5wksE+rlW7PX4Ca6Os4lvQyl -x7UqHFWDUdmjufS4Zh+Bm6Ne7pwYvQ2WV8MXdypUY5Xv/27LYzcu7wSCs4XO -lNdLL/+ZglDLCu3r0ssJD1Ool4eRXoYyW27uTOll1SUUuv5N//dIcvwf4Utr -5wRwpRt7Ml2GNy7+yH8shCp5vYwWJdTLlkPnT/z13EgY6WU3IblQTUiyx/tA -5WxWDLpPA3/GJuil7SH8/nyOkuqkVdTmn5jdz65m6PpulJ4yE0eJvRlvURp8 -I7QP+kwLezPYzK/5/bfoThTOASp6xqTs5xjItvye6Bmhib9r4cjqnioZzib+ -YZAZYxO/ib9WJSbMcjWcFVB9r1YKCaeKTFMoz20bZDer18rtX1wVkRRiasZG -5WbFVyGllX3+7xPUygliBnLmvhJixnDdf+K08tlBj3dAZhZPxklpTwZEz/V0 -eZyfLgPAaSQSGFgv7ygaue5J8/aZch5TUUbX//bgXPdT5240dbaeDWbWSWbQ -eTYSDYW2D9wTnz1JRXs2zqO+FOXZEF7dlfYetXKBoj0rx7OhbWge4fNBD7jn -EWqySqSeuVZqkSo6FszA+/4exZsHUM+bDGmjmF8jhRtIWj/D0BQjbik0xTjP -q5I5QR6/pZzONHrbR/Pp0u2ucUbwZtXuii7nv4QuZyLhf5Q7e9A8T+r4HtTd -et3Yp5E8Sw/yRKI79y784BzODtAVNQ6qdEjAoxMSESjn7WwHipyhO8IZapUz -9Xqv873eXjk3Vsq5fUI5D8xXzlX1Vc7X0CZuG5TzYlDOWhkY5Zxwb4bLlWGm -s/gro5yLdqAUQzGGhn4Fk3Hsrcv6eB4doxiFqhGY+pHkTNYk9bgjVaPGQ2VU -zT0uqpeScn4+l6pRK1SNOrq9m+jGQU3VoHKINidkr8PxhS+dcp5eLg2DUSZQ -ek8QVSshqEcQV/vXJ6jL+1nb5e3LHnBBbWkahK6ttcq5QgWjuMSYl9WCFACg -+a+8zZMQtjGMa1eCcbFyDgnOnfMDUlUaYau6T6q8+d/LiaBlcJWXElippu2d -rJwfs8o5e0U6gHLGlkGnnDkEE98s66mc56ByHsFLxxkWtDQh3MDQ0T9VK+fR -CeVMOVlpGez1eeGmnomWwXT3iVfOaO5HiHk+h84rZ3/aSyYQek/SzuaPtbPZ -5Flcx2yvwqb+3QubBzO5uYvNA04vLXx7fgffenVlS6i2/5EpGncTyFy5mmOG -EmcBd1NJ8DjPwrtF5s5hZ/dOQmu+VTpOVA5QN19lj/jx0HzF9AyExoyQntmD -QUVZUjOq5r6imsclVXM74s25x7ztGtUg+BqrZl/z43fxrIqQQQaqmdsDFUGh -LFDN2H2xOt19Aap5A1IzHggzYIGTWef/FGfONMjltQZqYkaql3tKfvZPPBnC -mNuanC67rgw3oIFZJJUGHNCme4M9Gk45H1XRDjqF3UYB8Zo4fx4O8+eudLwd -QsCgcP6Mno3+3DhomHVp4nPHKk/cwPnzNNWXEvLq5ihe3dWKVzff0p6NeuY9 -NVVDUV7QPivsfGfSs3tWquyz8ogqZWX/Dvs1uPsmUM9M7x4e+jWUemafzvFa -Pae6vMdvMer5PF3WSur54sO+sZQNnRPMCYpYOBEdp6FXI9kjFWcE36AeKU/D -J6qzYXqe4pmepccgmaiT9rsNoPPUkTV6KJ9GF+R5MpPunA42JeLkxY0HULaa -lDNkAzPl/JimauAQjs9S5tH5dIhXzkxyZo+bVs4LRTn/qjAXeqJ+DVlqVs4T -QSq4LV1jlAminMtNPRoq5yNgEX0oDdaMcq5qoHJeUUw5U0833RjdQE2Uc6VY -lHKJAXfgEOHIBR+aDmJRzrKH/jpSzkU7UE6oQzlrDCNRa2G9Iob+TapA018S -xZZU5pVzWAMkPLrmGIt9uTE/8lyk6akalULVeFhRNXw28PWSRDaQu7qbIN4W -pAXFZW0PyuTsdRgPyvnbs8vxQiiZwINiZ/PdFIICmkZjVM6P+6IHdDbvWdi0 -2EuHvEygoWnA8G27TEZsBzICQOaZan6BBnBPhQhbUs6AFahF5XwJlz/UaoIz -KmcEcjUljO1BEcY27D5B5TyC1pjcfTIeu0/MIG4aQpq2QTlLP7eAmF6ClWSo -nCU3+6gvHB5QL+VMpcJKOUe0mQYq55l5ylnFwou2BhKK9AVUzhiLrVDK+QVR -zg5eXqM4dN6Vd7XNBOrek6LO5iGFzzRJwzGUBnYXf8Y3xwb0Uc3t5yyLbgm8 -F7Msf9ONV7or1rDnfA+392Zobj9x5zZY+qhOgnPHiXvML9T0DDDwO3pGWO+j -UIvVOahFyIfZWXOXMAOoeHPtTPT1j6r/Qs2aVe+yT4k/Gqlm32uyXKlm71zt -avq212dKyDYBGu6YVkNQA/Sh4syh2X/oXP+5GGaIGVsE05hHzBg/VZGZU10m -YfaP58zHBnPm0b4oAD4xw3BA4y6kB7Tptk3KeezqlnBTctYzRGy2gS8+zp87 -5cyf+0pmkDtT4sbB/L5u35eC6hlJ3kzcmEGElTSvrozcG+VBVwoejSovuDzc -T6Rzo8YB/2xVsiel3wPK/a5nz6KeP7az58DtHM6exwVH6KSUtEigbk0/iqzv -tpjglJYV4HTOZMVdoJ5D1wZBugyfbo0nbDTSXM+nTEZQJhEyd54HLmeYOze9 -INjhnSZ9KJ/ofGCX4X6Hx+2rrgtlMCdFutgWwemqf/uPnuf54824zmYKvu6O -0sr5cz2FoISIm0Lw7q5G0YiWqAmEg3DdF/Rua+U8ndbTkyLlfJDqiHI56lg5 -9zHKeTxMHFA5n5ZQzhcDeaZ+yplAWwnlXLp4df2Vc6oZLa2cR8z0xqTwclhU -OR+bU/9zhDUmMY+uqHIm1XAfdKC8La1pnke3XvHoiKrRhEuHXyEJ8VJA1fDZ -wHVBNhCVs88Gpru3z0XErWkQpGxgh/GFzSMGZ5dCUs6uFk0aA13slavlMfr6 -g9A0GnuaxlOBcn6BVtVFlPNbpJwxErsD0DQqSTm/Qsp5sVpdh8qZOXRX1f6a -yoZ/DWgBp5w5JDUR5s27QpmmV858mfSyItl9IpjGcebVKEsqZ47NXqIkBYdi -rHLG1XWonDE6ywGY+ijngaKcbT9QfZWzey9C17/pBaqvcg6ASrcHoRZRzq2U -ct5blPOySDkjwdltGGsUhw6NSUzTwBkJFGRC78l0YSiZLIu0XnEKfCCmwHsp -Xx43Xjlf3mT25WnyKF0kryNfnsuxaF9esa4TJo9qZj+Z+XU74Mt0SWR+Enjz -1uuOk19LV+xMabxK0DOqvRlpOLdeuce7mh/vw1S1T4hZjDOAMGuuDHu0ORk+ -L/CwetXMtfKmQ1t3Xeie5ReRNZakJSgnM+b/Xg/yf96dcXhEZ05x5pSTmftM -Lkz0mdRBZo66TCbZLhM9Zz5bzZlnjPSeV8adAuU3+8Qc2LrrNinncav3g6Nt -TM3eQAJwv38/fy4V/zPuHboTSaV3MjPYnzODbv68NnRvhMRn7EuxxI2zVGY0 -n1cXq2fdiaPcPcvJ+/xyivD9sjw/nrqC6jnqSWFOoe7DUerZDOWuSZM2cmfP -dQ7mEnCi4UF4qn8oLWydVJwTRMStK4d4RqLW1ZizdoSiklW0vl6ictZPJDKC -8ZnKdA1YU+ucdetwGoH5QHFs9D6UeqTQ/fbVGHa/6TO1g5ypvMNzg7kfIBvo -GwT1JMLw6Eg5f/Ca2t8p5aw7UP4pyrk6TzkfY5Szeydak3Juu/q8WDlTLRr6 -/m0tWvnyRxLK+eX6KWff7zDwZ1TOyfZAgtDnrVXY0j8rUM7zlHKOeHQJqsZj -isXlqRqsnKsy5Yw1QHhZ9MrZUjW4DCLIBlL3trs8suWfu7fR9h92b6tsoLtM -dpggyvnvOhNIHmeTCbynucoENg66tvcqfEXY2rxMoKFpVBNNA9bWrJwVh46U -87MRwfmXhds3KA5dLRo/heAMynkXQDNa5czmz7qUcziQS6+x3bClbuV8Q6Cc -3WeBq9IeL66cn08p542Rcj48VM7q3fhXK+dbAuWszXkCUsIBiVXOOCOJOXRW -OVuGEmUCm59nfHkRvZkZdIo8il2xxOznju0JqinQ8OfI8n/D/n6zeI+eN6ep -ozoLKNTRmp2SLg02JYXbRckBrs/JAdbsBrAYoGdUtyDV3CYgNdfnUhgWYtoe -7XZrYtXMtASjml+hWbNWzS+jai4X1exVj3vUkdCcJvT6/N/GuAkwcGcckevO -+MLHYTSZmdwZo4q4MybkuTOSXSY5c2btZx7uI+eimgehejqw1bYp52NWN8t+ -/3vCzcllQd3OgefPo8z8Wfufe0adKWF6sB+oZ+/e6KnSgwfT/DnsS2kDMemQ -V6fcGxVp77NPDtLN61XflVK+7GGrnpm2oW9f1Cppb1/VdPuqxefoIW90k45u -UM8eSHRkQNoYys9OTmpQG0KT6jnVLqiiVO45cNCu2QN9UQSaQTfZqHW3tOeZ -y1jZBIdNrFU0iFtBrg2bFsS41L25Weu035m5dKEDbpgnFPUix4Yjazgi/qiD -C99wiyAw6TzNGdAD0oOSSQw3mLuLGgSdcn6UyURI1YAd3hIcwiXP1IRy/nOg -nO9UynkemTsvzFXO+0TKeYhSzofVUzm3EeV8oV9HvzYXWY1OGqxsiHJ+JV85 -Y6cwDBeciU0fkaKcP0QEp3u02bSWo5zHpgxJyTCsV85nH5UOw3rl/I0oZzYl -IVUDL4e6dn5RmaVqRDw6XrE0rSIUI/LoPFBmsYKZPy41amhWiru3TUqwMaUE -m3iv8/sqJfihSgl+3GEiKudzy43HGYoxb8LeE6iV142BbO5U6cCvn/U0jS9U -VZpXzrvK8M3SNFBKMIduZfbYg+kze/Rxfc0FEDsSh84r5+sIL8CRqQsy1Xx2 -LQ7kTibb/9FQQ78nIgZMbKrMGEDTyvmoIDblgub4auCakrpPtlk5P63WkKSc -oW/7NfkExMr5Da+co1vlP0k5ByvGS4oo5zsC5fxIB923jTSZF1E5Y3Hma0Ag -TStnz6F7vRFy6N6SE56VszrhnX2/tVPO2QnfnrPgzOwP2ElCHe1iqaMuzaJP -+Lktg6areN78DeTAfSow5CaxS+N1JmiIJ09z57AYM+Vt1s2AYOGvwSr54yLE -Yhth9g9NmJC8ah4drFImFU8Drp6dnDWXC4n0dtWtHKrm1KwQWXOidp5LzwpZ -NZsk4J1+Xqg9zRFnTrkz6k3MOMu6M8IGQJ0EsylANWfWjDntZ+Y58xC/ymQj -oNvYH9Tq4G1QztsXxq8ugWQTGtIayfx5NMyfvf95pOJvILMub/48mPzP5N6o -0unBuK877ksh9wbw6s6hCAjz6lg983N0FXIG5Ji8RfHqsF0yLznY0fR0o+On -NOX4eRLVc9TRrdTz4NsCZuF1jPT0zI3hc/TsmTh1DTKFbo1BBFEZK0apMDG4 -WaBdeZ5n18n9hCpkpaMVXRvcxtoo1caqOqVMJ8oVuMtrjLs88DvD3Fnt8tpx -UpAdG0d6x4ZjbPTtprIj1CV1svY6a64nDedcjArO1abxufocmj9RTtStnFcq -5fw0rarvJ+V8C00i8pQz0ohKEsrZvS+HGOXcnyhE/yrlXFpP5UwQc6Oco95t -pZxV7/aI871C0JXzue2BdSlns1Jh5RySnP28DfgaSjk/JDy61+WCSO1phGGs -omAs8jVQOS9RyvkZ4ms8ovgavkEQlXOQEmx8mfU6N/Ve5/fB63w6XCTd6/Bx -+0w5j8yU83nlxuP8vU4HQmMglWOaru3G0rWdTAeKcv5trnKG8ocNOwBWZjUp -52WknJ/f4AuHtXK+bYMnOM8jMNcsIDjvXJheiwbQk0A5/zZTzrtlynmvwlHV -3H3CYPPiwIGkcq70rYE4c2blfEGAs9XKeUEAGqiPcq6QwodIOYed9D+3cp6Q -o5xpvThbYUg51uJ2L/MD5byo/soZ8+BLA4Kz5dC93oj7YhVXw10KW5ybSAf6 -tqvPyOPs2wJ7Z8q5O24Vh5Ef7/jOha0nuo7YHD8es/rv2Ft4GmG3Nsybw1Qg -+/HW+n7YmuxRF5cGFWPqTu271/9SeZux4+RigpMzMgaDr7/NTvk91WPd2vP6 -QRUlVPPaWDXj7JCpY1NI9WDoFbtNvGrGNOA1VunIlv3eoDeWCM1Ln1OsOa+a -S2nW3MkkAWtsf7byNINqXph9Dm5/R4i8qHQ+LBx5ve60+Ew4c8NT7owLYneG -JjNPUO6MFJnZzJnHe7NffebMM4M5MwTP+yMQvWWrLtuknCevbgTH3HHZMXc0 -3KT2pLbI5rB11v5nHBh0BC7hMO5McfPn6rAzZZCPe6jGwaJ93RScDt0bpl1S -kevA+0zU746gni2XRZO/zW3MOX+WKefPkhcCjqFWz5WW9Byo5wHa9aN7cm5U -HTmqpHh4iPFKqOcIRnBiAuMVEp6Ver5U8TaulgjJZ4VbMvXM+K57M3lxv8F8 -UoRKVtnKtdFoeZG0IM2dU2dr07yzdYJvEmTHRrdB/mzt0x37pIaFXueA5nx5 -K8mO/OCGc3cifODvD4RkOpQUOjeSUs7rtPutAcr5bEpZnxAp5wMpK+KV8xHV -fSFdW0w5l/2syvk5fL5ZOSOR0Sln2ym8TgViWTmHXk46JnXHwx+1cv6m/so5 -bEnLgZazcp7d39bN86VQyHSUjlrYxa9VNLycyXTQASFkOuJrNF3jq+cboUFp -VS5fw6YEN5YwXyNMCfoelHe5BwWUM3qdPyCv88ftJ5FyLsPQ65VxOvCnhS2g -MRA9zk1VYyCuVRgqYJTzUuZq7Jbgajgp4bka66gAglG2jOfiYRybPxfRK3Cr -Jjhnr8CcWiY471w4qxZfhRPX7QrRqXHZ63CUrLO5DMJjbUPlLFhbUwaB3ScM -bMRX4wwook32bQvI9AYpgehEr0f5Kw8EoIHnKDi+NFbOJCn+5cr5uH9MOevS -2Efas3LGOAsq56pYOS8xytkRnNdFyvka1RU7C41I7kKY9OOhx9nPRQYVvjik -H81FFFPj6C5IbtYZcJcM5LmImzff9AfaKLbAjaL2Nzt7P1PolvhH/gNIBfpu -2NfJlFSV/XC9j+X07xRwR9mP55sBmaMxHk54su5X75c90q1UnQ+2AjqOglfN -Q0Q19zKq2QdefRrQQ8nbsUdVOi3wkS5zqvlV700t51N/mVbNnA0Plc1KIoqt -ziGK6SbAN30ScKFugdNUMfc5+CQgzjl3hltFfmncGa7PJI+dwWTmScqdEaUA -hZtQvznzBW4jz35mnjMP9HNmV1s/hzqYW7Xs3GDlvEOmnE9bvRd0rE8Evspv -sxvV7jB/Hgvz52Yyf8bOde1/DjpTqg8x6UGcrQ327o0qP3/uJQMFdm/EfSnt -gr4Uv5qbCc9Ve+hn1+4N5f5Z6b3PnV7BW1k5q2fu6YZ2NU82LA2pdYs1d8Or -596KAC58lqLqWZdGeAiupAYTQIJclNd46uY+2h6t5ytjKPA2+mNhhE4MhoOJ -KEZCAWxhbTRl4qfNkFQGjYJh+vptnju7DEnzGULHh9o0h+MS2ie3sWrGhtvn -9cDk9dCuha+N1zk7W3OmEpASXEiUT1bOj6vsSMB0lrMV2gMRMuAkROWGf4Zy -LlPKuQ8p58Mj5cwAAbe7y1XOFduqnJeCcsZErChnVAf+sRaUDKuDpHL+RF0G -N3kAo1LORwXKuVjdj1EKY/ywQNv4WTlf1tcr52t7WeXsIYzM13iH+Br+8XbK -+dmQTGeUMw7gbPc28zUeolVLOiX4Rvbov8kpQdcgWEI9KE2U13lfVs7OsZEp -53aTCl+OPLzw7Ywy9Dhf6XtPfiSPs4PX/nRP0HuS0xjou7atcn4fyh9wdR1y -NWrI+FlBK2z36L9ApcNPZT9CpFuPBtBbFXBgLilnIdLVEst5nfuUOOX8u+wT -kr0O1Xtnnw/ffTJMyYxkIQQo57Gq+2SiVAO1kwjAWRKH8YBbVs7Xmvq0Tq+Q -cl5eTDm/EitnJyuc6dPsY+qpnOG9+IrKg/8NyrmUlDOvFFk5tyDlvDpTzqk0 -y+OK3h/mwK+gcsyLhNxvlLOwRzkDPqzwuab2Oy/egG6WqXECM5xLPbGfUyyS -/yZ/8wPe3yzkpD/zvHkPZI4qcpLQ+tejlT9sB2QvXuht5guhTgRajoYPvLpZ -4jBJsqBqdurHKZ+UataYmNIqlQY0vOawPl6pZk50vXKXOvFRNZe9/JRRzRGH -d3FFfouFagIckEwCqs9A1AL4hSHODdOeZmgBjN0Zxyp3Rjhn5lfCpAB1OxwN -W6Yn5swz9Jz5CBy+wJz5MJwzu5jApf3Q8PTHPtiG0WYblfPU1XvCl/9kOvIm -gAL4LbSg1Wf+PFzNn2GIUM30utC9ETcOavazdm+UV8XujVaKXNfWkOtYPROn -hVsnV84XJ5BPnYaEQ88FxyP0JfGEOpXRXqh1+Kx1C5416M8h9XwYN06CelZH -6vWqn31uIjV4QT1AuEQthMq1CZ7vHZrhLlS8jTlczJodsSwzsKT4o+yI1TVr -CCGQ4cSBNJSDCJVzbVAfd0meG077nbUbjjkbzC2aEnCLaDLReTier+LYOKSw -ydHpwOvcxXidv83O1+/Oa1/4bhbWRoTnKyrnpp7y+ZRKXSs2vm8P/I1MJULl -vPjfrpzPyJ71s5Rynt0A5fw4upLqUs5+I90g5awugsP1RfCCrcXbUE4J2lCK -KGdmOl80yPcMX9bXM52hap5Ug+drqEuhQBiVcm5Jj7fmazgYI1SorQLlzHBb -lBKcEnxMdW/rlCB2bzuzkkkJOrx5ycWe69wci4nDRpSP252Ayvn8Mu9x1g0o -Tjmzx5kGblE6kDzOnA7UXdtc/OOkhKtNeye7KGrl7AozHZ6rkiJTjOhiIp3h -amSPP9dC3KhQXQwdOG+dZzmjct6dDIBNADyQZDlX94pbUKSAOFTOvjXQKmfd -GhgqZ4xP+eFJwsxUh3KOnUwNV84jtXIOjvf/GOX8PJX/PJZQzjfAxRBt/Mge -fa/pecFMxJX7hOlANxMZjDMRl2Lp52h03Xz7yfGdoevqr7BNJOboJRSUZRLd -rdhz9X3I01CtJ4wudz48z262Lo1KnWDZqLzN2YXwLtV2cmX2w4nAcyXwuiuZ -j35HHA2kz41QG8Ujg0IfVM3DC4euTalmLvSZkp3wPg3ofc3+UbZe1HAW6FVz -OanmjjIHZA8qJ7hC6lyNUOfiJCC2mwxeqAhiC6xq5tWjZmeIqhF3BnpSxZ2h -mk2E0XxGPGdmRrO4M8ZTmDzVmZ3yMytuBjsznOFP5swEOJ1Ln5K226icz12z -J7h4ziAnz0nk2RxP8+ejZf5M/ucanD+PiubPnQvpzm7dnnKkuH5092B+X7du -TlHkOnYCMbmOuc9Crrs2UxU3KPVsuc+4tvPJwbi3/WV/lGJ7RKHT894VZNUz -xaq4o1uVr2n1PKSYer4Qdxmhep4QqGdfWIzPEfcMhqxnLpu6rN/XQdmUTQze -09lynhlCgP2C1YWXnGuj6WphbaySZtawaYraUQz1E3sF/RnLzSgn+k5BYTsj -YwMcG65hqn/PwubDuwknX7jOU4n2KSnBllC29uMCUs46eV1EOX+olPNbap/n -lPMqpZyf+Y9TznOKKGfOiDwcK2c+RxugnA15631/bOre7cs3Wfu+Us7j6t0j -uNWGXwOmsy79CWvmgUynbPx6ncKPdZJM57rU9q2JlDPzNXxK8E/JlKDtEpxP -0iLoEiyxjSionLGOnhtRPmo3ubB5VKacLyjzHOfA4/xjMh1YImYko5xzugMt -V0N1bVN15hrF1Vi60RLpHqFaCKec74DBHEqMq9czyxmV87nrPMv5BFHOvw9A -t20tfACUc1+qB6AWlLXYgtJb+gPREOqUM/cHemBjum9725XzKlLOuI/pnlTO -Cq30H6+c3yymnD1B6aUc5axP9atMW6zv2van+kcm/z3S+vDkVFcJFjjVy5Kn -OlwgnWHJnOqNhafBrSc8b/btsP4xh3nIeufSwMfbGZFeyH6YQPdA4G2+Ovv5 -I5mPNEdjEnA0nAri9clBRJ/DoOuRa3sq1TxILoComoMyn8qwzAcf43ZGNV8R -qOYFSjX75go9/8PWCs89KGXq3AvUcML8jKcxuQWPdbQ990lA5jR71fxR0JrM -SibtzuBPwZg8dkaiC5DnzMxo5laLqdyZTXPms4+ytDn3uTg/mDPrBKBTzDx4 -uRwUM27jHQ7dfUbat+y0Tcr5wkw5u1Xb2fSsuIjHKTA42EXNn9HdI/wNmT+3 -ouNQ858PpnRpXnu37x7sQ/ihXPZz9pyVBewN0zu4+nxDrutguM/X2nadVwPv -s6EeWk+9b+y21EOrnmvEHdRXDyRy1HOxgZ173oarnUZeatCuumOk1/nqmHW3 -rUsGUBC7z1dRYjDleXYILyTVobwAMyhJCyZ/upK11eTaYPKnb0eZX3ij0XWq -o3UWravPKXyw79TChy4eJdRPZjtrdhElsN10YlCmnMXr3Bm8zn89owy5RcoN -98O1mXIG2ifWRXx/n2fk+50ekz6zM3b57raBO6Gcl/1foZyvV8p5Yb5y5gnE -EqOcy4opZ+YHUI+gR8cUV87DE8q5Tuu+S0QFyPIZCaazVc4KW06P861KOQuZ -rvRty9doSYYksPOHypkCsQ4nU7JEqiF8C/cjICniLsEFtM4OugRL6m5E+aht -ppzdzPmCjuRxPtB7nG/VDSiqalgaUOJ0YKycf0vGpF0gLmW5Gqic10o6EA2g -S8io9KxwNXaCdKCTGLeDEdRXEV+uzKBurc0s50mgnPeglWXTTG4wyzloQanm -/kD3aTjcKGeMw6Qkh1POUwutCHWeUs64drwpUM6LaN34aB3KeXVSOQNowCjn -d//DlfPbDVXOfh6ifHglNxOyXPVdiXJW5KTWk7OL4PjCx9B1RS2xByuOc+9e -2HNFm0RsiC0Hp8a3zHAOPXiuaduVzi9Co1I0b1YUurx+q7UbtieXxvaFlzaE -3ma8DM5PNMNKs7ZwNBqTb1Uzmw+GlFds1R8uHVd5qrkVk+ei0/0KtTZhq35Y -5qPbtHVi60XVVLGieFMFJ7aU5/SwyJ3xfsBp9tQw62n27ozhwM7YAnNmxizx -+vH4aXaQIu6Mk72ysYzmxJx5TKLVJI+bQSY/9jNfQf7VKwnP5GaJLgXWIU85 -QxP3jkov/yLTy7PX/B5GBS4S7bYS0dR5HU+dd0tOnW3rYGmhrs7ugcQ0ZCub -bhzUxOeuMHXGvu4yyp26vpS2EKemO5rDeMqhiZ6N0oqY9mzzgtpRf7/q19E9 -3Xhn66g2HU4zM/OQ49bw9D1Wa7p1sFrqLeumX6CQBNzdDoJjs7iddXFxnuAw -9tBJKlilCyQShOfLgl5ugBd1V1VTzKdr74H5gP38A0O7KqGPG70a3gtXpbxw -OHq7GcZuOG3m0zXkfarstSM6S4JE+eD69kKH85CDvcOZcteSDeRt3jWYHnG0 -ItTLzQp/E5JzSZC5/n9BL1+h9LKHbdVXL7f3ernzs3WQav+ZevmEuvWy5tGx -Trj8UE3V0CTnTC9T5zDoZYVc9Dw6wi4e4Hl07jq4tDGDuah7u8RmA9eAXn4U -AlM6Gyjd240S2cASygZmjz9UrJHD2V0bP/jDFGDSfdT2xEwvH1H49sKO6d6T -hXt7ejNZO6X3RGUCv0xmArEAgjOBKb2MXdtWL78kNWpM00C9fJfSy9cR3pYJ -zheIDXTnwsk1GJRBkbEXBmSq/0Cjlfb0eSAOXVAOgXo5ZQf16AEWG14vq9ZA -pZcR8H+LWmrHehkX2iwyXi20I73cyWRma+uplz+B0of/HL1cp0dD6+WKeutl -4tA1Iw6dJL7jTCA677Bn+4tDD7GZFdd5YvwZ7fBE5zzgfGTz/8hs/od93Y90 -a2v2nEl6/xK8Ges25tFF8ZFeuEFx+dfj1U/3aZ+wjrNeDgrTQrQyL0uGrOUu -baWVq7RWPiaqi3e+jLbKzdwutBi95rVyGZnz/Y6cHKbLlAsvoZVLeT9O2SzR -yk+wWvF1Pi7/l6eV3ZE/5EbrZNbd2dtKzNDT5ZO1Vp6ks39bi3aZmPY/8mSA -Th6opst99XT5S3CrXkVLSvfpuD77dLjPR+lBqJd3FI1c96TZKefLMuXsnplZ -MDLYOZg67yxTZ8wN7kZT5z0TU+eW8Fw5Zt0IGCd08p0psMXQzDrr2eDGwZj4 -rPtS0LPRIVMV7Zi4AbHqc/zIAXCeF/s7m/S5B7TnFZbiYhzPkBfUz+PSQocl -qJ5LZRSBsKKuRj2rPOoite24/Z1IPQ+9Vvs10llBbRGVozYVrlL9KOceFTPq -vOz4qm6AkV7siVejBguK3VkLFWvLqZj4OdUoyGw6mjYLXYPy1046aC6d5APJ -p9HZ+zRc9npTn96FzUzJlwRJds6eXuazgQFV40fi0Tnl/Pd/g3KOWXQNI2o0 -TDlfVU/l/CeiEWnlXIHKeQ0oZ3iEn/DAe9MrbJTzBwgpL6acG4pYnFhEOetH -eCBajub0+1oKMmPljBdBITmTcvZUDcujcwZ+yQY6Hl0Jd28vByO/7t6uKxvo -gDKvq2ygOJyjHhTtcD698FGbTDmPOqLw3cyOOF7j3pNbdO9JXZlAUs7K2Rw2 -BlqaBmUCs0e9Frq2cRS3gh77lyg09YzQNHaC4NRdtMyezzQNKoaYTbCus0k5 -n1SDC0oph3AE52pNcC7PIThraJfu28Yy4lg5n51QznMl4GKV891eOS9LK+f2 -RZXzhgYo5y/+71XOYT/s7YBbROWsuk+4G5ZZSZxZaa8po8N82tvtDvv0xN0h -dMJmp/kE5c84r0PhbxepPKBbtmSvwA+u78TlVXjKTPXyX1HXCbPn3GOOp/mv -hdgM3oyNseOOO7XvUtXx7hLIOcCzSfVMXsf0jL3oEWZSs28FRNXMj6+PtHrV -fHykmpnS3JYJu+5Uf22OygBea2AwDCD3qtnO+LSrlPMrSM1YY1lz5CrtnWwC -/IulGig681BRzZ/7R/sy34nsVHPoyRhbD0+GZswxmZkZc9OO21q8M1u7mENa -Rpj768N+DJouH4LWPpf1cvND9+noeFB5gz0aTjnPW/O/sGybkz03s8mqpufP -Z9L8+WSYP++Sqeddk/PnMXXNn9f2CDpTYs9G37Ujg8xgSHz2no227NlYMy3m -1amu7o7Eq2OvUBk7nlfcrvCe9yXU87NqieeJLt4C5132aQai6ugW9fy+J4aT -BBlqJAje4Jx6Hl2f2fMJVj0z4Tlm1MWUjRt6fi7NggvpyBW6M/DpvFcDJQYW -FHuvhs4IPkSdKAuNy5mnFO80JVr+vjSlaHmKOmvRp/FpFyblU4tg3x6FL5la -ZLKBHbFBUDZ6LZFHdyvy6HSC5F+hnOP+E01xVsmROijO/1zlvCSpnPnyx3aj -h33FT53KWY5RVwtFYZBMOdfZgXJy0IES1sqPDpTz4VY58yPMmEVWzsijQ9uR -4dG5XbVTzm1ftzy6P+BjDZfCJq5GbTUpZ7dWeZmUs+/eDrOB63SDoHM4Qw9K -kA3kHpSm6HAGBME+04Tm/FGbkwpfOuV8UcccZ3MLkA6xs7kR2I/yMoFR13bF -zjFNI3vU15FyxkwgSgwH6XqOIrFC04g4dL+GId0cGrjMoEGdkxwn1iDBGZVz -iciOkaFyXtuTVpH9bfeJKOejKfCCylkXEreqnEbK+Xwp1bTK+XoVbCHlvFwr -5ycpRPXnbVbOg/9ZyrlI/8nsQVY5p/pPtlk5+zkIuu6oNbBkvmoNVGlvZzna -l2kaKdcdZgK/YMJo3+6FL52zeWSXwtdH02nO/gw9B3GvgYvF3kZ5wPvI1ewu -i8RH0vNmzgKKN6MGCRprs9OcCRovklmfac33OBh59hjfSOWXkgNU9IzjwZm6 -V/YIu+oeJjWXCqnZr0vsaR6a8ssrrWpuLT3aM5VqJjezBsEAfJxmzcvvK9gO -bUto7qAouh1YNQtFtzrI/72OjzGp5kF3YUGs76GIPRnDuIsCVIr1ZNRJzDiT -VHPQAKhdzL7LxBMP9Jz53FGU/dPtf0coKnNAyzBzZqeYycV8HSnmG7PXZX72 -ybiZPhvlB26bcr628nfw/MylGig9f55BdVDTaKAg8+d16Ho+GnYZwfy5hlsH -2xLf0Hd2o+tZd6ZY94ZkBqVxULs3wr4U794Qr5Di1fnOHe94lj3ISut4tm57 -z0P0z2jMQ+xAtI3O2c3OZFRheOfVM9ewSYHxzdxS6Z0bQ+ehc2N4EU6dCVml -yiSO3xKhCdxzNlMTnpmyEeYEVTcKOJ2lkxuHdM9Dq+BaAHctV+zPeFKh5s6N -roXV9V/c3LnJLCiCcEM3yGC7UBQTncmx8ak4NnhK0QNI+eBwHtcFm1k5G+j6 -pbh72ynnGzzJ+edQzg0hatS3OfDIsDnw36KcV4hy/rNSzk+mlfPAUDmnXJyq -PXD4hXF74HF1tQceX5zkPFMp50tJOefx6JxyjkjO7PJsixXzTNV4TlM1mgbZ -wBLfvb066t5+MJENTDQIlnAPykU5PShTMrlxMinnUnI27y/O5p/I2fxD6Gxm -5awygZtzMoEfqkxgnnJmmsYrtNJ+gZTzU/Tos3JmDt2NVA7hlLOjaVxEyvks -WL3sTNAuJzv+F/EDYAndXwjOw4ngnK+cuW9btwaeQAOT0+i4x2EJhlsuglos -GJKw8T+pnO8Lwiw/k3LmG+XPoZx/7uZAACX9TMp5Xo5y5mYrpmmMNUnvL8jZ -vKlfN9wgjvbeu63sveNGK9cF61LeN+8Hj/8P9zYv/P2BpsLk/4ZczdCtTZXy -kvCuQqroRmAjoUuD2wG5U5sdzbdThY+DwlzOOcBa3LIjPYNhME1hXTJSanuo -3yQou9Tg8ZRqbmtmzZosqgz5Kx3J4EblKeWk933KT/qkUc08z2uv5nlhcxvn -/0z3BHWaDNL5P01nTqrmzb47G9wZ30TujLEBMcP0ZicaAKeoOfPUYp3ZeS5m -NWe+RObMX5k58zUwZ3bODByy8JzZvS4uEOM+GZ0OLGuwct4xU843Zcr5OnqO -XInqFTR/vpiOxQto/jxd5s87k//ZHZG7pqkbqnVwRNL/3JNaKftEjYP9iVnX -Zy0Tn8fKMWqJGycZ4kabFHFjNXmfQ9rzqusT6vkuBclP0zZKA1adcxMlaeJh -VrUe6pmP3GFzYk5dhCiYEqtnPHYTjLphHk2gV95X5uYEvUH0McWng2O3+drC -y03QtbGi0TJFdmY2HVPzbyG/81Ww43sH3HGaS0eTCnFsjMUkiaPl90Am3abe -PZH5yeSi8Zly5gZBzl8rHp0o53u3TTnXh0WnOZ+XZD8XKOU80SjnfdPKeS0q -5wHqvI37T/5ZynkZkokC5fxM3crZwGG0cr7ii4Ry3lK3cjbtgTRoUMr5vJDk -PIiV8zemGBOUs/DoApJzp5hH94RWzjob6IDlzuvceJXPBkZUjSeUcr6P1tkL -vddZelCuUj0oQYMg05yhB+WM7PJ4Mro1ZpUKvRlq0m5DejN6nJv53hPJBDby -mcDnbCYw7Np+T9M01pLHmTh0NdS1zTSNJVCnhplAw6Gjcgjm0F1NtWrCoavF -IJX7NEyWgZ2LkTehz8EBuco5ag0E0x53nxRTzueIcu6Qq5z1q8HK+bGfTznf -Uj/lHFGcG6KcRwagpCLK+fZk5/bPq5ybcPeJ9t45RpL23o0wfbCbDj0kOsmh -C1ZmIO3U9vAAvDjejY8+J1YsG+l3sFaBefNrOG9ml0atuDQQSI7cOfQ2LyJv -M3eccA5wBj2+np6BIJjR7hQX1UzgcVAtwawZTvGjrEOjMs+hMVPx+Fk1X2cA -ME412xSWbgNkam7Y2LY6oZr9FrxfqrEtojN/5Dlzgac55syhMjF9JmfF7oyo -AVAz5ibQ4CScM9PqUbpMirb/4UAlmjOr3B87M2DO7BQzQf/voLLZztuonG/J -lPN8cvy45+nq7HmaR/PnS8XBgfPnc2j+PIXyptCbss5lTneV+TNTn0dDjNr7 -n/X8eVh2dA5NMOtsepD73XXjYL57A1srz5SBRB6vznmfy8j7XK69z7rB8hXb -NGh7urn3HWd4wqoD9VyVZNVxe6XgP29TeVXj3PhcqeevotRgiMYNh3j1GloM -wIypQxMwZcM3C2Ji0FdPMZ8ucG00ZfwAt7VqNh055MTvPBeq1N5tfJElGHGu -xDk2mPupJhWbXAbbeZ2HdC18BSlBypScwR1TSC76fl4rUs7YgQLK+YF/XDlz -/8kjVDjMhPzrpFcKlfNZ9C445XxMnnJey2euUs5VaeXc8Z+tnF8E5dyxnsp5 -EByv/wTlrEOuWjmPCZQzKwZamVzWx/LobiDl4JQzAspJPXC1vAq+OuX8lOLR -OeX8QovqwpJmmXJW3duonH339mrp3k6lBG8H5ewg5q+DIfQasvhfDo+9eJ0d -WKYZ96Cg1/nD1qcUNo/OlPPsTDlfrz3Oe2fKubnyODdNeJwT6cAc5fzOatu1 -vRFWLI5Dt52UZWI68BcgNZ7NHvkn6NF3l8a7s5/bqVbtBqWchUOX/QiHDpTz -bqCcgUNXsw9Fq9rIuhvNe4dEAK/+NDBB5XyMUc7cGlhcOc/7eZTzc/9k5ZzX -f1JEOV9Yh3K+OaGcH/75lbPbHrrUCmwP9/MEZ7c99DQNlffu3aewqW9PoDeD -x5kZdKeWFf6qnRpX+GTgj3dkF0fi8bvL4laeN4u/2bdYMbHZuTTWZ491Nbk0 -lsP6JHuUNzrT0U5q9vFLdYL/JjrBj4VgaxM8wanfxLcC+hOcVyT8uNaZBlw9 -I2EsYtV8k1HNXoHw9jv0jjacW6C336Kab3kvojMzMUPTmbkclokZruZqVIqY -ocjMk1INgCcod0bYAFhsznxkmpZxSb8tRMv4Cl4HPWd2qgbmzD1wJclzZqeY -3WsCwP8uOGQ5eBuV88LKPcD141TBTTRT0/Pny5SD4wJKEE6n3cbpsN9wKdRd -CuPXof/ZFa2OhVB1U2Ae2tbBkFmHnSnsf9bz5wGyvhueQ3yeAOwNcG9Ucee7 -8z5T22Cl4tVV5PDqDF38FnXju0dRX9hdFCZZFauOqC+dpI4toZ41PB/I4u/6 -HYkcvbq0dbPxPecWS5yWMsv52XNEeB6IPe2pmMmtYpZ7z3ieQ9fGkmbs2nDn -LqcFnww6UW4R/ufbjf+oerjPyc7cqQgXYKIz5Uo+BSadI+aTO65/j8KXR2Rn -7qiDMSUoaexSVM6XknK+7gAc1i3cO1POzf9h5WyT2NuqnFuScu60jco5bA5s -iHKmHqlAObfPztp29VHOb4hFH5NQsXIeqpUzH61Urprq3U62B+Yp5zySc0I5 -Xy/K+RN5fO9UPLoHeX3S7g3D1/jT/rXE13C4RUoJgnJeKaUQlq/BKcGH0ynB -ktDrfIXtQWlMNGfyOoPsaHUqKueLnXK2Hmc3dLO9J00K3+rek2e86TPP44xc -jZ1hKKeV8waqmF+bKWfmakA6kLq2nb0fuRr46GvlfD1z6NYrDh0p51ME3uVi -MMShgwD5gQHBuRsMTQ6P0LdsFmXl7IYkEwGvVC/lvGqe1Kz5woi6lPNLsvJu -x8jR59gk+q9TzryDaZBy7h0oZ0YldbKlsE8BKino3G6YcnaXwb8klbMjOE/2 -XI0ybrSidKBLrDh6s0usjKTEymSmJCFT4+9wirfEV8AlA+/cGx97M2/eC7tO -XkL23EfMnqMTfGPtDtBzsiZ7jFcol8YTZDhyj/Ct0nGCicALFUdj8rrdvDVf -6nra+Y0hPK59CQPDs+aEalaz5taJWXNpEGQtkyBrCBu3vSaa0MysuVJWHc95 -1dHjyXWgmg99JJEEvBPh4+BpDjsmrsXHd6jyNIecuRFkxpM58zmBO+OM2J1h -GgDVnHmqZsyNC7pMElRm7Wd26kXz5cycOZEAvFk5M3jOzMhS96p0PaDjNinn -eyp3h9vYHWBk+xUcjzeSQriaNtOXKwcHJwjPUQQ7O39G6vNYGDpwRCSkPnco -0pnST45T7nvHxsHQvXFs1JcStg2y95nZG+0qZoEprkMFq2dHGb9G0Z4xOehd -RotQPUek8bifp/QFiwDl57gXPccx6RnTrEAYl/73AG2Uo57D1CBLEoP7IjkS -9aMw4bk/dujMVYlBxnstZM8zwAkSx28LHNItb6zTgrqx9R517vq58ztNZxKh -bhpEpMQhZ/Z8zDJyNGfqQeEz94ROyNdQ7rjv5267crbNgdsX1mxIMYy8cl4A -7wVmApj3ycp5AilnXFHvR8q5Q6Cc++Uo52OVcj75H1TOD/1jyplWJPdohkCg -nK/RKM+Ecp6R7t0O0TC+PZAueuOUcs4hOc/p681G1yT4Gng0MpnuHUOmi/ga -DrqY6t4ucQ2C3L39vKQEq3IbBG8FCIH3Ol8V9KDMQuVsvM5TlXLuYDzO7jH+ -6Z7mgK+16UDC2BJXI0oH0rz541fDxsC4a3sddG1vZ7gaL5JyfpqU80P06N+V -/TBXI0mkq/UE54kSIN8TiXQ1cfcJmkV7KeWsWgMD5QytgUY5u7XiWVAii4Hw -WVY5r7ymYEsjUsr5qYRyXhEr5yf/ecp5wrYqZwHzK+XcE3cuDVLOCGJMsTVq -sse7buXMrYGnKsao5moMLnzRo39h06G9C5sH9PAe5+M7F7aeRJ47x9SYRTnv -qzEZ+MNt+xR+uLtFMG9uZE9wRaF7m3q111N1/Ep2aVBlvLv83UsXv5vg0ueb -Ac+hzgrkaPyOst1705zP80T5kjdIeKJDA9WsGRqnkkMDZ3ehakZeATNFlWp+ -9a4gaaV7jS11TlrZ/uwfVdNprB9XvT7Uqll5mpPEOeEVfE2P8BZsATwPj3bN -zhifcGeEZGZPmwvmzCnaXJ3tfz7uMi/7DOg58w08Z+7+qSQA2ZnhVI17Pdxc -0L0irjf5/rK/FLpto3J+oGp3cADdTaWqbv7sklDawaEThJeGBLvU/Llml+zo -3DVn/nwQ8F3i+XN37OxW6UHci8SNg7l93ZV4xHIIm8l14N5Y7dkbvqv7curq -TnGf2fu8KLoF4vP8XOQ4ckdvB9PTE+xOVP0UevTd3kQ9ywwvUOp5WMDc0Ngv -nj3zMxvBco9T/SijVJkxI4764c1tXm9WzzYx6DnPKDugVXD/2sLifWpgxb2s -SZgWfJo6UWjuXKLmzs742WQ2EeqmK7azdmxwtoQccn0PKWwe3L3wJe/5OJE9 -3TOdt0U5m87tTE44uG3NBkv/fJ6Uszt7F5HRc4GQ8vEWOYOKhk8R5fw7Us7Z -c17TSilnnSth8ucwIX+icp7QAOVcjOf8jynnB+uvnH0JZkI55/Vuc7hatwce -r5TzUYFy5oDrQD9vu1zzNVTQ9RZNppNKebz8AV+jDaLKtXIGA1ILF35FdAwO -4mz3dkV9UoKgnBNeZ+A6O+V8YeFd8DqfA4+/i1d92PK0wpejj8we4w4IViSP -s7Pr/3RPs8KPxHHWHue/gsd5L+9xJuWs04EfB+nAv4Rd2/S4s3J+leSG69rW -XI2H6NG/kwZ2opzpUzCblLP7BJxB+CV8DXbzLOea/Wjt3Y5KI7RyTrQGrvVR -K6ucT6FAeEo5XypHeb5yvt9IEkF61aWcH/v5lfNxSjmfmMAk6aB3CBmdTX5/ -vD06M6e7ORZXzoLnB+W81innJaKc7entec53KypdqJxnKM8dKef2mXLumCnn -TqMVV8PxRR2Zvwd47pzHWWh000oL34ZOjQVIouOkCs+bv+J5s+o64YZA7tWu -pp6T5RRyfYY6tXnmMT/7uabWNgOeCRwNZh24lJZrqOB+E98KiKp5AKrmKq2a -j6ZHFH2jpVVeNQMrd8356VmzntOJRxRVcyehe+kNt+0ybv9iBbGaq9RqRCUB -6QiHR1W3sd3q+BkfqDmdV83w2F6RcGfMVOwMtUrkPpMJOb3ZzM6YohjN03QK -cGwdtLmQm9FvC/KZ+zik/5fxnJkSgPPDBCA5M+4kZwbMmalY1r0ibqve/YDS -BivnnTLl/HDlbrDPuJ9C1HcF8+fQwaHnzxdpgl0tz593lvnz8cTfGAtJVZw/ -j0nOn8vs/Lma6XWp9OBwmj+Pofmz7ev27I1TvHtjzTRhj8fkOtfVbbnPZdr7 -/IrmPqe4G3z8vizcjVLhbqA08cMLrmd7Q5lJ3/ExrPkacUQrcXYbkZkU1fMW -mxoM1uFaPTs6Yqie3R7E7UAi3kaQGGTOs+7kBlKduDZWF5YB43kZyIzVlBZ0 -ZlBsR9F+Z+zhfhcIddQkyI4NM7EIzt1BmXLmBkHtkOM2FMfOd8p5QUOVsz97 -Q+W8hJTzk6Sc7yXlPJ/AApeT/3/GOk5kY1r2aFDOTaX6QThGSeU83FQ+oHKe -DMo5LBb2aezLgubAOpTzy0WVs0MXaaiiDCsi5fyeL169/mdSzida5TztmCJk -OlHOuKarSzkvpGPSHZFuqMCPL6iI1hslJfi85ms008r5VVLOcUoQvc62QdDh -ZLAE6CbViIJe57dLyOvcmLjOzc4tvNdiOqxdPjyIlPOlHRDFxRxnV5dGTg3d -gMIe5y3Ecf6qqMe5buVcKUQ67Np+gfBdIVfjzg34ObiJWc61luU8nZTzSZFy -bg5lWZ7lXCYtKPw6mNbAqhHUGujRXiJLSDm7YUirNfVVzuk6+nJ1qcTYyjYq -54hKp812OPAYcVG+cp5EyvnkBGBUOugj5ZxGJN0cIpK0cm6NjZlktksp53Rz -YH2UcyqtMpTI/NRpxSf4OEWjm662hlciHckT+ZFExzwNd3q7RxxaT9yjTQ2B -6LPbsVCTPc6rCazI7YDcqe3WJextvoIe2RnC0fht9rhywWVzCrK2gQseqObq -QDVD8mpkcLELZs1rUDUj4esiteGeJ6q5zBmJXiXsy6saNf6I94UKP8OpZp0E -rDDhbiB6sWqWdhNOVWlO83uG02xaAOdqwGhMnButiXNONU/z7Ixkb3aQAgzn -zGdrP/PIItyMAb797zI69lkxO4v/NUECcEFOAhCcGW6Qkl0o76M5syvWfKj0 -LXhFeuy/Lcp5u8ITlb+FAcPDdFS6W9o92bN2J5VG1TV/np0zfz4l8D+jqtgr -yd9Iz59tenCQpAeHSAi7j7Cf2b1xvGlO0e6NNjnkuvaB99k3+PDzfbskB8s5 -Objcep87Ro2XK4msuBqodXwzdM84l7WlwLkRxECvxZV6Hpkze56ooLlamuSq -58EuekW8jUM9byMEhBrPsx5gtHAGUSc3VsB62w3o8Pxlt5z2O19ZeLvxZQC4 -BcMnN1Apxga45Fz7VLfDgQQKjSgwsaBUtptYnFpe+Ou0jjCx+C6YWNRLOVO2 -BJRzlVXOq5MsI7vv08r5PFLOJxHnfFz2jB9V3ZS4+UwA7aKUc/+6lXPlv1Q5 -6610rJwp0Fpf5XxRWjnX3SNo6+NlSx0ynfvj4AHWJKSc88l0rJzfMcr5CVHO -ie7tJopM1yg/Jbg2SAnGjSjXBI0oF6NybqK5zmdlsuP0wmannOd0iDjOPxLH -2TSgPJFoQNHKmTzOdXYHZvKimi6KDkLwCinnxaScNVfDYbwWUm3EjTRAmVuL -RtFZJEOccp5Chj28QO5GLSgtCIbrWc6hcvYtKEMoEM7K+dhE5Or07Oim1wKG -IBcGyvlKFV3Ryvnef4Fy/rhhyvlMUs6nknKerFgzWjmPqp9y5lXhwgAu+mgI -F90HlXOzSij/8cr5z1QvH+S8G6ycg61hv0MKmw/v7qn8kympcg7SkcCp4RjO -kAzE5hN3ejtLP86b9/TzZvbZQVcg9mq7S2Bl9jivVJvCJ0HJ7Gi8zfMorXU+ -cTROBmPR7pkywdUIghNZNXch1XwoqebBAkzkWbNmaMiGm1VzYL9H1XyNV80y -k1Md2lpRONW8JODgvhB0sD1DnubHg07AqN3kPdtuAj1saqtN3dnD5yjVPFN5 -mpU/9JjpAaM5wc6wXYBbYOsNqrnYnHmInTNDAnAAOzPIz0zVsVeFc2ZKAN4c -JgDBmcGYpffEmfEAgUqdYnafBPd69Ny/Q1o5R53bTi8/k+nlp8hB/yixDu8n -cov2bRTLDdaHW4dTZzeC+D1Rn1sUxlTvB6ERP3W2zDrIDAZT58NM46D3bKSJ -G9azAXvvSmobVLy69ilendmkKMdz0BJf9rLKu+q84Esrcll1vE2RmjZHIhfN -zIfwhyJOhl35WYAvUGUTeRNnKppgC6kWJKKZs6d01mC2zGnKBucECe5FISux -y7XcCEtuWHADAtcFqtgnp6fNocv5CuLSnQ8BKUiWHKS6UIRJd0Th854DCpsO -PbSw+bCehS+PpKbW8Z2RR2f0cut66WUnKj5TDa3vrU7p5R1AL7v6tD9JqmRH -gHHdRnr5arB2ok/pXHrOTyKqzNhML48BvXwAIQR8O+uRCryl9bLzGgk6oLIO -vfzav0cvM+aTa+NTevli0ssJ+LjAiRJ6eQodrLl6eYjXy6AV6ADlCnmtl3na -Jjw6pZcfFr38eqSXpXs708vSvS162WcDK+vKBkY9KExzvtjSnB2S0QmOA6eg -Xr6sPTqbuWcbMoHa2dxEnM1bpffEFQ87xACWQWDXdqiXd5bGQJsJdAURXi8v -Zw7dBs+he0Q4dL8s3EHlxFYvM8EZl4ynEeAfr427Zcd7IxyLANS/tQRacJnY -M6GXhxq93CNXL08N9PJshcNlvRzicBuil3n5Xf2z6uVR51nHP4/p+H0wOdk6 -9DJa674Ca+c19PyDu5/vip3eS1RZbcAF4T7VVi+/mj3mzEUK8yl3NEAva4Iz -c+j6gF6WZPdx5Gx2DDrXYOVOb3DYHYgOuztbwHLFXQ/dUsVMmZftkT3Wu0kW -8E3IAv4CcIoV3FhF3DnYDa7HE5s7TuYo5j5nUWCCV+32groV0PkyegRaeQj5 -MsYorTzRaOWWMI07hyp5cqKq5rTmDm2evqnd9RJLzUBmV5ybMtSM+1+vl5PZ -k299OEVz5rgFcCRx5saeG/eZTJwS92YbT4YbgbgjXWf/irmYabp80cCEHyPI -/V1zCE+XPwcO2ILunxoi8x2BH8PtyTnk8qDSyY+1Q7C/2533+gPq5YZ0bu+0 -w3aF5zPl/CcqWX2SthuP6KlzwreRyg16bt1vpDdlKjnvoTeFyliPi6bO+8Cz -Oxo6U9qoZV46MzhIMoPes9GHoPm98zwbVdaz4Xl1gWfjNd0Zf41y73vHc/mr -d2d3RHLwL0s0DdIdMaJtcG+8kJ5rRT2LVLlTkZ5V3hXV8+fef3SJdjtvqdfE -+Uw9cR5j1TMTni/rl0mSPjjCQ8oG5gSR7vyeh+ozn056UYjsXLIMRnNrsjPY -TJvB5Xw9Eo1cPrDJzMJ7Lai1Vfs0eFYBNGciGQ3oWdisUyXM/5xRRDmHFOdM -YnDXlEmVZMr5DeePAzCX98e9uHEH1TWFMws5h6Fs+DeSLIGuKeoDcl6kMdXN -ksrZI2sHy0Kaix6ccoZnNamcY4rRv1E5HwnK+ZNMOceV8RqdmOrdnkRRawPB -L6Kcww4UUA19maqhO1A+N8r5joMxAMJUDZ8NtFSN5zRVQ3Vvg3JW3ducDayE -4NTjkg1cF2YDG4XZwLmqB8XRnLPHXvq3STmPIeV8M/ozhN68yPkzmpjek61B -78mXid4T72wuppwdTWN7omnsQBw6DFM9QxfGh2mAcjcd/TcLhw6X3dx94haM -00Q57woXyHE1u5Mlbx9Szm0swbnaE5xN94lY78blKOcp/zHKOe7crkM5z/gH -lLNaDDJZBhs0A+XMgw63kOYNSzvCiTrlDMEUUM4OIuPKMl+RHSH3wD6uHHZ3 -BJ3bOcq5DSlnw+I/DFus+ru5Rzc8vcdTg9U0cti5zpMrkdz8g2sKzC6MkAeE -k1tRNGjKHGYBXa8295y8SJc+djTfIXMOzAFyn/ZpsP/eXUjNY4CYq3aC1aFq -jifM7MvwGar6AF682b7c9JpwV4SlDTA1A1hzavbWjVvWpAnQ5/8G6p01d0XM -D+nMxTlzI89XLYCJPpNJFO+OyMyT/KIEoq3FOrPd45xwMUfT5aDH5DpCj96o -BiW3hrk/8mPcq/wYD3Z8u/BQB4T5u4vkY6SY3QLGfQpYOTfEo/HLTDm/WLkr -2NqepwXd03R0sm/jATV/rsu3wdw6M3+uRQe+e2ZPqsGGteOJumFaB838OdXZ -zYOKPnLkDpTGwWGUGSzi2VDEjTZEisEGzHPpmZ+pslfK8byK6eQJ2vPyRFcK -NQ1CXpB8SY620ZF6upm12POJfIzB4CKL8dCvIVnB89LqWQ/4UD2nrXNMeNaM -Oj6SwemcPZfgdM6ew0fbI5/ODe6EsNG0ApbbK0uWwFK7Eth0yuVc4qyfzvZJ -+cDm5xfe32e6+DSESeeSJeCPG5gp577Z2XsIkoxGdfFTizP/f+reA9qrItn+ -Z+k8HRFzVi4ZRBHJSURFQQUEVJIoigHFnBVBQRAliYgiCAgKSBBQUcFAzvHm -gBEFs6gEx4Cz1n+t/ndVV3VX9+nzvRdG37zfW4t5pnlvhntOn91Ve382Kecn -SlPOpiQi1tLKZzDKCZISm2h6sZRKIN60HrkKAcnoKHsW32slw/FIkbk6v1oA -3zpXKGeDD3AL6aRy5hueUc5x/qdRzjDFmCgyrPuvnNPIAWnQcVTOX5UOHX8s -UM6ltQdK5RxrDySaAM/bhhOLi6kaPo/uq4Cq8XmCquFlA08v9KkaWZtt9/b6 -LJcNzNQg6BzOMhv4LCpnfNzhsog058GeT2NHnfu0cr5S/TaiofpzMjHopgt6 -82vOn+F6TyATeGqi92T/GgMNfsDQNP5paBq04F5EF8b5NDiZQUe+49CZujVH -cD5aPVBEBGe6QEIc/Boq0DRBq/p0nLeg+nmpnDsmlPOFqJy5LNZUXTW29lGn -nF1B7P8B5TwurpyNTXSvhxLl4Z1UzneFyjklzj2kg8HXZkaJbseltAylYKQb -SM61Clg5r7LKeRWuVoxyfotO7VkYed2aNclTzp+VSTlz/2sbtbO9ywRiNuXu -ZpYkir2vQNKYWFvte7mm+mNWNcOfg3nzwoqeq/mrdZzkNltCIDbnojfD2Ive -F47mafi4VkBlMlLQM+6h7fcN2NZWGTlfPWwGxajmy61q7hyo5hRyRvaD+jIH -bubQaP+MdXuiclg3xVJuwenpVDMzukLWHFMz6HF813UTh02AHWz+T3SaZHIy -87AjE2dO9JlYMvO98ezfPaIBEGZzGefMXSMu5g4pfdltk33ZE1KIzNM8P4ZZ -NSbmzFoxQzQcYf5nG6sefAbant7ogJTz6txj8NkDhOcSilQvIpLLgsDBMZNU -xCsl6Q6OkbQbCbl1D+pn9r5g/uxTn6sSLP8MghfVt5nBbtSZYlyiklnXwc6f -26N7w0Sy23p93YF7I9f1pdSneLbxJD2KW/GGm5OO5xjtucXaacRcdGoF1DPv -XAxtQzYNui75ltTvY1EGrxcnj+ZXWLLsEMO+b8Wwj9bkwey5Vz+/2DXMCt7f -Z09cPVtMqDmWRyOjjkMnJnCFdGd9JIM/CLC48Pyha6NWPu3/DN1oI53DnDKB -wRyssz+qOM7s/aAPpeogff4+Qh3cd6iv69+ivgGHc/OrDc3o/E767L3U+OM6 -AgO0pdoFba1lVM6w3t6boW/q8+yjPJJRHlWo8d5vET77Rj5MKxGtgVSZ5jNA -j8MGTXiOr86vjq59o5wpCIU1aRcnlHObhHJ2La1m//f3KWdb2ePTahH64sWx -MyjnEPoilfMAg/m07K20DpSYci6N5HyJGUjA0TqW1iPj6Uh9iXogOB/Fynme -Vc6GMAAzOEPVMLU+cPnD7u0sdjivFd3byWxgXlo2MEtmA8mxUWmYcGwMNGQN -gJmfeT8q599HNrQ921iZNpN6ttnZLJwaJhN4asLZnKacuTHQZgK3HoIr7hxS -zpamQfJjIR378+jRn45mPROJlcp5qCU4Ow5dX7pAosmfSiRYOXdDecJFEhfG -WwNpJV66cu6XopzH+Mp53f+ycharQamcuwXKmY11+D4EhBlGD3j7F6mcL3NH -9NNt3Xow7N2WFVbcgSKU8zK4KGLNfNgB+7btgC2uOE0rZ31qR5hISHEuRTnv -vOgi9WP789XPXbVyvral2tOXnM39gUHXEE9uYCHBpXEf5AHnVDX8ubez7Lz5 -O/1of03zZs4CgrcOzPmb6NK3WHRqzyg+HAsuny9yOcD+NN/oiw6N44zxPl+2 -AjrV3CklA8jrDyAONA4zgFsG6sdQqOZNrJqBMDDJy0e1WBsSmt+LsuZQKXwQ -5P/eKvJ8ntBp4uLbdExP3q6ukPO25yRnjtGhjjPH3dkZ+0zu9YkZMTLzA7EG -wCD7N6hL0P7XwQ1Dhl1qyLixOfO4yJw5RmTm3B8rZgQp0Zx5gTdnNoqZC2Qv -PkDlvF4r57X0DK6gvcdioaDfJqQnOzjmUFhkOhngYg6OJLfOZKgeLhTz50I3 -fzZdxae41kEEGIXz5xYCnu8z6y4TmUFDfJYBk2Rft3RvmMxgintjs/A+b4jx -6pz3OZkXBPUsaRtrLW3DZGJ9nIFzLAlWHTv7Iy2DXZ/2EbroUKJbY0bKc2wl -SOqZ+1Geag/PsVkHmuAJH8s7bDeKqaX6CG9u8PwZ1waTnVfqc3gxDungHHZ0 -jQkoKTAfWGWIITrXepBYoHz+XqO+5WzghUwzamWSJdyB4ilnImpMIpLRrEhT -qyAZOXL+kUTONzVqOVtF94loap1NTa22+6TYJUwesvu/4/EZRnNnfo2Ant+K -bnsXW3yAfy5f56BbpShn5ICWqpxFc+BfrJwlLjFFOcsVSK/9UM6W5FxG5TyS -ttXPtvFJzpOFcsatdfPPCUb+KakIn6rxvqRqoHLOdtlAahDcSNnA7LJkA20P -ynO+19nSnJlJ118r5weMch7VwPZsA4MOnRpzKsfpze9q5YxODf1Yk8f5O/Q4 -nxjJBIreE7DzB8p5E3VtrxTK+R1atcylVeM0oZyZ4Mw0DVgr9iOQP3af2Avk -KWjEM+WxZxHcqykZ8DjyHek+ye0hVoaibzvXlyuJC+XmTFVscj3IMP6FpSrn -Vn+xcu7xaIZISgqAv981QflrRDl7HSiSR2cxopLkjHFuVM6AXwQOP6xXKhoe -ktsUvu63WFUcb07t/VHOkOpuc6H6sYNWzt1auUwgndy/Da2vfh9NJI2pNdUf -r8K8owqaksCQ9DPNm7/RjzWz58Bb96F+jAtLjEtjbYlRK4vopH6VcoDjSIFw -n7ZJohynbsJZszERGTxiY8M7AtWcz6q5U1w15xjV3Eg/hvWp07U+QV0abR7i -Lm9aNTfbKC9vjtDcXPg7m1nVvER0ERtqBmynEzRbj8fl0lGeap4UpzN3lVwu -4c7oHgCQeNDhRVPC7F9kzvxACmMOFXP3oMvEo2Wkz5mfE4oZ58wXxIjMOyyR -2ZIyyJkxXzgzFpBi5hkzHPs8NIGN+SWnNzwg5bw592icta0njycMIFbo57E0 -B8dsio/APG5qQH5OSxA+pp/hRwq5t1vOn48j6sbJeCNk/7OZP9f15s++//ki -4d4IGgdzu6USn9m9IeuoTM9P6N5wvDpDe3a8uuYbJO2Z/f5z/eOZaRtU7NrE -0jZEclBWVL0h1PMso54ve0Wy6nzpEqpnhIFR6fG1wey5bynqmUH78Hw/cZl+ -ptsZMx0z6nioAUY6CzvSzyfz6WDtbVwbhnDk2HQLME5lzuFJlDcZpc/goQjn -wr3fmff4Xmc6f5Gq0RYmF9TY2ttXznj+MotuUi21T0sPq5wX0Bn8vpEYXibb -7v0qIAO0MOTQbWWCs5EPcEOcSM4kKBvmM/lBKxmgZNjwFnvm1xRtrbHeqaRy -lpmTUDk3IIngFwuP/VuV85wDVM5D/LVfmXu3+/jK+ZFQOVM6akiHkOTMyvkH -O5TweXRmEIF0gSbG6OZTNYocVQPQilVyUTnblKBVzukpwQKbEnw5oDk/F9Cc -tXLOAuVM/dv60rjjjAedcgaP8/TqWDbMTg3pcUbl7HmcXToQlPM3ojFwu5cO -PBLjVEzTYOWcTSuWtZwOJGt/gkNXbIxKlkNHNv8hdJyzckZEQaEZgvQqOJXs -/qfT8d3AEpyNcr5IDD06CeV8lVXOsjWQlXODUDlvzlRiLGFIs4Ryfut/QTlH -wtyhcn6gFOUsO1Bk8WtHYwgFCBIM6MYECFEL4G/xuVdfZUFItQutctYXxdWV -NkY6UF6nhMp0fKSdch5pTu2qA0k53x9RztC33dkpZ+ja7k69r7ezx7mh+m1Y -ffXHGCJpvEKnNiQD9WMO/jqcNxP/CB5nTAXq07qoqLx1aaxAdWJUyWxiHo2n -yx30uj5GhZa3I+jlBK0uWDWfJVTz+aSa2wVpwPis2RRXBqp5k1h5bHze9Zqs -d4+fZM01o7maefyMp7OJVc3cnx0mAYvF0SyTgOxpphgKA8VZNTNnTrQAdg8G -HHYVIvpMwt5snjPfnTJn7ldaZzbPmS+TfuZd8TkzODMu1IrZzpm/RhMewpJi -CcCoM+Nj35lxVolVzO+TYoaBCcz7Lq11YMo5RyvnbFrdbSTj2xoaRCzXCgI2 -1++T/z50cFgPNDk45Px5XCRBGM6fH9DP9D12/nyM9T/3CubPxv9cL5g/E7Mu -2jh4uZg/h42DN9j3QfalNCREaH1EhD5iFYtZBz4l2gbH4K2yOapn6X2ekez+ -Wc3eZ9czD8nBRstd909zyarjfQx2zEvS82cppGeQL/BuUNcPvRey+FiWvMpj -2pnq9qJ67i/U82DRj8LQI2bUwa7kFe5GafKZ7eR+98xilCDLq8HceSOuujfR -3DkX938zcaW9NWs8cemG4QAO19a171Nf1b1Dfd3gZvI6U0IbW1uhe/s8wwHt -3TKhnLH/BNtaaec3G5SzQd7y9AIkBsiLr9cagvPnW44hrkYFdMzlWw6dUc7v -bzU3xXnIZQw4dMXMAj3KEpxvLjxBP7cmc9LT7gGpbzvfbw2EZ/PSRGOrJBuF -yvkx/QwOPjDlnKH/JE05R9YeZVLOHFp93FfOsfZAJte6DpQU5UwkZ6ucuQPl -UtmB8qOvnM//2uNrzCIu11xPOevj1PI1igRfA1bYpnt7DacEow2CC7wGQel1 -BmOo73Ue7XpQsp4g5Uz926icu6jfntbK+WWhnK1To1LgcSbl/IHvcU7Qm8HG -L9KBH+cf7jh0WjXnC+XMXI3FaO0vTxy6w4lDZ8ojJpMkeY5WLsPoKH+UjvF7 -Cdzfp/A4qgA6jez+tUk5N6ShR0uSKhfZi6TXGpiqnN2AwyjnR0g5D/4PlLOQ -Lv8t5ZxW+nqjv395VJKcQTl32GPfgWfa/mj5MiGPbjby6D61R/Qip5whFIv+ -usr6klhpLaZTHMn5DZp1zNDKeQrCyT/OGqsfY+bwa+UMpzaSke4KyEisnC/V -yrm1Uc7A1bjR9J4gvflxYtA9VwedGv8WyUDkz73PPA3qOqFEylatmvOLD8NV -ySqy5b8lvM0vYuLqCPSKDqRO1zsLzVbbJFCqi5lGC1QPsLmWs+aL8yKqOfd2 -8fi5cKpspOJHj2lcLZDQLFUzb6MXeUnAJiskP0M/epafEU8CJjzNAWfO8zTD -Y8gtgE+6FsAeA12IO1OfiSQz332rRCHRIwpzt95l68we0jGDn7lNcs4cSwB6 -zoygwyThzKhn4iw8Z0bFfEYhVl4tRsVs1oxw5Ler2WC/lfMRWjkX5ByNXAGI -jLCC3kAGuNWkoJcJBV0WB0ciQUiBbG/+DAnCQu7tpvmzfs7N/PmE5Py54Aw7 -wPDnz+dZzNHlGdKDbW168NpEepD7UhpxX0rOg7ZnXpLrGgtyXbONoffZJAeb -k6nOZADeoFumSw4id4Pel8aip/tc+b68Ae+LID0zd2NqDLrP6tlBDqx6fjSu -nmUBhZw94zEtVoPwrA9r54YbTKubgolBY6ZDzzOR6kCGgAQBHK5JCzKlbgH5 -nadRWvs5rZxH4voaHRtQQIzTC/A69zY9KMACRb5GBuUMzYGjjXLORM/fGRDp -TDrwSC0nDlfF+izOKwm4GluZq2Gcc5KrMaKYiHRFjkjXp/BELRlOpRV1bYHh -ovV0VDlfhSwYeUY3z7ldcI5cYrtRxsR23My538q50KvnSSjnyMrD1fP8p8oZ -5mx7veGFVM6DPOW8x5jiLJnOzNxeEAOKKTScmE6RESZ0IVSRWAO2e9vyNfKI -r5GD3ducEtxQsawpQXZsTBI052d9mnOW8DpDzKr2Q0Y5j27gaHSzksr5F1LO -uxf6vSdx5XysSAeK3hPiaoByztO/toiu7eVCOb9Fq+85tP42XI0KRKQ7EpUz -czUepeP7HqucjyflXJGU8xlkuGsklPMFEeV8pVDOvbzWwKRyfsihcv9G5Wx9 -ztHO7YBuPj5TiDsOEA2NdJ57ifqAbAd92IECtVXt3N5lrCUz+nyN2ZGUoJYP -oJzB2o/g8iqgnNchRsZRkQKSMzZYjfUarLZDgxWc2tZnF1HObbVy7ghcjXMN -fx/SgY9o5TzE0Oj+gHkHFGUCuXmeI9Ghtw7nHIZCB9vBjwrKo6duS4lZk8CK -5B06oaeXmETgWMHReIgr4PFkNkBx06XdGO32kJgyqrkdJU9MI+BFQjWzMmhM -Ve9hj2sTrZqbijbAZhHqXIsEdc6waw11TvY9mLY0OUO7KEwCCneGR2cOiHNJ -T7MjznnuDEFmlu4Me0TfIYLc7M640a1EvBRgKe1/OGduJ6nMP+GjOyZMALb+ -Fh9jN2c2CUBJZEbFDEc6mfDmx5gZnjOj0Doz4LlfqhUz+PzBnAeDkvY1Dkw5 -F2cfjQmpQiJz5RKuaBMdrWvZwaGPVmAOSAfH29bBkZw/hwlCf/58JPWmmOO3 -H/VISP/zTQXHe/wNbB0U82cucU2fP8vGwS7iaJbpQTbT3UKbcj6i7430pSTJ -dc3KzH2Orwils8nsaAx34wJ52wzemyS1DnYz4ercqeerSpk9p6pnAumafpTd -pJ5NHMXwNow0sZ7n+h8hNBR6UUCCmD7u1Zja5i7uQpo7gxHUJAWfNIyNWg8Z -Op0+g79pfINJCbJyhoT2pVo5dxHK+QHDczbKmdxycAbD9ELLDs6Z7LZcDROh -4nQgZk0oHVhUDCltlw5cutXcDuG5nkMRqckJroZJvd5LENub9Pl8HVelWTpo -E7sPZHgt+PHbC+V8Uapyvi+inMtS8vDagSnn/MzKeWqgnO2FjUGJZVDOpbYH -EsooQ3sgr/qeCpUzkekmeGS6L+2AwifTGb7GO0H3tuVrVAY8edi9vZywXZka -BKf5XOeK45JcZ/I6b6s8yBiVTifl/Ex99ee0Gkij+3OW69mWHmfXewLpwFMS -jYFfo3IWHmebDvQbAwu1anZd22Z457ga5X0iHa3AJ9LjDyjzUaScH6fV4YOE -7cfXoMCYltBMikFZrgHyu08uz2eWs2gNxOPZtQZ6fdv/LeX8Tkw5f5yqnK9M -KOefKIZl3gmbmg06gaLKWb4LUeUseoAEX0PGUUznPPn769uUIChnmLvB445E -JEimZCX5GgVMpqs4kWj8T5tsN8AVAaxYi5XzrQGNXyhnoCJdTVyNe7RyHkAc -52fqqj8mnKH+AKbGbD6xzbx5J8+bN8CM4yj1cZ7ZDMKFDxTJcurUno+kfXM6 -w3xuBNPnEPVyLF7kTL+JVs0FdUgxkEMjqpoBI95bKwKjmg0flFWz880lEye+ -am5O2z+Pn7Hq3UQSEFNPS6BjOMcmAROpp3B2JtwZsjv7SnJnSOIccpoFO+Oq -0tgZBA29XQw27g7mzLbLJEKbS3Az7Jx5T3zOfFF8zjw5mDNLZwYzM+YlmBku -/Qe8XFgn8pxZOjOWVTNz5hWVs/Uxb/D9HWrUPyDlvHXzsbjGg4EEKmgaSuTQ -8bqR5s/OwWFufNLBEbaoxBKEcv4sCXZDMGpylJ0/W/9zoeQ/n+zxn5PzZ789 -RaYHY42DZv7cy3INWrF7g/q6jXohMhizN7Ilue6paFc3k+tawK1TuDdcB33w -Di133udG0NMt07S2FyiIbelju9PLkrvB6pk7usWqEOIpBBMNTad8ZCfU83VO -PQ9i5wYb6wStDnu5AYFEnmfYlcCOBG55cC6vqLZFram8Htfd4KAz7Siv4Vob -pAWsspGtX1WfwzX7GTodeJ3xDIaUIJHpgAp6SYpy5sbW8SZnAtOLP+YSEfQd -rZyhXm2J4GrA7o+mGKax9TCkguYQ2HZliUlqe+lAGrpBWns0uY4GEm3xbmrH -vLHwJH3D48mGa251qW3TGshxqEvyoAXlKlv50Cox4QjcdNF6NEZvTf2vKedn -0pXzVcJAd0Om3u2+VDMluoZlMuqxsD2QlHOC6QwpKXosX2olyHRWQVBKMEam -C1OC0IhSKd4gmG29zjIlOFP/mq4fbeY6g3IOuc7k2EDl/Dgp54fVj9do5Tym -vmkLfLWq+pNpdPOYRqeV89vO47yLOc6ex/lENCI5jzOUEjvlbNOBUPhDR/sm -Vs4Us3qfHntJpJtOGPOJFLt6lsxKlkgnlXMBK2dTdAVBWcCa8/qlq2Q557e1 -KxinnGN92045N0SYaFw5s4zZf+VM8NAVa/4XlHNgoKMhH78PUdaM2L9YvoZQ -zpKv8bzga0zlfYsgMoqUICjnD2jNsrKqI/FzSjBb8DXMaW3IdJ+Qx850v0oa -/62UUAmV8wVGOQOR7hZ9at/XVP36WGPjcQYa3aTa6g99YcSmwDdp3rwY5s2m -W3s7pFFyj1Bb9ePLLg0m0MFcYxZtA8fRRnsIckKPwlP5loITkDlwdUFVnDXD -5Q1nzWgWakO+zs6qXa5JnHgncU7fgKpvts9e2iRTvfvaOT4/YyV3C7t5WUMg -bQXtJsadQZzauR9S2glU86dCNW+3tC2fOCeIW547wzSbyNC2ZGeEvdlhA6At -swxSgNHO7JifOZwzQ1+29TP/4EhzaLT7JsJmNo+x72X+NHBmsGL2mRkwEFlS -i4YiWA6bi97+VZW0as7aTEHw9eqyA1TOH206Hk1woCL4eIW9SMHWQ1FBhw6O -NdbBASu+fwqKXflIiwolCMnB4RHsih3BLjZ/Bv/zHcSS4fnzdTh/rmz6B2H+ -jMgjHmo09dOD1D0o04MWHCq6B6PujaA5pV6OMNfhrka6N5z32bk3XqIu+gj3 -Gfc2zD0XnYPL1lvvcyI5KCUNM89fCdWzo9aF60Kup0Apw+pZDjysyc4R67zZ -s+jmlqznSYRBepVaUriTG1bgS2tCBsW0VJm04CLMnsCADuQFJwWRsVG9P7nm -7hBncDetnDuqHy4UytnLmWjlPKyeyZlMMI2tsOpGlrMk0oWNrZuPtlRQ0x34 -T9e1XeK6tufTDXAqOejGEudoKEWjHqQ2qpsLgBAKyrkS5U/qCGPnuV7tA6+m -jXIO+7ZBOd/x/7RyfsI30pW5d1sq5+tEMipsQ+noq4ZRZMG3TGfRpcYDi1eJ -r8GDijS+xmJWzgC6ReW8iWC3axJdgtmRlCB7nW0jSkXf68yODajQZK7z9lr9 -UDn/PqaeVs7VTM/2bO7Zlk4No5x3UTrQODVOcTS6RDrwGFyr+F3bh5mu7SAd -CJfFpaSc36EV4jxaH04vkVyNI9GsNLLYEen601F9l/7VF2MqJ5ByhoqJmrQe -rJ9ROTtwbnf7SnDf9rk4zLhNK+c7vRiKr5zZ/h+uzZ2Bbv+Uc+z4TVHOsW7N -MbJb8ycvuB1GT3iAkdjBcPyEyXRXuaOY+RpPtjcRK1f7mkwJ2u5thIiC1xnD -3KCcucNqRdVsSgmuDVKC7HWmlKAl0w03Hrsqj2Jt/I4z7jHzDi/b7ZTzT51b -qV29WhquxgNaOQ/SpzZ5nPe9VEv9PqM6XhL3QjLwPTdv3rHxOHyE4ZQu0qpk -S4lRHospgTKHjETjyXr/hD6ZH6Gt9S0FNGvOr4ZzDJg1W9Xskefc5o9PYbio -OeoWAxC54SRkNdOszPIzZqrmax3CpVlktWE8zZQEfD9UzcVWNbebTY9azNM8 -XnqaU4hzsWYTXgHSICPGzgi7AHn9wbS5RAqQj+crSucze4o5hTSXxmaeK+bM -mZwZ4BdlZ8bSms7LjIoZiq5ozgxmPBiMrNPH+2XVD0w5f7LhBDxe4Rm1Cprn -z+jgOMR6oDfRbE5S7JYSUTHJ4Ig7ONIIdrH5M/if77Lz5+O0QjH+52vR/8z8 -5zMj7d3GvXFlPrs3eP7ckd4Z1z1o3Bu9PPcG886ZvSG9z0lyncDwcyf9hgj3 -ea3PfUbv8yrnfcaE7TLTS990CXcOUmvQgkKRHPzQU8+dpnwuZI3o15TcDW5M -eXRPEsd/j3E1wU0zo3ru7KtnZD1f8J3hbVBicC55nkGSwI1vefVclCBmF8hz -5zlmkpE1AVfZn1VmxwbQ6e6klOC1RjmfJ5RzV1LOdzRTvzwkWPpjmeVcHQd2 -vwdcjZ1LT6aUtmihyj3CFqrl6zN5M8mIZSWyO9A8t+xxfpae0yG0psZ0IA7a -jtHntGHrA8DW7EIaOSKdQHBxetvIBB9ci4ZOq5zv/7+knDtNDWpcn5cxFB93 -ZE1CEeXcJ1DOXhtKqJyvFiDQKwMynVDOvK2WZLqXPDLddkemI+X8pqec/RZu -M5jItsp5XUWXEtwsUoI5mVKCwHW2Xmd2bJDX2fYJDnLK+dl6aDTaN0tynCt5 -HOfdiyp6Hucox5mUMwRgQ66Gbd2mo5y7A1cQxv89ujAyV2MWYcxfooEHKOdn -0OZvlPNAqpdglvMtpJyvQ7u/U849rHHJV86J/kB6JcL+QFTOOaFyNq9FQxpg -cHA2aTj965TzxaFyxsHF5045i6M3qpyD0HasR1AqZ/T9X5eJrwHSZJcNcD9X -lhZuxCCVoHI+w2DMl1cztv61toV7CSFk3qSazFcp+DqBvM4jsdTHUpGox+qr -hn1IOfcwyhl5oq3VT5eTcr6N5h1wao86G/11+6bWVL/PqoZODTitAReD/mb9 -KMPl7xNMBUIK5Z9qfYmZ1bG3+RXKbD+Dj+ORaoB+HO8tPFZf4Ojyhqq5TkQ1 -d3CqOY9nF0TTt4+a6QVkVrNrOHH8jCT4kJKAa0SyaZXjAjQRjcLNRCcgt5sY -T/PWwJtptsvRFm1LnBN9gE/+FG82eSTuzghTgJLRjLgj6c7g4HasPbszmYhC -boZ+NO2cOeLMmBhxZoQJwGRrdrozAxVzLeNlXkaxFfQyozNjs1DM68mItxqf -+Y7V68WVMzZxlw/08mfrTsKRBARIUnUzxbBzKFAiyRurhG7+wPo2yke6U3zf -hswNPhPkBh8nYkE4dTbU52Ot69kczNUpi3VWOrOOps6daercQQCQ2LMREjdk -X7ckboCOcQ2bftug49UJ2vO6CO3Z5gUllcawHBuh43kDsRyzTU+3uIsy/bw9 -0c87erSNOOm561MuT3uVYNVZED9rZhIwDETifu5w4jycNTNTNmC0AU5n/ZQb -p/OHGN9ejOkTIGyswzkGnMR5PG3OmmRy2pWHmy4UaBGEjR9kA4GqcU73pF6G -/pM7tV6GXPZgyGXrkxdmFlBYPK06BqrAEopzi3crel3bnrOZRAQ828bZbO5/ -S0oCZ3OJcDYXhV3bR6vbcMoMVP1T8P4G9JcelqrPZQ8M3nIcOr6ned0nubfS -/ewe0ssiu71FPmN/s14uMZhQBh5JvTwx1MtiqfHUT1G9fG2gl0PA0YHqZVj8 -jQz08gsej440Ay35OHo9X1A1FkqqhuzeRr0MIgIQt+xwTssGzos4nDkbGKE5 -a738Gfk0vqj5iNHLY882bYGkl3+b6zPo9gp/xs/obD454mw2mcAvbO8J0zQq -2Ewg6+UtEb38Lunl1wlRMJPg5ZNpvAGZQOTQEVDmMTqeLYcO7XRs8q9CYJmz -7BiDI9xwfeycf3HQfdIluEKCXqbKqpxQLz/8X9PLDAJzi77PDWB/QpCXDfXy -YBHICrKyt4QFr9LtH8sGenrZdW8/S2LkRdGDwndF24NisoGgl6kKxTicK2Xj -o76eaM6GIBo4nDHN/Tw5nA1V4wsmOde9Q30lWUhAcZZ6GbpPYD/4UBP1G5za -kEd5sbbaN62G+u21qrhMgSWKmTKfqL4k9tyHBRXQQQfTDFiIvEuO5ulUZAn0 -DMhJPYpaGaZqJ+CEGXJQZsLcSGQAWSubbAl88eFR49OXO7Qbkg2onmXNPeGz -5jYwa46czOugCXC28hvQkk2ATcjJbPobQiezG1MYhhY7mbfZ7mzDmfvaceZs -C6BPzOiGngy/zySNzJzeALjHdZmkZP+ki3mwpDJfYuxzI9sGLmatFMa1jtAy -9GP6sj6mp4mj2pIy7IjDny6/EyFl2MxfDcr8VWWdvMWWweJkWetkoJZDzwQo -EsCMdqp+Nurl8lYjl23SvG3NyWiFg2c1pqDZ+Sx9Gzl0/IbO52XC+czkDZ46 -x3wbsdzgCMoNJqfOR0enzhjfhqmzPqozTZ1dZvASbNWUxzZa6/KMZ4OXhOeH -fd2JRSGgRClfi3fRmGcj6Opem+TVNZP9QSuTqYHmH8h3zTie277uNjmOtrEt -hbZBeUGWNrBEj6nne4XNrq/DHHjquYuYOOt3BN4NuD9OYMoG5wT1sw53QXiu -0atR1TQKgvTgaXNx1ssmH1hplPHLQQnxGfdQNrC3PoNBOXfSyvlizAUCxXn3 -jeeovXdBa2tj9esQmlkwh25GdbSFsrOZu6esP2O9oDdT70khLazX0+3vA7r1 -gXyYRdIBrJ3P00bEbww0i+neWjKYW1w1dN0bzxBLBYes7UjntplxOOKRDEAx -1aUBeupiynmUsHCOT8w6ZMnDf6qcZ8WU8w4bRPGU84gyKucYybmvb6HzlHPY -gULjjCfpiPaV8w+ecpY8uplEcp4rlnxvhdlAOnpN1DrbZQMr+tlAAMRs8bKB -zuFsaM4iG5gVdzh/Bv3blYRyfu5s589gZzMp571vh/TmU3B54veegHHfwGK+ -EI2BYdd2QUmya3s51V0toiXh63RpfFXAkSxNgyx1Q0g5M4fu9kIugj3RLgEd -wbkhVc+fI4DmoXLmAUZSOTPYHI7eepmU88Y05cxH7tz/XeU88kcb0faUc+D4 -T3sfOCdrlfNV7hY5uDN3Ae1BmfK0uD1OEDfH6UhzNj4N53BG5Qz7bIzD1mCa -86YUmjP1oGRNJp8GOZyrPI7Ouu1M1cB5B5zaV2nlfIWhOLfVyrnzuVo5k7Pu -4cbqN2isAlcdtFW9Ws34M/QjDgsUOKm/winz0ahAirT62KIfy9WkKN7AHODh -mDUBl+cwVM1GGdyC+z6wB8kJM3Wb6McNTl9MZOd2I9VscIfMzuc0dv2ch1QD -jzXHX3R6tNYn83/OfSmbAGXrGSf/s12nyVtEZ57vg/PNkftZojvbzsP0F72r -5MwxMYOny5E+k9LIzLYB8CYiM/MA49qgyyStM9u6mHeRi/lnO12G2Vq0L/u8 -suX+3mjk+zEWxvwYnPkjP4Y5vrcQGGljYrJswPzL6Dj/QHWqdvYBeTS+WHWK -jWBD1RQAjFhBM/7TzZ+lgpbsutJ9G3L+zL6NsHkwxq3j+fMjifkzU59PQuB+ -OH82trpG/vw5r3XQmXKZF+nm4zvNs9EkR0a7H/CP8QyejebCs+HaN4VnA2nP -73p8xzI5nmVZBVnuOtn3LQAkCdpGt4D0fL04xnFhyOr5ZldjxdFuy6nT7wvM -nmHgAbKFGXXwHmBOUMsTw6eDXpQcJDsDK9R0cb+OS22YZICsgEJiLIQAmjN4 -5aB7u0UPXzkDRf8mymX3p1z2aJMJ3De1lume4sZA688Q9GbpzygwDLp8yr6u -Id7ie/SsziUQl0dvZmcz9Z7cRYM20+IKk44atmC4eyITaKyclqaRJzl0N4rn -i3x12Q9Y5dwwTTmvT24JW4j+nb9COWMgJWKtK0U5dw+Uc++HSmkPvEVUUPUO -1EJ3twy0i0BSziOsbW4n8ejMzG2SUM7YopZC1TDZwBJL1XDKOQePXtsgGGQD -kw7n18jhLHpQKpoelA89mvNIrZwdk+6LGv2dcp5VJYXe7DubWTl/HyjnL1k5 -Y2Pg0eRsPgJxi0jTIOUcdm0vo5qrRWRNmk9lEjPEcOMFsiiNoqHGYDqSHxIE -55s85ewTnPl16JInlbNrDXSXSaecbd82YUIbeMp5gFDOTwnlPDZFOc/+W5Rz -J1bOIaPx6chxOyjpWwpJzomb5PWue1sqZy545QZBdjhjD4qgykyTNGfr09gK -yhnUh/VpoKF/Mz3qzqeRa30aM6xPwzUIDlGfVzMO5y+RhXSrObUtRZSVcyuj -nO8ADl1jw0ACV91LNXHFwv4MmHHAowyPMFz64JHN1Sf0OlqJLKBZxktEzxhO -/Sb3F8EszSAQzaqDZ81GNXe2qvkKbESDR8w1TnEboGPN2Sp3C2rhx+oFfeK6 -/F9z2vC1WC3bzqgrGGizy1fj9tg6mUX+7wJLm3W8LAsH58cqwZkL8Z+BJ2Og -T8yw1jgmM4vs3+13+GTm+5iYQThQdDGnzZmvCKjM7TO5mIVihuY/jy9njmYI -nyRzf8aP8Qb4MRqEPdnFnoN5CTuYAz/GGqyw4jnzGhx+hIrZrA/fVZ2r1d1/ -5XzoIWrHilPxeQVeIitoDJXA0CL3CDx+oSfCHME0g9ZHcJFwPm8JnM+rBHkj -dHCEucHpIjf4osgNji523DrZmyLnz7fRsMPM/U5CCNI1wfzZEGi4M6UVscEc -s05C+E2jmyQ+X2etdqYTWRI3HK8Odjv1s9PcG3Sce0pnGt1YZ3u05+aW9rzE -HumNxZFuaM+5TuqIrpSY4/mKF43tDjrru5LMQdrG0J89iSNj3jeL9aGJeO+x -QP5QPRvnxs+knr/HXCxTNqAbBZ3OWposrlmgVlbKwed4I7JC38JpBtD1DdF5 -mGlyhQlG3duxh+rb5leZ3u2LtHKG5sAeLQ0L9F4iOFMu+8+JtU3XNvSeSHoz -OzVWs1PjGGLQmfW1I2kYINeSKIPOyIYxoi5tQJHpjb+DiOPXFpyAjYFX59cU -XdvN9E3tXH1Tu8BmAjuKooe2eREOnVXO95JydjnuRltk/7tUzmH4ycmDv0Q5 -C3j45C/KpJz5GO/xqGBvRbbToXK+TyhnjyQglPPjogMloZxpWz1BKOeXCf8p -eXTzAuW8MFTOTNWolE3HL/WgZPkNgk45v+45nIsxG0gO56zQ4ewz6b6oMUDt -BKLG82dTz7ZTzo5Bp5WzcDb/JOjNUWezaAw0pqTDMRMolfOmiHJeSPJkHiln -PpYn8kowUM7wGjxEgwxWzr0xHpuFse24cmageaQ1MC/Zt+2UszluTblrP7uK -aaiP2kZeWOvvV87tyMXkSRzP9S+xoD96jZpolIuQnD0eXZpyTm0QpN1LG0Fz -plX4K9Kx0cRAjxbU/wiUM9b/kGMD+FwrqzBZYw0Z+dmxMV8/1rMck67ic47m -jA2CD7tsYKMb1bfNrtHKuQs2V0FzILo1rjvHdJ/0J5oG9LxOrYG18nA5hDwg -MI8g2grzZpjXFRP0ZXmJcTS/Ri1U45A5Z5hG9yMC8Th1Y+Fp+svPvLlGZmaR -15o2fZcRw6g7qWY+cfsiHNyjZmDqn3n5cV+cl/S3TYCy08R0NNBJq5oinTnp -ZLYdDey5nE7EjNDJTO4M/HqjO8NcyLoOZXeGOG5ln8mDcYPcHaIBUBqDEg2A -3GWS0pltXMx7DC0DwC5UxVPWHhOX+zNd2X7u7yOtHD70nBlpbLk0BzPQCNZb -xbySVobm+DZrw3cJK/r2ASnnI7Vy/mrZafbojSromIMD1n9BdjCTg2MxzfNC -B0ca+Zlzgz637khv/sy93Th/LgxbByubGWDU/2yYdWb+3EYc47w6dDD+toL4 -bIgbfRJ9KQ08Xp0jbjC1Jt29kcKrI8WDt9eVssfeeZ/P8WjPRe72Ksk1NCi8 -4sUdWj0HPd2WtrELhyE9H9uTpG3cbW6ndwm0qFTPjwnnBqjnZ1g96/eEKRtw -gwS6M7QKYi9K1Y1qfaWV+OzCmQzr7a0VxxNZ43GkORuqxo1OObe5WP3YoZX6 -uWdLtacv5LKbqF8HNVS/j6yHZzD0nkBj4O+vMYPOyAzs2YZ5syRp5BqSRgm2 -BaaRNMipQW665+kWx06NfkRvvq3wWGxy7YVd27DvOD1oDIQdh8sEuqIHfTPL -62lz3HyON7d+ei4WZi+9wQYk94alKWe/c+evVM52lSHjp2JtKJRzr79COXd1 -ARRzbO/G8MmIS3ySs1XOdFR7yjng0b2ZQtUwDYIGjL/aU85pDYKvp9OcPeUc -Mume0Mr5UbWzV1etnOtGeraNct5j6c2myiekN1vlDI2Bm46l3pOj7JEtu7bz -aU1oaRpbJU3DXBrn0uMPVWxM0xiHplIzyOBjGJTzg4QwuBWP31A5R7pPUpVz -N2uWc3hQc9TKSqB6FnEg1+mhcn7hr1HO8r14IzSiBpiDA1DOvVOysneJHQy7 -lx4RhBnZpPkEE80v8WnOEwjsNdX2bwMu1LQI6ucelDMWCcLcWZI1oEUQ84Er -qPN1YUDWmIyIRc+xgT0o95lTu0EfZCF917KboYi2uUj91Pk8rZxbGuU8gGga -L5yp/pimT+x55rSGNcq3q8xW8NOcI9GlkU3zjHdpU/0KpU3gERyEna3H6hP4 -BHJoVBc92i3x1IVHDL7opkO7O/aaSGpGU/x636sfKz5pOf8X8zSbUxY6TZp7 -SSWzKW4apTNvEp7mPOtpbhuwseBr3TEjZ84nZnRNEDPifSYy+3dr2AAoGHMP -BIy5R1I6s/32P5/KPDqkZRBQXzozwjnzrBZuzuw5M0TuL8GWC2jMGOFmL3Ml -mfnzFTMMPOB5NutCp5iN4e5NdXnVsw5IOX+zOAuPX7jxAeUWjmCjoI9VX2wx -qz/pgcaItkgRsoKOOTjWBQ4Onj/HHBw2QVicnD8/E50/G6Pd/Z7/GdxOx4vW -weT8ubvoTLmSWt38xsFOrnEwt7tND7YWR7rs67bujRzp3gjh/KNEX4poG/Ru -sUBMd+zHOK8Ouro3R9/JNuR9RtozSZ4EapSO9q6wTtS3127C+wzH+jUDHG2j -T5AcNKRnVwYL7xce47HZM6ln2MPAcQ2cRXRt1MhWa6qsw2c5B+fOszBOBWfx -tipaStTqhy2uQDZC5Xx+Z7WzbVv1Y8dWatc1xi33L3DLDWlgek+Q3lxD/TGz -KjrmTPdURZQY2NrKycCNJhkIzy8mA/Uzm6vV8ibuPKHncgHGo8pj8cMU4dQY -VeycGg8VMr35OC0XTkSn0NW296Qe+evPEV3b7HHuHI1CWQ5drus+cb3vDrjV -2N7CpOPuv6ucJbhlWGC4K4NyTrQH3phBOUseHcW2fR7dDz6PjpSz5NGFVI23 -QqrG6UH3dhalBMEklxWmBEOvs1TOL5NyjnmdmawxVH1e4zGjnMfVRaeGYdBV -tk6NX2TPNjo1jAEJHu3vYl3btjHwKPI4V7BrQm4MlMp5BYVi3yPlzDSNmcVG -Ob9kOXQmIDuiSHDoiphDZ0DmJih7ElVV1Uh0n/AKhocVidZAUs7umL1ZgM2N -cj5bKOeGGZVzGC3ZT+X8QYpyfm0/lbPAgVrlzO8E4A3kUDDWCRS4lySbEYd/ -gi7zdFtX7iqZdCFZQ98aQTlzkaBJCsKqBVTIFlQfJim41EsKmuaqqcTfH2t6 -XyvrE7vKALW95oO+1/mcHvrk7oT8/R87n++U86ONcN7x+4QzkEEHjzk82rAZ -/Hrtcdh1slWrixz9yK4lb/PrdImDWRqcwvDo3Y/Z7BP0I3cacfPPwq0y8ovw -xJW9Jo41x9SMJpQEbJAtPc1DE7OJ5utlE6D0NC+gThPe6C1XjYnO7DhzYba/ -qAzd2UliRhePmPFzKjEjdGfYBkAJLxKMOfYze3PmqzN0mQgq81NMZb7YtP95 -c+YLQr7cl1jHA0B9qOSByMlsO2cOSBnWmWFWgThnts6M/CRbrlJ20sucxV5m -qZiNM8OsCt+xitkMPearK6rW2Q/lXN4q52/fr2SO4NUnqG/WnoAkGFbQWj0f -BANo/aXlETRuAcUImi3QbOAowBPaoevW76eBY4Z+TV4pDsfPRyJ7X46fB5OQ -4fHzPZTxvoVO8Wupot6NnzNUpuS1FoWD7ROZbwl8bi3NG6IuhRMs9Qi9UT/b -1QyxecOZphi9weJZgtPj5g0WP161vVwDebORDwM/nk8b9TlirmjwqoF77IY9 -tD5bVB1tEeF1gw0iXEzh9Xqig4kIjG7jxDOc2qBYIDAIr8MHtfPVyqqbcY0C -jzIoDjiOQVl8VuUp9UXN/voIvpuEc08jnC/Rxy+v/O6ild+TBNCfeLr693RZ -FmjGzTsT4OZj7Lh5KzIGDqVg4KGJYOBsYnBNpovbM/TMDS4UCDoscz0OEXRo -1MDnqw49W2xxTlZtGzNQiNWAIUjfRDtaPZuCEnXbaVGVSAoqg3BOqObWmVTz -y5+D0Hb3r1KE8xB3qseE8833ZioPDGihaSBn2hSGwjmBo9On9jRbouZCgq8L -vMY7oXDmAsFKOUI4ryPhHAsJvonKgmHORaHVOYA5fyqQdCCcf+jVTf2qhbMz -ahjhzAg6a9QAeLMNB56UtDhvMM0+2wir8YkQziUlftX2BnL2S+FsMHRmCziT -juCX6O74nBDOT9Cm/BHyLN1FR+715Je7xrLMz6SsbGNPOJvGtkvtXdIXzmHd -thPO9Uk4Y4Pb/grnNdzhKruP/ybhPPY7H9Eoa4Ey4OhuJf+pBJs/EBYIcmBW -vAuwfhkWQdKBerFrl0gVCigUeO4/oJkzKJE1+nmHQOzGiisoJYgz53LlFtPY -eRreB00VymhTIlhpoNpe3SDpIKDyDdjsWvRU38Gy8MKL1Y+dLkC0hhXOo85W -v79YW/02sxqOOeCxBs/Rjo3H6LteBVWo1cSG4sNwlAEbkBn6DjeBgk8smm/B -7Yb+sufXwHEY1DfAV5xrTeCxujS3K7bpMD5DWpobem75x91YYqOzNLOtssVa -xswlySzN6PGBkVaj5RvwqwwjrRZBdXYbwsxdmoaZm0S2yvFxdIZxy7vHqDsV -ml0tulljGezbMhQAJqpM0hBzXJkdLf+jumxun4rg5V4J8HIyAPg6GTMWBAFA -a8yoXehy24GVeTWmUDbZ4zlmZd5kjRlSMC+g5qr5tCmcs5/CmUfO/6O+f6+S -8czRUYws/TXHOwPHBmniOJoaqcQIOjJ+LiQFnRsYOFbL8kHaEsYMHDPJZvpy -cQiwMyTRUUFtygDKeXv4jUJTOmjszwx9rmUru2GLaMbPAl4XjJ/l0Q6Kxw8P -3ug2itaiapSPqUvph+q5gTVvPCXUD6MgffMGK6Dmtqqbgl6rRFU3lg2u8wK7 -3uVWRg/kOsiaN8QqaIxfldKdzRtgfe4fWJ9lcLAPbBL3GtCzVM+XidFza62e -zzPqGeYd4C1deFaxfg/y1OoqG9WGSmbsDHO6rVnj1SeVR6jPaz6mdpx5D679 -cOTcupPa2b4NVp/svrGFqZ4aCEYNQmqAUWNmVdxwlzZu5optGDfn0a1OBgMX -yGAg+Tufo9saAMYHFRoK173W1wmxFAqmFtSidaGwOOdfIGpPOlockruFXZfo -FTbtaElSrXt2ZFwlVM48V3sjopxXCnkQHTmXIp4zK+eRrJzN8d5tkF+7Hdsg -JipQ+vhHepjpDpXz0IRy9kHOFkx37g6rHHjsNr+RObJ9vIY4pmETCNXbWVuo -ensdNav5IcGcREjQhzk7OJ2zOn8q4HSf1xholPMLdTPUbAt4sw0HnkSFga72 -ZEei9sTHahSJqu31tGlZTjnudy1Ww2z/Xi3mzV8Fm9seTRdIA6Q7Gj1L91HH -682FhjDTC5VzZdECxB65FjafvX/K2XHN62Mj0INB93HAamTZs0EcqX+Zci5x -/cczPvH8cN5GLywPlEQjSJUMSILpbk7FzexJtToPEpBGrqAPDRuThGFDBAVh -5Mx0GVAqELhawoA6GDtjBfdqcvWHgLqpuEkxY+cRxq1ffYDaAQGVs+40Jzda -na/ECpQfO16odvVqpfZCQPAxA6T7ffLp6tfZVXHOsXPJyXj5+0xf+Er04wo1 -J8vpcXxVq+YXya5pVDOcvCfpSxr4gWriVxwuZQxodkFA39LsiqVM9LqBXebp -k3WTQONvlJ2TclvhmzMkcK4JA+eWhhCsoDqbzRkzxNdYAOcsA1yaM4ZH0Bml -1GZHQ4C3yBCgg82lhgBDbEYAZS7NzszHbjhmfq2ZCwCGxgwOALKVOWHMqBIz -ZqSH/6RihlBVTqCYzaBjNq5Srqh65gEp5x8WVcbECaxMjII+0Y6gkXYkFDS3 -UnkhwkwWaDJw5EQMHNYCbREc5T0Dx2w6wmFVM7VYAuzM/JkBdqXNn43/7gSH -f07Mn5uI+fP5ZE1NCQ9682dOsri67qb4fkpPXgb0xqbQlzfZryCC1dBqY95o -lqi7N+aNJoDeAEPVB1v0O5vj3tk3GVwnVkNc1e2ZN2j+PNqYN7oG5o2rRVVK -zPrMjH6E1nUzN9UnOpohCBzjIGXgfYKjG26bb4Ll+YxitaxGjlpTZTXZnV9D -5NHHlUerbTUGmekFnL+A1rjwMn32tlE/X0UWZwCCPtFA/fEMzJtrqz9eqaF+ -p3nznndggnGaxWl8E9ibPyJ7c4GdN5tnECz4C+m5m0M9wy9x5UmxG7Q9SuHU -u6nM0kARTyMYXW1rce5qa09aC4tzR+fnzL3Ku32lt6OFynmk/8ysD+TBWikP -0pTzhr9HOe9MKOfuQjnLtqpMyplbqkJrp52zsVog5TyMfXZtHJjOHOPfCDAd -zdzYVydSKAtCMF1toZyr5Pp4jazVQYFgaHWWynlaBE7HIUGyOsPATj/uP1yr -lfP4umjU+H1+gHEug8XZLww8OlkYSFiNwohyXkbrFgbSzSflPIOU8yR6DcaS -fHFAOtM4zymTmwvBqHGsc/zjRbKOOF5b2GSJuUzK0kCq287rGbwWvNLzlbPx -MIXrPCYZPadVMyvnKX+Tck7hgHokIw7O7owjZ6CPglJcdg8Txc1QE1VvvwbF -1tALSKNBbPxkeiboPXAlmrx3wYEfKGeE7rsWbq1U2O6co1ZXpiLBrBXkTQrs -zllcJDhaq+en1DaE8ffDmQciRZv0Vt9BedUFHdSPHfTpfc15xmanlTPUvP42 -pZb619wq+GiD5wge3Q/1I5tbVB4fy4XotDezC1TNaMk8Tn/NT9SnLtQG18ZH -y9SatA4szT18c0aOjF3zqUrrCrBRbhTmjA0+cA4Ky1pEzBlcAtiEzRmLNxM6 -I2bOEOiMGZ9FSgCDOpOnk+aM7o8nkyPenPk+Yc6QiOa+9Bj12etXmcRgc8Gc -2dqZGc8cK/8LQXOtYqA5Z8yY18Q3ZsiqbInMwJrsGsKYUZmMGVmhMSOOy2Ar -czZamZ1iNqvB16xi5qP6yipnJJTzIfDHBu38j+OFfF6m//gg/KN/XHPzzTd/ -rv80V/9q0bdv33+UQWz/+E4VU/u69GQS3Sc50b2aT/QT0nOHATnaUTsOo81i -0vQRUjtivSuvy8yh3Tga5p0cWbum7yO9kfX9wcj6ehpZX4PE6GrYc3EVjawT -vDsaWSdRpVeK6LhfjMVzleZew7frWqnvzVaeCrClZmTdzHatvBLpWpH9hPTK -L1+tGlM/YbPgKwGvvFktldh+Qhkjv5zXSrbdm7aSnPWlr0NPYeWzPSts+BBu -aXh94dVF255+XYe104L74p/wtZx0nhbc5+zASPiCeh/q16pAragO2e/l+DrA -XO+jSmPVtuqDDcuuwU1IgP6hjT6uL7/IWxH+NpyyhFNqIrsOHdFvGfo+zPFg -hgcXRfAoWQIHFEgA8RkIHCVmbbKaRtTvbnUjarCEvlzsqM8mS2hCVP3pmbqD -0APXYZ4wy/RdFpxJ3ES/JCKZJ+yGa0ejKq73SRy5MVjXIF9si37YhNheEw5U -/k+J7etLEdv3ykrYANhvvwaXO0foUIqCWwo0zU1euJDE9vkRsd08hWUHFtE6 -fNIzkYPEdqWNLldY0eUKN1ux/RaK7TycfYS5wpeiJOhPtNhGlaLF9vfXdlf/ -Gn+2M3dY8rN0RZ/muaLDPOGOME8YdAwW2dj3IUTiMIEtJ7bLE8POeeymkth+ -QVTCDqPqIMDuPkRi+3Y6Xq/Tx6vx01XRr8TpXm8KBwWc2I70DOb1TBynLLYb -lUlsj/nLxbbc/JUutmNZ2512ztid5oxcmXxtv5R3wksLOBASKiTZoXKlC3oh -TOwSZ/IYK3tUziejE/Ec5zTbBmIbsXaNPo47pInMYR75ZbiVAeECgqUAyRyv -GDJHFpE5qjyhPq82QG0//X7sUfm60U2GBH0eLBkvVj/3PB9bulFsP1tH/fZy -TbX39cr6/ngKLsThkS0oqKDWFZdX72011T2T6JEbWHiUug+ENmadKiFjn7OD -BonYDpvQsA8lT5Cdc24hb5BZ/NXL6Scsl5wzkadpkqXfQnKNRCtwjDDbalFw -NwsbzgRtw355X5CbDfHIhBu//aA6y8fHbjpEVLu0HhQ3mibSxsXGdI8dKOFo -WotsAB+9JKqqrJkDoPvNk5lBa+YI+k9SuXSB+3ktdJ+Q+9kRNpJ5wdxSRfZ0 -u028skrtuNgu949/Xn/99VdoUf3/6b8If3ww/uV/3qK1NfzBOfpv/an/Vif9 -v/vpv7ZH/zFI84PLoLt/WlDVxl2M/qbDHYbeKw1qyenv4xHx/+WGdO7HJyK1 -+GEh6W8ys4bUvPW4oj/UG3iHlhEvsUgfBJlYfJbKtLgn3A68ifPLA+++wF5A -M+xJ/sC7IBx4i7QidYR38gbesmSrp8eatkVbNLR0acUHRQgdOpuNWVaSzcwJ -8ILIQZikWfOAC9yc7CLNggSxXFK1RNaHSSuG120vZvbS9khTyw+Rgfdex/qg -a7Uk5XEvOJqtrzJv8+DO+mPQ3sBNYeoCV2HoNQTtDQuhxbXy9Bu1Qb8576Ng -2VppvPq0+lA8vVF3n9NN/XBJO/VTt9ZqT58WhuHB3P6Jp6t9M6ohvwNEyp6F -pyVsIciLFilE2JHnl8Cyxdz5lscM1eQmHU9iY6RIIj5skV/HIjfmWtqFm4qJ -swj31cxyo6/IbyNM1Z1Tiowd6qtxCuoruQOXTJgpynUA/P26e1KgLzzd7Uyk -UneHPd+pulusw8uku9vJD4Mj4RndTQxdi/d3ExfL86CPgMfzCFOJlUXXN4oQ -l0rcHKQS2VxdGDNXZ/nmatP3PVzr7sGku+t63OhfFrDuPi3BjY42rgjd/ZlN -Ix6e0N3Z+pfH8dhqjtuF4qidRc68KXT95FKsUVQ8NFjASJmA10cfq+Yaeiq5 -8NJbV8quu28RNZ5369fiPlX3L9fdbmJ5QLr75RTdPSYUUcmkLguoBFc91l0Y -mqsDGp5letAkEgSSl0w8j1uI0N0Kujv0WDPXw/CkDT4dHntYvW/CdOK7yXQi -86QrDTcVnNydVb8v9n0DDc+c4Beo3Tdr3T2gAeruX6fVULsXVNKn9Ulq+4aj -8THdVAwFQGb6MZk0N+xS7kJi0sn6tK0mkofn+XaQPGZ19DasjpxbCTnOXd28 -ZpYU/rCkx52iyVYH96VtktakErODUJNKx/BrO+Erv0mF7CDdhgcw0bTUoTBQ -y6P0zrB7kHeGvcs22DbHahoPuvR+bni8XtV6e1aQOGQrCJun32FGR8QKkqTa -+WnD9Vlh2vB9CoiDWy9MGxq9DeqiQOjtYtTbZiwCDr4uEd39P1VuvfXWcv/4 -x+DBg+GvXkba28jxQ/L1Hz5G2hv+523955P1n5dFb+96o6o51GlzmdDdK06K -6+51x6kv1x/raCGbZTltoLtl3zge/ocGrL1DE6QQaTTxSNU26FjBCzqOQZv2 -UTiMCW3aZuZtigUggHaDpYRk2Zm3sWnX92BPOJzJb02dGwb45G9DJfTJDzme -4/WLE6E6RxBCMvSLN9/gE6oT/S6r3k6yLuH2beMUPjq19YKiRMixgwg5duYW -0nFkCnvme9WFQo5dnyy938UjhAiTCXwQgA4y8hKz9WfNPVcLnoV1SnB7v67y -CpWT9YYqrvSS+qTacCRPg97+ruWVamf7S9Sua84X+fGz1R/jz0AzCYYX36iE -cDHTzXIyPqPwbHI3izWTFPuthiuDPnDZz/KimHEPs4jdoyzu4Ba8s5G4yK9q -IWEOddCKnhlnxXY9LX6IEe38OT7moB55TpNBWCkqmIPK7QFpevuDv01vP0e6 -gmgH3YZH9PajSb0tiQfeEj0YykT19hUijt7OccPCj4Lj5+mPQasvrR01pIAY -vf1hOgWkSrb1/pmqLBlmfJ8O+bcjYcYZdKgbSzbQpyH99bFHnx5BeruH0dsR -K/YeMpRIK7YJMYLnTzYcHpfecEijDg4xOr19GILW39OP/zukt+eS3k5w84qZ -m2fqswYUOm4eJhMK4Tg9EWuLwGN1dYEjTltunoX9c9pFZsV7BNfQm9zYIufO -iN5+LPOr8Xfo7fkZ9HbsvYjsf2TA99pYmJGNJbeF9Om9UYaeNZZ08I0lNtAo -OsN51q3fA9DbXOHC1eFMoQbts7S6SSOYq+Y6nClyhwtsJbnDBfQKXCU/0Y80 -eqSAQo3007sc/fSiTurHKy5Su65vof71SEP1x9gz1b9mVFc/68f7m1UnqE+2 -HKny9OMJ04/5pLXhajcAT1ugfJyqrs6vph+ls6nv+wJhIumC/H748p4nZtvc -v1IvOznb9jeFbrbdnHtiV4dhRbdRbsKz7QCv6IWg5hqStGRwOTg5m0i+IRPJ -98lxhXTu7ydJ+p6+PhPvwRTbdVpb4dBLXVthot+b4Uph70oQVJwdBBXfhHWi -IHssOsuMNjD9UruAcKXSQMK93oHlOtVAkqR6yLm28fm9KubarLMn4ygE0jJd -Kp+e0NuHGuXMOhv/7H+M2AYjyV1CbE/X/8xrPNw+BP7t/wwk9p75Vc3Oks7z -XVqu/OxJbWcx8TzdUTAfnPPH2FSkldqxVGTCXgJn/6GOKSLG2++UJJHWMhHJ -9pKx1l5yFM5fhlCwvX8R46xpvF3AiTWuU6xClgBmqkoYH1lL8k0asjN+Hzrg -Aku2EkiUNachpU3AoKzvI/SwIEVtGRKwIMYInLz+VqyT3tzYQUAoa1Ff2khf -uhsvNSjrlvbSLVHWW0VqWcAxY+Nt7vaCi/bjDiEvYXxwsU6MtxnE18V5ucFU -+CLJ7PkNP0Fds6LmOrWp8ruqsNIM9XG1p/Uh/aD6usEN6rtWnTEvs/vGluqX -h5uo3540FpI/ptRSv0OZFqGo4WroVR9uOFagqCuokqLyqqDElLiwhQSucQxI -YBy14YNUoCKXI2wF4sAg6dgHC7joepbPjBBhI0npfUuSxG60ZUFNxb7cSOxQ -R8iS+ufdFcyT2HNIYocVm8v+cokt4jkHJLFjiOpQYl/rs1KtpOjsvglJib2T -SE/fOtCeSHxxWH1eyAsh7zYYB43EJl4ItizzHnMtRWtCif1OKanHNNgeSOwh -KLF/mVBXFIgnsdQ/k2cbj2FR6OJ7to/xtoo82YCArykRZ06IaQ5YQV1zLLEt -YK8kBOy5I/VJBuwRMofR1CCxr9USG1x612g9dDWVunRPSGxmToo6xNyuIkye -JrGNw6puDiN0Hos00EqJPek/ktiyv86YcIvjW0GQ2FPovRAdWubqKST2k9zC -EckzlIZt7+O66uy1s0dQj2hLXpgX/KM31mb/NhW9gMTGrpdm3HPEvEkT/OWy -lxV4wyQPd0Xp4TZViUUssysaD/dnlZ9Un1enei4oegH/9vld1M4OF6ufrz5H -/fKQltjPnal+mVVN7dQq4wv96Obrx3WVPp3h8ZusT+AR+lHrZ8vB4YQ9E60j -XfLOTYyxzUl6vR1jN9aPinEcBf7sDIXgTOhyJ2eQkFrOY+wN9otqxtiEt32z -EJHTl8zd6o7NMNU4kfrmx33lF4I/vTPKApHIaTw6xeYjkWq8zeeUhtYR3ILA -wEtMKmStIY6x28dw0zstfindn82JRnO0ptlG3glsI7acpWY+XuesNzsr8GZX -dAXgmfkfb2LJsm8ZiUnrKZ60Nkfy86orSex/Wo2cUWJP03/+thha7888e+/c -6lhyiwOUhae5E96K7lOs6HZBSmMc/JZYfsbT7RaZpQYpA5CfxJDEPCWxEOWc -lBAlz7afpuY702F+NJoNH6bupbuRiQn90cdR2O0UamCshsvPnugHCP3cZrZt -fAHui+EB/PKS7YthgJJn2x792vtyyKw8czUnK9e+GNCvE7NL31nWJBXgV+If -D6+Y4+FyJk2xosJCXUIE2f6Y3fq2vcfzlCQQJOznvprxI7vVUx1MZwxwL8HH -Pa/Rp/r1y1Vrqq5UuZXmqw+rjTViu3Fv9f2F7dXP3VrhLBsI1r+PqaP2Talp -hPZ8Etphx7gU2vmHY0KsUB/luVuBXm1UxnJipb5DJDKTCjvcDvCApfB0saiL -o+EdzrILjkWK9XV8QcuvlRzcYeFFG0Gy7mR3H21FEuy8iNiuR58KX1E86Yvt -Dc8n9h3JZ+Kdv19s+/ReK7af2G1ExcAUsZ0mLG42j0zYB9O/FLHN/cseYkR8 -GdhQOIOKbhnOh8vzBGLEBSWXV8tL7SHfGAQlc0rthHkx4SEBQN+2Gk84sS1I -1tw5DkfxzyIgGbYnfs0dMEJsfyoCkqZ3nMX2oRSQNBl1YDgYtIhbGTLZ6RVC -i0wQ8ZgRdJQOoiy6o1nrV6LwBLJTgTqqHqx4hKUqIrYNQU12j4sGRUttyiS2 -h5citpOrnv9UbLenGUXHQGwnTAHeJVSI7ZQectmm6JPe98YvoF1EcBgwI95M -e6cH6XMz7R0gtm2xKNF2PB/JGSaoZj3cSNhZk1lwa+HySSUtuKs8ib3kO864 -DwOT3za/Wp/kHdSPV+iT/L7GOM/eM6eK+nbZSeoj/biuyT9CvVF8OEYEnkTH -53HqVn1n62Whey0QmwsOTgTuwSkq0SE5fen0vAd7XeojEpd7XTjtMkY13RCg -Q9ZNdyCvNSEGl+z8y42d386w+bGwM+xIEHJ6WLDpcASWUm2DkD+ZL6rsEH90 -T8Yg5K2xICTNKR4QGfNEr0tXkTPnlSCtQeCONsLC9sQMu7VAh5wXdIcHsL25 -sixAekXOjve5LKuR7s2WIjvpFVkUDUA6ZAiL7Bm2XMDNPCZSse0L+tfzNPt4 -VovtWim+7YN7aBF9h/4LKLjJPAJm7X/rP++q/95A/b/3x6z9y+zqbmP5dkUS -3TRWeTciumP0kmiQ8tgMQcryHj0byCVsKNkcM5SUpJOzwxDli2LKPTplyv2Q -N+U+1k65r8Xtp5xyp5m4XYDSVM5IKBUHKAMwVQ7375qvRwNLnhCFjVue8Jh/ -zShA2Txhzg07EQITtyhshCl3kyUR0tCbRfZObo6Kjx21ZIpTVleIEGUXQrvi -EQGGEi26e+rjIVF5bkOUe0xhIzH/BnbZrYZ23IVmknEXfof15vMbfKhfvy1q -Y9V3VXH18Wp7zYfUNy2uVTs7Xqx239Rc/au/idb8MdlNtK1hhM3ZYBjZYAwj -nzLtGoW2URmbbF+GURgLxbZ8ZgnjF4h6jaW4DC0zU21gRN5eAPVEx5LZPwuL -C5IdGa2o0LNt3KidKFy8RT8TvqJwYtt8MozYltUx+nmwpYu8+iyD2F7+l4lt -Jyq+jYjtXVZsXyUawa6PGVODNjAMhfHWU7oLu8dJ2KHYfjaN52c7cgXPrxSx -vYzFduUtroBRiO1NgdjOpaAkJ3E8w3aWNGw7A8lnWmx/d91V6pcX66JxxDdq -R2pjKD8TLVy0VeVB4aI+OvNFVbkU235A0gB5wEPlc/zMMTqcPHkDSWzDzOJO -FtsIfDrZELBx2eMTsLsS2tIX252E2E4pXcxNezVS7qEbnw98Va8ES5//XGxf -alUVOwMMxtjMJ3gp+K0FspX6XqQVMHo0bL++PEYm4UJS0+0RTLftpgdHkiC2 -Q7wle6nwVSAf1RIGwlem0GRFOeFmI8lcFDclWVM8I8kX1Y3g/hpjN13VznZt -1Z67mmuxXUf9NK+y2rb6OLWloIJ6q/AINaHoCP1oHau/yCfpR6myFtp18I4G -YwtjFPFDkHhy5jg+X8PsB4TdTpZtjfb5TYAfWEujibWvJWgjZprtVx039sra -pCk76OLkumPrJ9ru7l+8J8YvqCxQlKUBfn42E/7UHpl9mdGXnGbbyvFU2ogz -ZY/iafZFO70ygRifb7rk8yWI1slptiFaF5oQJJNGpCE7y6dZg8heHxXZaZSR -UGRPFw1eYpJt5x3jrMiGYxg2Mt0q10wT2/P0n/zGYttMu5FEsk3/eY4W2837 -9OlTFhLJr7NqqF9fr6x+fRPGKfrXW1AOlpUQ27veOxWzw2gVBP7U0rKgAh1o -W3Y9yum2tZRsPcRaShwmMN1SIiHbcwRkmxOTPN1+NmW63Y+6EtBmSAIqOt0u -4HZ037kt62kSeEDsdvTxgJB+w5iPFlXMsmrgTbfT0pKcghPdjjYtmZxuu25H -F7OHeppG4gvi2w+LovbDjqkxeyaWcHUvQ7b3WBJsUmwb39jD1+3FO/bjV+p7 -NE63d6qp5+xQb9T/SK04Y5XKq/0Sevy+u7CX2tX7IvWvfo3U76PrqD8J7wfp -R3ge4cIHdqZvVxuLKqgMsCyBwvgI62TMJDvHE9gG8ceqguNfXCuDfLMiA2Pn -CmeviA4F9rHo7I+zzRi3ELOhdjU21Lx4GrKJqCpyy89QZAsHv7fl4OdAfDak -yF7hRDZ8NhoduMgGI//lMZE9KhTZDnEmvxoHLLJj6D85wbv4Z0txfT4xxZMi -m7vqAhqJ3Z0TjYTi8MBAMyJ7c6TlPNnVaKjCYSpyij7h4y7tz2oMNSJ7Yl1n -HSGRvZvTkB+wyCZI1EqzSORjlitmrDs71wRjPiSRXUSBGHbprSMA1DIS2QuF -yEYKCYlsDr+MFcdneO+8A5eC5ti8FikkILJrZhDZbYLj8kotsruVSWTX916N -mMjm+yeJ7HX7K7LX7afIThtdfiOavDjmlnwvEiI7g4WEm84fCkaV1g9wuaue -lhVMsdTClFZfgci2rwSHFhpjfaMlYWJgwb4KZrJt7prrUAQxTDtBKNH3SXjE -P6s8HB3bO2rfq75ueIP6/sIr1O7bzle/P3uW+nZ+JZW/7hgcmcFXuh/ulyvq -k/UMPEmv1KdoZ5xgd8I7WNtcFtc36sfiFtoNG/i15ad7hVzxWoEWHq8ptgte -qRrT3q8RFHIt3uLvgsM6CnJid0h7FMaJnbDoWuy6n12L8pGwTuygazExxe4R -2EUkZaSdAGDj0emoqaaZ/LtSUH5UGaPF9TzRsRi1ipC4XlIzwPihVUS4sCvG -wdcy7Zhrj1lfXBdocV0YmWCXCHH9YSCuTTB9FC4Wu1VKiuzSgNlHaeX824wa -GKwBWivPSEBB/xJT0OQR+YnCNj9m5P5R/lFyR7YcjXwHPuJt0WOhYP4Jf4gr -ejS1IJn8IWH28aVig9wcLxaaI4uPTDFkH5N5VJ3vG7K72u6ETPU0ZsnpDNnX -2/0/t481yWFDtil39EbVm/1RNX4avHoaYv2JmpEW0VG1442YdnQgDwVVUlY2 -bRV9Cp8K5ogP2Xbjaq6p+Ylqanarno/tsZ8Fa8wWoG143+EiPaDnbvV4V33E -t9+p39Vv1GvNctS6+i8jdnXnlV3VLwOaq9/G1Va/Tquu/gU9uAsr4s0NAwCc -YWTvR465jZkqmcPQaJ0tXKbMDVlElTLzRIhLNtGNJlDZEARkm1ysAZUdq29W -DEw4jdrMaycW3l2Eu7STuE0xKNsHrffxWugaMmQ952Ey5Q+0FUX+sGVc5AY1 -K7NyXr7S2gdZOS/OdvHXt/8i5RxRCOG8Ja1FTDLLygLN5mbnYcIt+Gyw/+aG -Zy6qswtwBCl8at2BIU8EF+GSJ5JoOV9OYa/3A+WcqXImab7+rMaTqJz3WuWc -FZiuaTO4xM81WuXMFTNCOX8ico2snDnf4nFEaAjBmZZ5Qjmzte4FoZwdv49f -C7OxMcr5RMIzVSHl7LIItmbGRsQv9S1SGZUzXyrNgOHsnLB/4K9WzhvLqJw/ -JrlUet1MtxHJvpCrIvnGaOWMZ76OFJh2j2d+JdtyTNITAsqZG2hmyMivuEzK -MTXHfc1FcrOJ+lZcQxkEM6rOxVE1c0ZetpyRz6oOVV/Uelh93fgW9fN1HdRv -wxuorbOrqXn6ER5RdIz++lbSj08d/cg0xctVR/0VhSS4AV9fox+H67HGtnmu -4YM01o9Co+wHVUP9pWwoL1BhT2ekTqC5qBNIPALLV9NImjkhOUTkMpElWOYi -J2SuXxtj8ovh9s6/QNmRdODBZzbf1Slsvr73pAwYOPJ6g7AIiZG0jYLLbV6k -aHF0mFdJjKS/tCPpV8lK542k9fH5hmglXxjzfdQMGSFbIr6PdMWcbCOXucWZ -UcWcaRwtFbNp/HpKda9UY/+V8yH/o/6YVlPtm1NF/fFaZRNNJwQrKmjuPHjb -HOe8bXQK2pg9flxKFj9ARK3wCSI8f/5yw7Ei1igc1rmSHlI+avaI0kNoaCLN -HmHJY4zY58+fj4rMn41KugHdsqcgc9NYA5m2Vl959eh554l6mktETO1yMX9m -9yx/GshdLRy0htYn3dWmZgStgptcPU3MXd1CkEPwE7Em/RMhzR7NohyhcGX1 -CQUwzAy680SST2j4oKLHp7/3uZ1o+tijrtYqulf/PfomvcecCZRnBsl0J5wD -fcD0sVt/ArSCvrJIzeoySa3s2luV9Gurdr50ptqrn7+f3yXixwp6nlAuSKmg -FXNBeayRQcd0iXle1vNwjVTzQqGaZwvUmMllHUFWUYoppmyve9nttWyhC+VB -W4q1ClNHbkhs9FvoGiXKPUV/M9WINRHfBV85z8ignJPBG6mc3ztQ5fxVUjmP -EMp5cLpyltUa0g6YqpyvjijnTg4ZJS2AY4J6Z0Mgo4rnlm5gwkf/GxnJILzV -BuW8xZFBsnwySLysMeKkBuVc8QV7jGM/tFTOoYP6XaecQwf1t5FyRvk6yHLG -QlLOW+wKzynn92yYAAYPJrk7vYQJfO7IHEUDh8cJkPMQwU1vs16nE2nQUBWD -BT0t+VoQ+PL9FZ0FK1nl3EsrZ057O6hSUjkPKMXz9HcoZ7bLMl7ND574vSFh -P3WSDMLKOYwt2veilBomrp0ZEBSYcg3Tk2JjzzRsYXgC5czN5049p4DhzzJk -bKyiYaNHFQenNG5Xvki+izNorqVBckjWZPVhJf3I1x6mPu78gPr44evV25Mu -U48vaaofl7r4Bb1MfzEvzbtCtc3rrh+BXqSW+XS8Uz8C9+pHQMyXI/2cTSWb -lH/0eDLSTIkV82pDsm4mK4+XuUhiU2viMDOlCwVP6xK5leU589Qv6Mf/ZaI2 -xp8zx+l6vVLoercGjwG7pWNz5tJo1kPtnFnQrNv6bunMkcTP7e1qbkokcREf -n0yyliaOqpKqt8lR9cjE4SjWZSN9MMG6MCB9bLUDikwz5hFGMWc9pVXzUP3r -Ca2cq++Hcp5ulfO+qTXVnzOrqn2zqyCjDBU0w1RhEMIKmr16MBDRR/uuyAwa -ByM8g17pp9IhLPMVKehERjHXzyha/t5WV/SYncHB8Z5wcHgljyWOvRebPzv2 -3tH6k+DKEO6wWbPj/PkzrSKTQJBzE/PnyxL16FclgCC2aybY2PP8uX5k/iw/ -E82E/6+FnT9nmD9SPtEpaF5PRhT0G3IGLVwcoQ9wvGgnixCCYBbd/XE/XYEt -Zf32ljsIPhxaxkFj2XVD89X1M55SN61qpcavraM2bTgFb1nbN/uMdBuwKijv -yYNcKw+IZiCejXeIm2eeiwooD6YSJMYCw4oFMCyQBzdh0SeAYSp682aZO+xC -VvnLE11DPs2gtQfodcAwCYLxvg+bZAsdK2eeqATKeXWKyU8qZ/o+SNl8YZlk -8/bSZXNZBmsx72dENodkg3DviP7PIDmDq2mKpidk8zlfeOc/7hjt2e9qwlA2 -13SymTvOXVMjmPWWCKOe80QnA4gCYl3ReKI/wrN8tPq0xlPq2+t6omz+l/VC -G9m8S3ihZTPjd6v8aYQtzc0+migfgWym3R2fm+F78XZJQPlA2ewmDWOKfJBe -f+oEuFu8FyYiUJFk8+lWNneLyGZ5LsKN8tLc7nCLuzjtUpnrr2POlusYVM5P -BUamUDmHHJz9UM7v5ZEHthCPQ0yPzNsajWxHlTMPFIiV1PVJn6kXhRJL1qQA -EltI2vWmE/fhXpEcYoZXg2+ULJHSXg05THwzE2uS5bN4NdZlrRaDQ+NjBTsH -9CutrbZYzWm1WY3u8Ym6a/AH6rI3h2tJDEa1W3F4ZNavMEDgW9L92KjYILs/ -DZYf9+P5tqt2HIUK+RM4Dekdzb26NWJiUd1aE8HEih2HNlwY2zOEMOpEHttZ -4X2Kxy6keMjsaThNSOOMOorH3qjvOTOM2gGRRnlDZkfxMBOGr5FxnhYufI0W -EmG40HmeyZZxum/LWEHHp7RlrK+4xpa+bKy4tFy5agnbc04kW2hMcGlsvFAx -c/JkjFXMn3iK+Um1TSvmbZUGq88rDVI9KlW7vszCeYoTzpNPV39Or6b+fLWq -FtBVnICeyyPoSm4EvSDLZg73ECnVH0GfGoF8SAv08SJvKCAf2X7eMLRAGwPH -oZHSGN/A4Y+fyyeIevHCmKNsYQyOnwvF+LkwhHtUIuEUjp8FuDpqfw7Hz779 -2SGhePxI4OoY3EMIKX957wdo7GUbx88sppIN6QbwEaDsUVA5AR16/MwJsg1F -VSiiDcyaV1UQU8aT5CAYvuiPJC71h4PW0r9G/qCuePYb1XHCDtX+1XWq3eKR -+reps3pY/5bO1L/dywuOUOsLKqjcwsNVnv6Vrx+J/CL9q/gwlYfdif9MPA5y -G8Gtza8ntEEFC1aUIzV+BIDvch9FTW9GXNNx+OM37ma+O/GPvkVg4wyyUhGf -xnkRMIEXLZUfi00+mMDXze6uZDaRwquz8gB182uZdPOODLo5vpDuVQbdfPct -XLi41yt+Sdg5E+Jgt0dNHWvFwXeWkjdVtBJ4c5NGhAcj3cy+PKmbV6AfLyYO -kuAOM2eL2ZzNLOTDihNoc2hszqibe/dUeybV9aLcu0PdnFKyaDKEx8QbzWlr -VyB0MzeaLxdH5dsesKMCvhscx8YtTJHbwgwi3fwA6eZbUTebHq1eqJv5Pskc -m6ZB92hb0MihdA6XMefJYvNcvw7p7Jx+GWxMMmUrkTYHopuJLRrNjsVIaNu9 -gnN8PcY4po2nm2lJn+p0lro59GsQvyOmmwYFCYCEj4nGi9azwb1IrWQvkv96 -vNngY2FdLfa6kZhwBhbo5dWy1YqqW9TKqpv1r01qZbWNakWNjWpprc3q/TNz -9GtWpMa2265138/qmidLVJv5b6sm+ovVdIM+0jY9pXXwUNUEf55DcFQAxx7e -ifDoG4k/3yaiGq2Zxwgl+zIega/pXybw00xUX0mGbBOsSNNfvCWmrqH5+w7G -ccFbwpQhl64z3MhIFiaydbFLAsghEh/iOMxU4BIFcsSszEy+65kO5OCtA1uZ -3fFYllZyPydoR8wN3XaOo9decQsT78iUgekQZPjz0bmmXLnTI76M9JhgksWR -7Gwxi7zxFnj3Eenlj0NPRkIvP64+rzxQfVHlUdbN+y+cXzxD/flyDfXvadXV -v2cYAb1vlhHQ++ZU9gQ0uqDfDAT0woiAjlLyQECf4GcINwhgxxYnoKUDWgpo -2f4Sg3WE+UFJx4MWaknHG09fhzGknOz0WX8VuBLsPq2c7hTuZ/OVSJs+N3E4 -6pTps219yXVN52nuZxOKuY86n0zE2AAzh4iYscRRv6DiuTH52XBI6qbWAW1S -ETCBbhJ0QVkXNC0s7ZHC6QgS0R6rnlUWezrG4xFzEEiucseoy/Vp01mfOp0m -b1eX6a/OJbM/VK0X5KrzFr+nzls7Gq0s1+efr38UFREEADvkD7Yehnej1WaY -XO4g2Djrr/BqsmOs4LvT1tjywcEF2Pz+YtAEx8bmx6xtx9G8bsByxBOsOJDt -FGbhIGOBXK7pftyXIKLJn6iFLk53T4rknzZJyIAsS3yJlgyvCgtfSB13wjlt -whIVzlCvO1OSx/lutCNh4SvNySnrB1KFs1c94FC64VRNEu8sE/Vi11rh8NIS -wvGlH4ZiCEcj8zVIhXBUpy8ABVmSbeXJfKAUzoUyH+gJZ+Ny/rTGMCOcJ9d1 -lrh3gjBJpCXRCGde3MlFzBEIeXTwDSOcOSK7LhDOfKl08I0K6pUSF61m0/9w -4tGgfcmLyx5DCzkuwqoujP/hIubC4CiM2dhC1XwHqWZjYXKqGY/AcuX6oIsJ -T8GNoXCe/B8I581COOeVLpxLg0GOCjJhQTsHDh4fihe5eAEAYHHcROWJYaFo -htdjhCh14QzY+GDQKEtFrZO1iRgyasG0QAwZTQsHo4LNsPGD2oUHwR+UO1Lr -qWIshHld6+6ZzT5XE1t/rYb02Kl1ob4zjPhSXTw7V52zdJlqsfJN/eOZrpqt -ewlifAfBF6zcVBojv2A6VrREbrZhoulasRu2aWKz6n/bmvFwaJXIxy9nubxB -f9tcuxmbcTwPMzsyqF/ckVbEWkFejwit0TWG1sAr0l73k07rGA+uSdLHnLC2 -x1wZEq1B0wRzKPo2d9/HnN7Bwgek/OmHWA34qfuOjDyL1fA8zFlrBSbUeZgd -ILosSI2kVpZw6Iyz5ayhCa38eeVH1RdVB6gvqj+yn5p5svM3j6uj9k2upf6c -UjOhnf+02hmGz1WE/7mycW8sqOQNn3db7Xyqr50l7M5rTzwh0loep0unNSdu -FpPGVVuTyUEJuZvtQe4qJKpcRhSLpvJCM3jm7gFol74Zt5LH+Y2JKYNnV/OV -wt2glvI2Md+zpUrfbUcttjExO9KYyOOWjeM8UeWzN9i5weeLSQ82peFzU+Qu -rLbauQmLK0wVc0aiAAUWGv74jIEhNGclWEPrswZ09GXTth0ENrByx+NGE/4S -/O12Mz9WbeduxaPqvEUbVculS9Q5a2aplhufxN8C+Mb2KaiMw61nio5Q04uN -bX0B1kfg9/5g+Plq2byIfspv25/04aKXHm5Jh5PL3eT/ePdspPKRlBE1bma7 -f6bi5N5Y5HaKJWj0LDgTMXU9CFMHM2Y/Cyid7V1FmVtsAX2HHaT5a4WBoqRn -eGZPztqyy+VGKJe3xOWyKHXz5PIrn+NwJYEMKEPwKerdY3d7aXJZFCrHmHVD -2cIJX4aLf7JePQdcishlO0ARw5P6HxkPp/4SvFeHcBq1CKfB9NIsWXoIQCUp -l0Nr8xxKq0wPQoETvFDgpzWGa7l8tZHLC1z+2oUBT0m1NH8lSEWMBMX1XCCX -86njk6cK0unP+VimEc0QqziD0TAtz8OEXOYEyJ3kX7uB9i9m/VYjCob25XL7 -MvqW+N0gx3+2lssWSuYc/1orlyt33V8qlxvLwMd+yeXtmeVyWBytRVTP/oG7 -+YEInyzsPpRlRTIbGFhaOQTm7Kw/JVzO/IqkIhNozoioDXpdWDprAXUw6Gd9 -qEOfEfwl+Fvwj85uuk293PJLNe6C79Xwdj9rZb9b3aJvAD2f+l51nPKp/m7k -qVbvrUXHYDNwD8L3CNp8Qf+uffVgmBuXm0QH20xyW7xmHBcYen+TDrl3CB1F -P0nktK4kfBTH+zap5loen/O+Q0iFP1FfHgvXjXcJkjMCMVHmmB8BCbtpedxD -zgnKUgnumZYNfS4T4nlQaFq+1G0U/Ilyph4VFwcNY37gwPAvR44zxEs4D4xR -KaMDI7U/pbSIX1p3SnKUHJfH21geVyF5XO0RLZH7qe01H9Yyuep+yOSJTiaP -rYvj5X2TTld/Crn8J8hl69UAs3NVMjtXScYFg1Hz7kW8WDzNsaGXnuLDNqRc -tqg6YdALjM6ZfBohaEOWjPPyUTYfxjB1MvMiC8b7h2PmQoZsnFg2yIYFm8Yg -G+GYmcWUP3tkyEZ9ERPzUuS8uBcur+bB5gpixLYC1buOS9iGMzvb6ziVjeO4 -ma7ksK3kkTOcOwDkgbMHvBtw/lw8d+vBcAiBUVGraPhLbfTfAll8/lsF+t+e -rVp+sEGds+wD1WL1PNVi/Yuq5eaB+K3soG8U4IcEFtGjRcfjeAu+3fAdn0nf -ddDB8+n2M5/Gx3Pp783S/xx37Lwc3Iaeo7SfHCcPpB8xc1Rux/74Y6wNB1N/ -BdVtG5vEffvd8ZdGCy3bBI1s5wb2m/p0C6rvpf+GZPaur3PGvRYx415UJ28s -VSfbz0ZZdfLTPxhvzTBfJ6dmXGKGvUw6OcJ2jkWdJMvWLZ3Np4HNeR6Q1Fsy -uomJ0ckF7lNgKf+uHHxDqRHASHOhhWeYMhXQyd8InexF/0S62itPSY3+HZUo -Ay/SGlnq5LXUTbWUeoTCRCzr5EkRnTyEcXNF7gh00wLQydKn5FDn+g5Zrlw/ -r7BQ9niGUjlRWJh7R8LiX3/Lo0E4Vo6Vx/3v6eREceF2W1wYbl2cp3VXwsss -Xw8PmhB6mYE+R0oqOlrulhwtu738bquiuI3ODhm1gvKGjPpVsdEvUlFzxG4e -hDC8OrCVeb3RJ+UOggtnuRNQZcE/A/88vGrw2r1w4Xdq1KU/YYXW/fo/8836 -v9c1Q3aqK8d9qdq/+rG68I0C1fL9jarF0tWq2YqlqilE8/TPBn9GqxdozaI1 -cbmJFMeBY+1t+lK9Sz4L87VihdzY+2Jt1F8sXpA6j7LzXJgt2iViQZpUydJ3 -8Y2I9n3vfBdBfIMZzTGvcgyIcVeKVzkViBEymq1n3awPRmuVHBskx+5DM0MP -DgySG/qDZC7y9nw4NTL6LgLTxeIg8hFXyYVpIIysiQhiceUn4RDZQTAg0ret -0hAzRK48KEUlP4RVbTtOf4DVctnE8iQnlp+pp/aNO1PtG68F84Taat9EEM36 -15Ra6t80YzYG52oomv/kGTOI5rlGNHv+DJyQZAWi2Zib/RmzSAeuPjGF70yp -lmh7oaPT5Qtzs5yiSLbzQlo8eslA8mZMCebLoyPz5Ue8+TJznY/3uc42+ZI0 -Njuu8yXBhIVaC3OTrYV2yuK1FoJoDpOB0s73dOB2DexeYoeVjEfwDmuJKFQB -k/M6R6qDTSXvst4z82b4tMClHQQ0GJ/hM3PBWwX6ONV/VO5kPKogVQj/7Dnv -a+G9ZINqtmwlzRbmq2ag/jY+rVpu6Yf/vS/VirOLVqO9C2rrr/NpmMSDnwVc -ZuBiAxecl8lxOb2kwkHAyNLCfDoFPV+mH+lk/LFWsJabZ8WP9qlALD8koIO3 -oP8CkMzOnGlYAHWEIEgL/PkeDG72lpA57kDh0F892+7dLwIbHOk5NK17zwpm -g0qJCWZOujS2PgwpmMlmQ2uCNiGA1ArmbRkE83ci6OlzAcqUbpKCmRVBTDD3 -CMLglkkboc1dmEKba+nT5njNGApm/DLUooQTzU6SgnmFCHnzOvFNEVNJYWbY -U/9Z9UmNESiYd2vBbLFEnnnZzBd4FfdtUO29w7YNOsrcR4FgzrPV3q7MlQWz -DMPOErMDZ+w3HiUu4ETKHAnmO0gw90aP0iliXlBHGPub20D05WTs9wGMDsZ5 -IallXqk1T1HLDRJqGYAJCGEsV+7q/0gwNxY+jEyC+RJmcfKW/hXKQU8WOejw -9QhIY6bKmQRzKcWc1ovBvSii+CL1ThkMl6EfJVOFsySM4esiAmAgnNGfgdyE -bWpWs20HwztU7iT8U9DU8Lfh7YK3DCaYECF4+pIf1dBOu1Dr3av/I8N/pWsH -/aS6j/4WHV2X6tMFfltbLcpVLT7QH5Wl61TT5aCbl8OCU3849A8H/mVxuRfp -a7SUYjcriDpP4hiaAcWXqRltQVu+a3LscLw5F6EcJX/kM5aFSJZ5ds+MPipe -y839NqU2BsYYGDeRMT0DAyPRGKhFsjSoP50a6JNFJl9mDPS9EYyT2Wdj3BZG -JMsSk6TbIkaMc0m+XCpnDdkX3BBYFNDiuCEwOUp+OnAlhyL5MXQlW5Fc42Er -kqF+fkft+9SOM+5NHy3/A/64PGrkF61G/n1kffXHs2epP56ro/5grQz+5Ym1 -rVb+8+Wa6s9pNVAr/9sOmKskeXRvGCC/HTCn9aGgj9mkV35YcbJt+04GAWMc -OqYjOA5dsQAoyR6UTCFATrf4IcAK1IGSZNANoqbm5HDZkHotktQOl8Ppoxsu -X54oGzQfDTdi4U29X1XbFJmUwovhhQAf90ctpJU5GtF8A/mY108VnMqZTitz -GBBpGouIvkPXdpxMupMJgoEguBov24Q0nsZLtqgmWjfDCaW/MPqIa/ZBTrnT -VFP9tQFBBl8ebApfBkfhKhwhgJBrgfr4FdUUvNabh6qW2ffjQB0oQ5Co76k/ -uDcUVEb0K/y+g6iFtP4YWgCMp0SS/rDr/5+T9L8q/Mi/SH9vHE2Rny0yiSWe -JA/luw8aMI+iaTIVSOpfN6Hr4njhuggXBj5LLrWxXZgxE7jZFCKKEcl+HLxJ -Ig6e5Ak2T1EBoUhuvBR+TjnkRc8vRSR/SiI5UtgQiGQXZTI0FOaN7ldpQx/x -xYhFmbrE18uSL/qcJfLTV0KMUuRaWWIA3iKe6LtnJTEAZnSyOVHRvalMFd3T -fUKGzW+P1SJ5pPrmei2SX6rrnZVSJHNLoG9UTlZyf+adiYepYsh1YMLvEOFL -O9TkObY6oFwokiVQDt6xkdTrw+lXpgfdTjMCI5L53KtVikiObFwStdxpIhma -Ah8JRLKjihFrE4TyfyKSE7hNKZJx6RKxsnoiOYTWp4tkICVcNSDz9DHky9ka -ZmFh7ZeBkpBA8XoWVl9U4Y6e0n8srOC1AeMSvDrTWxo0rxHO2w+CPyh3Mv4t -0NMwkIbBJWgzGGiCbnuywy79xu7GtxiqY+Gtv+7RXarHsJ3qCq09O2lNCgN6 -OHVg2AsTlBb6tx6/FEvh67L+IJj2l5uo/3Utzv3Nr/VUN2I+Pk3xQNti58ct -eWgjZ8h0uMF689LXPnIHHKfVpdsilMjc9UeUQM9xgbPkvS7DJw87sp/fLBwX -Ue7Fjc6U7Hlq2IbeNfYTDUzJbSDH+aOdJU8AgmDKLPlVfQDOgl2Bl+FjsLKf -3JCzZOe4yEbHhdfzl7VapDiSNSR+eC8zUNlUkEgzMpM4n0GJ/ElUIj+OoAsp -kbdXf0Rtr9EvKpGh9uHLOndrqVwFpHJ5q44zzZVfcJr5qQbq96fPVr8/U1do -5zpq3wtaP08ItbMxZ8RAGr8HIA0us/LNGTK8IiAavG1c6Q9QLIFOoPrtNyKP -GM6Fh6cYMw6J8pvD/kB2tr5a4s+Yx4ut/cgifxDJztZ7eGtvuwNPpkFkVW8Q -2cMOIs9JbO7NsMXprEtxxszDSF5PuvyfK+pmfrM0ZgiABuqt5Jy5mdXOU6yX -2eguBmnMt6Ywk5dYRFt9njcvow/NKvrYgEFs7cEgiA9STZet01oZ6JbQN2f+ -mRX478OZNWhyMIGsnaZ18nhkzzfR/5nPyb4bv5mX6P/+nfPaYqr+mvzT9e/p -KVrHnqAe1r/HA0nrws8CnBngOB5bfKR+up/T/6qwu+9Z/Qu08dNEwhpO82g2 -1Dwqfnb3Ws4yk7FMiZkfVjpLaADZVHKhJWSZe09SB7ALM+Qus0P5bKuT2X/O -PzdpqAl+ZmXWySv0z8Rx+f9bOrl3Bp0sV5AxumhMJw8RkzIPl3Whj8t6KWLM -m9OMSXKyXZt0siBiLBM6eTWvFyumETFc8Z/vVDafAAz24fFvgn1GJ19jdbLJ -d1Q0Z6KlcsZ18g5u0w7JirRjgzbtgkAn20DfVpgXlKfQ6+HoWJolzjtLkCv2 -CXJA2XywyJxzt9F7cp3VyVWpA/Os5GwgL+ZMujK1zYd1cpNcnxJTz6PERHUy -iORy5br8pTrZg/AKndxeQnhDnSwgvPb1GJ70s3Lcq1SncoKKsScKjUkD8D4R -AHhZWVmtHGzqJ6IjA/VyuYOwJbAK6mYQwzBonoq/vkIFBpoa3Bvw7wO9jSL5 -4p/UsHa71ODOu/E/E7zKd/fdgzuk3v13q55P/ISqExqQQKG212r1Yv37Cv49 -ULbw+w5qF1Rvi/ezQTwfrGXwlnLjUQwD5A/mxfAjAhvgubzJxA2msALOL/Fn -x1yAzdcbIY4T82NuHXk6Q1efPOHSEnu8E0hcd4w4TuMoh6Zzm9OMzpBdX19i -hhwm9rQ4fq3UxF6hiTZDViNqtNgQGC2Yn7w4lZ9cGtlCspOTbSOx+fETyflx -tf6Y1GNxvINMFr44vkt9edad6su6t2uRXHk/rMpOJf/2RCP1+/D66veR9Xy1 -PPYste/5NLVc07cyz6qaQS1nWabo3ljrSSz1R4VWdt24jhwZoUfPU8v6awFT -FZv4gwj4IVFWc9gVGCb+2OPKX4+xpMxGiC/Io1plPUx1saC2bvXU1qk0kayh -1XI4Zeba2PNTegKlka8nofyvE5MXionnMFzpHnJkPGj9rs7GPDR10mwmlJT8 -W8/qSzozOCemFfOqwCy2yqhmmDw3M7uxg3FrVtmIaPSQ6b+8arH5R9GDtsCI -OuD86K9Zs/UT0GeIpSzZD6tztIC8ILe3/q/dFUkSXfJa6t+ys9H5cJP+7byj -8DikU4BT4nESvsPoRzLSOMz16a4/8Vowj6D0/jBCXw2hH9lAQio/TEaae2lB -cGshNy8cb8OazkzDFx1JvXCgk9BM0x4vOjFknAtrypL0s2VYM9FhNipywTFB -zRb2csNi+c39Esut/maxfFUpYjnZ8+fwcWleTE8FWLG8y1s/Svx+FB/XzM1T -3oxSMERLNn8mmIKBVa6ZW7LdZyHo+stysb5PaoxSX5NY5jIoEMs/6yPREjiX -neKWbsKcJuN8n+UcFbRiH6aKUCwfikffJs+Idpgnll8XqQ0OOPNS7Rmiag4l -JD1Xot5N78qNKJaPd7WXBafbI85h41oFJM0woRETy5TOyHXN2EmxPDRFLONF -EgXzXyCWJf/Hd/KnlGR7fAQSy6GtFdVWKeGvQGnJZmSPjRCU+gyIjCGTODEh -mOmV4XpMHjCPpyEzCWetHbT+KlcJB86T6BeMLCeQQAalBm4OeAVBJD/RcTe6 -BWA0Cq/yXVoog/i//mGtLgftxngjHB1wlMBkGSzf4GaB31tAW4NLorVWvKB8 -L3i74B/6h5Bf7gX8UVxAgrg1TYsveqPIy8fA/wngm1pzjMQzCVJyXBwLSvZw -gbMQ4QvvflNKyUjijhNxIg8I9gGPs9PcEgD3WEAm/MieaeMogHy/iU6PWwS1 -fI2B+VOawQJGBFocV3cFI77BYg12NcVdyAttYCM31WBR1mKRYHLsYSwCB3Iw -Od5x+v1CHN/tieOvzr5NfVWvL4vksmnksVYj/zqosfptaAP121P11W/D61mt -/MdorZXHgFbWOvl5rZNfOAO18j6tlRHLHI398WS5snNliIbAf2HHdkVfK8vI -X4pW/try+N1EBegY0K29LXRk6I9FiUUlHYo2vWz6YEgss4z7vWPjfuUTcb9J -FPd7XrD606bKzt4K05YTBV6sFrXAmalydztVpu29tfCx2Err1JaODNpSkuAy -AP/7ETdWD93LgVa2m3ymy/E2f7wgzHH0bzplxZjGM9fAK8GhsQbE2IKD4JNT -7h9o1gAjhfm16CD4g3JZ5i+baAb+u/A7BckzYHCsn4L/X+E/BcjBesDAy7lP -y+Rb8ZN5Kcrk9voTe77+5DZG38O1+sYBvXpAdr2HbicDaD482Pw09HOuP+oH -gXrWOnkw/c3HqHamHxln7hc/qr4FThtfa93IVUU86ezE1aZLADNh12UHvtpY -s7lpKQuHZU1tBfp9Wh/76UxjNDe4i6YbR3kQwLg+dkzR/dXHFxygPuatcpey -6ONI32/f/dTHj8aySp4+ds1l7sshsBfnOkrcbKbEhcW/XF0msBeJLuxAHyfj -fPNEnC+90c/q4yl1vQVbqI+/R30sDGmBGY1DGx/TkWe6r/9pYUCb9PG2jkFA -FjnOIwGjj5meyKYzpMNJwxndSS0djvTxtaiPK5qFS0Ecq+yKTtslyImsj1vH -+q89ffywfj/6l1UfszguV+7yv1AfF5E+3ur08Qzhap38RRSv7OtjF+frNsjo -43DfcsMD6cV+vpuVBsoktvqniK1UjRxAEsZctBOnkiC8QCdDs4/WvgfBe3Qw -LPHxj8qdql7QfxMEMfzD8G8cqd87+D84tMNuvLvC/3NwgoCAB2EMihHee7gI -gNKE3wjwMcAkGdpBoUQazhkY9bab8xHCk7TSPQgOo3IvIrcNBDCKYP3HcES1 -nb/VcZZeM6yldlwb8ipbKdzEGDS4u798qa4c/7Vp0fKEMQUsgp+Tx3kTd5k0 -k0zG+0zvDPcZmhwPAT+ZBGCWtbP63ID1FtgqbESvnn/UhbaKFXDcVXEgi7W2 -xDTJeYszkTNBLGL9ITFhPEKL4mH615MCYCGFcX90HW+vnhTGX555L9opvjwr -KYy/qn+L+rrBzfs5RX6eFPI/1L8GNFG/Pt5Q/TqkgVDKNFUe5SvlP57Xv2iq -vE9OladqpfxKCMgIykxkl/aCFJYcKeWdS3w4xrdhzk9y5LYc7eX8eKIs/Rcm -43dIoj9bZvwWRTJ+M8SIRRbBpk2UGcLrxpK8v6/k7e+N7BLd2Zag5DPkyu6/ -cAQFLonlRoukUuapMheZPCtk2ATVDCfLvlo2pSYE6sGx5Wvky5h3EJgz4F9e -L1cZfRqmd3sueSrmmH8f6uKp+H+76f9P3XmHW1Vd/Xo/m2KJiYlGY6EqChZ6 -LyIiKiqK9CYgIIgFURFUVMCGBWzYBbElltgTY+waFVHpxaixKyKIciyx/bXv -HG3OMeaaa58i5t77Pc/2wwPBcw6svd415m+8P3dLg6U0IHbIGkJNE0zD4WuB -HC/wMXz9gKHw/YER7ogVe6FcZKx76pi4ake0t53B33O4hbvvf6EIm5YOjc93 -/34ev87ln9d4DKPjSZyRmYCT/z/w+Fh5sr3pKuxj2qeavJw5NzUuj59qsgJl -kZh4TH5D72NejH9GHRZrV/YN/GfDmIxPMX8pg8nP/GJMNnOyOHyZaXatBiYn -wpenK/3VtCrIlC9U95IrDkkU/PKA5TZtvVDDlaDWp8FKjMlhiU9ZL+rRtkpc -fL0kab2IMTk0+CUxuVxjX5mia2270JhMtouAyc/4XeZtjRUOMFl2M6TdVDAZ -rXC8v5wWjNena2WlZPfbJjJJ9pRMOzH1HoZIfrDsetmkHEzOFjGFRsubKHMR -6zCricm4BBZp5B8KvdcGk3WyVTBZNbkNVE1uBpMZvzKYXAa9rGa3Ihe9jEcs -anPT+QtBMDQlCC73Ylx2l5FDZveW6i6mWkBm7r0d8Bh+An4BMBv8j+A3gOJ5 -4DrgcchFwdoeBKehqBtQGb6IsWcQY8L7Amy/wXtGf/f+AYVVMNSFbyDoQ0Qc -CqEW4F4YCLv3I/eJ9L7nrcL1+M2H9yf4OKA1uvzvDi7So1hDgn8oCwIfm4Ks -eaFe0ZeTe0b+UjEyv49F0WP9B6U39E6KK0TG25CMVIiknmlm6AGy/CGZAXIw -YV9fWUu1sWFztqx1aDK3PuSV4b3OpyvC+x01lmrZ29MJ2Vvcs1dGYYHvgSF7 -9laCkf/TYDb2hbxrkhWWkT9IDo8nO04mRv6k+SmOj2NGPr70aZtx7jW2NKR+ -g6oPkwMqfzOtQ+nbc9uVvju/LSNzG0bm1qXvZztkvkwjc3MMYiSRef7epZ9v -26v08+0QW94T9Rg//oWdcqjGaBSCGILMieoSP1VRPrnPn9sliixn1csiFI1d -cla7HIcwstrluO/PDpaDO0GLeGOPnJzkT0DJ2A4Jj1y2LDtGsL5R5JVCGKJd -jrUYIbIcipMdMi85I4HMM7kV6WLTiERhjGvYqaADGYLNvAIIR5k4zYRwxt21 -YawJlIyz57tw0Em/ZCFnOW7G3w5+a5hjQxFTa/gU3KfUzn2KQPlA/fAlHb5s -AFPywXi3hTsvfKtgHj8KSHnVzvgthUHwZL57n+n4uA4ws6PkqTzXlzDFqfxr -J7LvbazfPtqZqbiheoLRs/7QX26fYrKmEnyKYaXFwdEfiZSOtVemkhZLedav -u6z56aXD4rnGA9fRF/berrIwmoxFJfrkLybjI/PIGOOW0c63yusNjnsUElm9 -cjUj5hgyzujpBRblg7tcfHDGmxyOHmW3+89ab9H+P6WHMnoLmaqsMAW+L2bI -WDb3UmSc0lvMZ/0nTU7ebjLHkfGxpa+SZAxvc3x+9kJWaxF3WcceONlgfoPJ -+GU5K4vIWD/9y1uZ2H3mrFHVO6uksvT3/BZGVh84bBkhvmTjEtfGeFFgapd4 -ioxtmXW4PqbkkHGqs/ImjcWFQr/qk/Ezioz/kUPG90YNpdHOl/bBDRTwusza -XwahEqHCnNhn4qwJ4Jp8QjaVnOlsG0LnLSaZrLuO8bJh5cWh5BGDOfAcQ8gQ -vMDLybGCQ2H3dg6XFnwMfh7SzABs8L8FgAPdwqw+dHkC7EG4Aj4vgGNIIsNZ -EUAkQOXw6e6pwL1FwNsFACkMcOFJAtqL+rm3GHBfwNAXvq+QjADffhGQuQjs -WwT4LVyL33l4c4IJtMdgyE/IqFhQmP80TF8sPM6jqAJOvrhJz4yM6U9mWGqv -UkVgTkjkKcxJmH4jk9WKoWmzW/iT0YJLlRqLK0IgH27GxiQfseWjoVHv783f -zPUfP28e/18Lwor64Y2OTsuyYeNlUe306hwctrUgqSzFbFOf9x7j8AeCw3vG -ogqHw/ucTiFjn6U4mXC4pcPhVhEOtx1bWtdujHuNFiyuIhdfG7j4jI6lb89q -V/r2nHaKj9uW/gt8fKHj44sdG89u6fn4+7nupfhYgso/3pRSYuxpgso/3hf1 -Y8f1JPFK35M5K33/0it9UuqqXMssEk3VkuQFL7RnOW+dL1tJQuoECV54bUKk -jBu/Kj7Jl/QewZj1inWPghdpz3JQYYSka8zHknZtxath/kR/yfkIp23e4Gq/ -12e7F42VNSN3WCyMfAOd8r96MyvlYN1vvnsH67RoQS2YDru3Utz/QxK+FWed -1KV0Hf9GVyL5wWwUOgWBDNu4Two+SYgfAE3CnfPwZf2RjGHXBx4UgFDhAWK4 -+2bBQ8XIlbugrfV49w09kanXfZPdJ+I4uAjqEfyRY+RTeVJ8Mv8RnODNfcDG -O/EfhWJj/OPQwYp2asOSQpX0RyITsSPtIwtMjZfb3LgOH3dYpk1+U0otMAsT -2UmkKYbUVt5okcvH/2I+fvF/xMexEwkje2qf5XwqTsi7+eeq9RPNU9NTxQlJ -Pt6kpit8K1GTlTt9Sa/W6L+DJ490C1H6N2W2CHy82C9xL06YLZZlzBZxh7Xc -JoiPPxnj+Pi25mFTj/n4C1W9Z/j4Ff32ZreVvfaNH/vFhPkq1Vb6htLYaAF8 -fCfz8c3Mx7rPXfOx9LlPWEUnYse6K9BbXzwf02nYgJry8VK7ySpdOy19184s -fOeoAh8DHBcKfVWRUjZ/VBM+xvKkP+f0itxkGygG6sHk7C/Mxh5eIiml+Jk5 -DRRJH8LXGQLDx0k9lNRrX5xshUvnInfpXHwYT5GBkXlICZNkZmXHC1cesqmI -P2yEH4RfAL8QrjkAbNiYhVMcwDz4j8F1Cs+08EnBeBvgGD55+GLg+odn5WHn -VVDYAgD5si/wPQTfS9x7Cry3wHsMTHoBcwGW4b3HfYOLQM2F66gRdD5/+NaP -MKfhh8M3xlT8GVFxNCQ2phF+yxqkyHh4TMbsso6TxlKeJxmx0yoJiOet4tGf -R4UKiG+KhsW2HUQyY/iWFu1VpFbxpDbRByrUKp4OVLyU66ko5zvOruGtVYdl -+YV5PCiuHw2KGygy3uMc9lMoMm52hl+/+wjDFKcQGUuYAsi49fjSJ200GR9X -Wtd+dGldh1Hudawj5PrVJ+Q6tUtfn9qp9M2UDqVvzmxf+naae53tSHm6I+Xz -HCnPcJQ8K5Dyf2e7lyLlHxKknBRg3JVe6fvvXxPNJH9LkXKiADvVSqKCF3GB -X6aRZG15ufITSq4sq3wpYRwGL1iicCnvhllhnBYn7OhuMzuzXVTXVmVX+bJ5 -13hkGZPy6CwpK3EcoJltJjmHS7AlgHFhoOXXhJbn+CAGIhveluZJqWihDrAz -NY02xJ+APUD4xYDZwHowE4XUQBv3H2mN4+sp+MkAM8LeISRGoHsDOFPIuL97 -RIBvAozVYVEO7sXwzSI63gn3Icev/AMmkk8iBnaEfApy8cmcngAmhp+fgEFj -mhkf5219u0Rz4715lN+cExVtOVDZWe1Upv8g9OJRKlWhs+JhnG+79mxOXJIv -UTWM4WMyj9Ae5SO/Lh8nEpa5fMzFYtoXWlM+PidHj3zBkRXGESp8fG20261P -HrPmt3d8Mk+fOMp4Rd9AvPmtfspokV3Ss3y8gNdShI/nMh/v79NlX+nwcYKP -vfFNvakZkwXzsbyZkcki8LGYL6W+3b+JrbXGN83Hs1RqDKwv1LOzI/Ox6qLE -x/tgfBuA10p2gdU8Q5q+vXw+boXXx3TDx+3L8zGGjzNFozXh4xVerUvesDdD -uajmY9UnQi3un/rD+4FKiTAovkTUob3m49zuCaVEEK1uPEM2CQuWwOCkMsnI -9Ih5yWF0GQH2ghzGXU51YITpqBiuLPgQ/BTgG/xyoWLMUvSjKxPyFPCfFzKe -zGQMQ1b4cgAz4cuEL3kw4CjkK+BdA949mJAhr4VYC5QM4+QbPqkNbzlF+FHh -GvrY9ZQflqEwZrxAzSbvRnM2eItxyBNT5kubKHSmWGOwLNyldG2Awab5aKw1 -UqSW7jJDYlG2RbZq8fDc0GN9fmaic1ySp5pDE0t3T++t/JWN00aKV31O7Bml -a6OFu6XJhbuEjaI+rB/flFv54TPFakBsMNgv2xEGk4UigcHNeUDcYiLmJT5t -Nd4hsMPgtg6D241xL8BgQOCRpc86HuteI0qfdRrmcLhe1XMUV3sarjipsyPi -jqVvTnOvM4CKO3gq/s5TcVtFxa2RinF+fHkLWuC7snmO6CLq7IupOK4eeaiB -KWc1kgu/uLdLWNx7QRe12mzFB7FKWWcrRBvqsxVpjbJe2pNsRSg5phvKLT6q -l13ak9mxUcGtgoir7qQgFVwYVrbljr68eGsUR16WhbE0FZ/sRRfUUzEFF/ha -KTUcjHLbvC5kTPFkMCgQHctC31ywui++sg7clwp7ML3NxZ9EAna/uC1S8IWc -KT4X/yNt3H8QiBCMG/CJwYy41/IROEWCiRLcPYE4gT7hi4e7LHxD4HEBqJWI -eFdcmQeyBcIF0gUyPgEGxRMQiMevog9pCB6Ns3oplq7HeRYBYXoaAY1bCFF0 -SszuU4Ni0h7rOPhBURy8o9LxtdKNYT7XcoHtSVwsWjeB4eCtklK9fBh+dsvA -sOx5VwrDmzJ3eiPPPzNnHf/EaK87AcO+Xap/Vu822xgrKCN5PZtAy2mQ79cw -zHq3cN640horGixR54x6kpJnrLjPny3S9GSB2tG+vsowHJsqDAyrczCdEVup -VPAAw17rFsGw5MKgbmc+q8Pnrfkdad3WKK2bf8/SMAzXD+mPh61oauL4AxGG -5b2ql9lWTcGwadRzMNwhA8NSOFllGKYwRd9fAMNLszD8YATDokGG43w4ytdF -bCpvNFAn8RMKhKokWE/UCdZUGZseTOpLRoaTCSCWISUstQKhIRT39lBcqA0j -5CL+sBFeY/CTkMKA/5GgMPyG8JvDtQkEDkjocXhCBSIk4CSgJawawvsAHCAN -Pa8CQ1f4fuG+KTi9hWSFQ1k/PAbEBTy+5rNaMPYtwo+KMPwtXMXjX+FfDnPL -GPjSTfSdllEwZ4ZlPC8RlhFnJ4ISioOlzOjkk7JRFglLTItr8BI7dnYfsiK3 -MNru2H2SGAm/Z1Q7D2V27Ky6OA5LvNjgdVuB5+UTz5jVYjn5ivfrQnY4Ek/U -z1rZ3klY2d5DKxtw8Mzsbp0Y2ZqeyRx8OnPwJM/BH2NmeCKOg5GDMSgx1rGw -4+D2gYPXIQMPRw7+rPNQ9xpcGlKvGjx8TeDhCV1KX5/UqfT1KZ0MF38L0+Kp -7bPZill2Xe97EFtc0dJMizUXB6nF3paLYU0PuFhqRu4PPdZxzYgcNGa0oJw5 -jgN5nyT6q6leRGUqVsX1InV9d7VWJINSXyuS81b0bvKDlt+aSfFM1utLWM+v -6JlMhSh29X2mrT3AXwGq/bi7OnBZb+aycrmKzp6LgymBshVnllosncZyi3Mw -9CoZZBhetnmD+Jimx8DIs4sAyvCPy/BHhcYcwrgEfxGx8CzFw2dT95/7D8J0 -GNKEcDOEKSqkDSB5ADdNCIkELu7BXNweYZUmxU1RHQVsDDdngNxRWAAO38wd -3Dv6GPfPEj5wjGbd1Eg+9aWbeX0PwzTh2oedxi1V90cn9U2PdyOzFhEx7h2c -CHvHxr1gETmLR/MzEIhl5y6316UMEJvScGyaeoEd1IuqAcTqeHhLAHHcDpbw -U8Uy18zRYyw5yviO5cgxVOmiGl/dW3zFKjdHaSV+VuGWBWKvcPN7KM/60F0K -iMs1ThsgVg/6QU2xC6sp/pRVUySCYR6IsWFa1BRbBTWFCoPJEZdXtzEQ38hA -fCWXS8rRlrSww67rRHy4hIdKSRw1Vm9UdKQ1UPXl2D1iFfparvtANBCXa2AH -dcuszPXRcbE0sN+85dIT5YA4Ks9bGA5Q0kDMuKaBOFYfnJN+btQml5N0gkLW -VPXlMjoxIR5i8UygeObRPK7sE/bxCIwJ2Xhi7GjBkbB7O79ExsK96dcgEx9J -YA2jT/h94b8BE2kYj3oudp/baeMrECfhUgfMhK8L8HMUj4thrQ3eLnBk7N4+ -MFQxOzAyfu/mbHBv5w58a+EPr1b8y+89EIWAF+7NBQ7GmMoM/kbz04cWsPkn -EM3Cp2ZZ+NQEC/uH9sTSo7DwTB2PEBnbYaEWWp9mZXfpPrJz4Uzq6+3ypmLR -65h4RAgO54vYHs1sSuS6JurnuyaAhd81LHxB6b2G+Szsd+j2Ac/EZPZMxCw8 -AaMROBN2LLyu7RiORigW7igsPKT0WZfBpc+6Diqt7zrAMfHu1YhMBCjePLZr -qWJ851LFCe51ogPjkwmOv57s4Pj0DhSnQDhuz3Dc1i/mYfBYD40dHP9QKRzv -ZaMUCMdxB5/tEdEKUFrI04FjuZ+I6U2dNqqe6vdfT8QoVubHKMoZkR+J7jF6 -Ge/GnGU8W2IsST0YZO6Iy3jHKiOyjVG0s0NL79c/LNNBkYZjGhof6O5BBzhO -k8GMDC8pThEAmSzJxG0tMVYx3UcriOHgNbMI0FvYm2ac+JrFGDyTUNj98laI -w9P5FjcVExuQ3ABE7MRYDLdG+BRhxnoYhif645cDX1pA4644uwVspbHxvoi0 -Izwe747YOxLTKFDFslMReNjRMWUkduIRsZBxPf5ONyb/9MqmykLREr/rQ9hE -EXbupLnl4MS4WIdYhnIDSNx8yNlivPtP4ubD4NnzbXlvqN27TEt4ipD1ctGj -npA7lCPkJ6tByJnV+48ThMzVYHJSGc3DkoSc6s/1d6C0Jz9DyNp0pEJ5xk6h -A3lCyHwKeb+3U7xVVuKGfaqVELKVuD2QIGRdMX1DIOSFlRDyi1lCjlcn3l4Z -E3LdJCH/k0Ng+rjrbibkW5mQr00QsqxITGJCHodPobuE9YgVzdS7VYeo6egQ -lJXH5pa4cj1NyKFynQjZWluIkK9OEnJnGBkfzsWg5Qj5OSwqglLJtlhRbAkZ -aiYMIf+1PCHjJRJ3rulLZHY4zPdDzHMTouNI4jKRs6yp4aWum9YH+XqAiZdO -TMl0qF8owoVUqOMzFULKjoYdOjguLsK/FhrQTx4Z0NiPjPvTfwBwHK5VweMp -jMdAlzI6hidj+MLgMQC+WEBk0HPgCHkG5Y4Rky9iVL5kUxG+a7WBgwvX0jR4 -Nk2EB15M0kj4tZ6IZ3Hfs6QkFBXLhFi6n806XR4ZR/N5CXT7b3Qq0N0vWng8 -PGVj25heq+v+iRGyezKOJsWUmKDMl1mriywTtFanExOpgru8Dmgtak/1P1fe -3fGeLraL1MRhnW6KJ+OPEmT8sSFjFRhuNzoxJR6qyHhgaX23Ae7Vv7T+gGPy -CVn1Sc/1XPzVyK6lzWO6lDaP61za7Ph4M/NxBfDxJOLjr0/vmOHj75S44r88 -PLbSihblpRU8PP5ZDY9/Ej6OF/K8sKJ+Zktl05PRpkrkONLd1P6O4jdWtvOB -Cr3Vre8sxoK8NgQq8pbxFkTLeNrtlruMtzIVqIhlFTpmHPPxEaabGuau4ngT -PoY7kHS1xQNkSPJR5HgSM/JpGLBowYPkVkumFeH25N49W8k8GXnXvd6Y7t49 -Wy+ZXmjMHz8bb2S42wdjH/fbwG8HMAg3PLjxdVM8DJ8aGIDhZgmfOoxi4UZK -oeIe+OXClw2PBzBDB3jFOMWKvZiLG+Ksndh4V/e5OPx1QHwsavJ24/FWPeRn -GhPvwR6QpvztlVFxa5zP2+yEtHtUMi5ert0gNAqjRaLjfXalPbtBWknTh4SJ -l5yXBOKOfMPvlFgokraPKgMxlLM+/UuA+EMLxLDJkrzbExAPLtOumgfEpykg -nhoDcULXptWfsMOdOZI80OraNBCndG1m6U7ieDB2aaCsxlwMFevallYqpQg3 -krebXIlA/KUG4n/uTpo2/YhfiabtHS+j2La01r0trWYgXsKbEV5GkQBiOufa -rrRwLQnbb2AZBWra1oTHeKNp80C8K7fi7BlVrAcgluMVAWKxGaeBmNs+lupr -RID4bHy2DkB8iQXiVy0QdxYgPvoXAXFXBuIej0VAfF8ExBmr8ceUetUlxFds -sPoDSLeqU/089UEcKoZLxUSORNumTllgbOxZbVgYGwsUn6+geFZfm6nA8fGR -FUWA31qAxoX6noPBtIu/5ij63yEL96NLUiIUyMMjmYcdpJ9+fAVe1sCWkAEB -3oRLH5gYvkb4WmEHD2MV0zltPAMg3VGtexNHPgbOvXCzu+k46i3Ct64IHy/M -9eQ7+PyvqZibJRJ+XY6H8DIX9tXOMQGfHOLaVoiXE9keZs+wdFZCfMQX+/W5 -OCuhtoF9k11qfU6e2O3WQ1ifi33Ey9wb1VKflfhXpqjjOZWVeMJbdFIVz/Cm -Rd2et1upRP3KpBJS0BETcNavptfmhIA/wbywng2HlblP/crcSFyZAwJeJxmJ -LkNK67vAXHgg028/JODPu/d1r6McCe8GJFy1uuirPBJ/ObRb6atju5a+GuVe -xzk0Hutex3cpVUxwaDzRoTFmK/JHxxaN22Q1yFEV9Y8GjUPe+Gc9Or4nGh0/ -mHa52fppXRKiqqc9GlP19Eev8XHkkt9zQUiOp4IzFeW0x7J9pxdX7lJK0JvU -8opkKsThNj2pPd6RMxW7+EyFbmMrv/il0VhaJ/QWnhzx28wxDDKBUUGShHi8 -7CQvS4D7E+QsaDMPR8lFCPzVhTsWMLBjO5j/ws+1Qe6djJIlYF9wYAAPwnga -+FD4F6ISFCHujwgPA1f43CEzTVFiYeD2yKk0G97PfR+a8aLdHsi2wMKOc91f -9REr6zsGJuZtyNzbmL93Mfu2QK6m3DA9YkCz3UDHvrDNSM0ElB3u64fBstEY -joHLZVRINEVNBS1Nk0e0TCdtBa/NVfybPRKuCf+2d/wLBatdtxT/euNU5fwr -t6Gy/Ms3dO8yytPfm14oNWxRW9qaf7WU7W4tZYPbS5tYyqb5d0VWV1w/rSuu -nH/hmBFuIzeU3kL+HYn8u/nv6jH+KevUMct0mn/l0V29Pwn/ynuT7EA8H/Ev -Pa4H/r2N+dfL2PgYS/YejIzNPZ5Dpc5o1q+P8MdXsu8gMjbhX53pOsYcWQn/ -Hqjb7pbaa6QlXiM14l/UQsJQeAvwL9Sr9dL8e4+7PP78TrZrOI9/9VKdlhzI -ZaKUxSY2UU5wYGy4NktsohOVMLC7lBxvOnYrwhWF4+FaAMI0KG5IbHx0YN6Z -wrwSkRhKrC1zYPg84BKGz01mwXCZw9dg2HcqzYRhEA5fO1ArzYaJg4ec/3Vh -K3hCKFztvkfwnEDzXaTcc1X6QUj3LEu6ZtY7OVtHN6kKzxF6sJ6p346q6WZL -GkK9DUk13Y2Vbcl1ytmSaxFvycVm4SVGFvFypn3jqYQsItDuCpOGoHOrNf5t -KiWKKE+7HzQ6L1tF1zRNux972oVEsFWnGdqFzbhOI7IpiG4x7R5d+vzAo0qf -9zjSvY5w1LtrNRISV3rs3TSwW+nLIe41zOHviK40GR7dhabDx3f2+JuOFqdX -7kBp/F2qL0/wNymhsMmJHytr/3g0b9WORioUKebURBQp/ljkE1FqItn6sXYr -s2b3slqzK6cwviNSGF9rFG2/8914WmF8spFP/Al7pI81ztwQKR7M+Iu73Mtj -/D08ib+UcA1n+GE6rBFYJsQTcTzTkRVu7jbl3jcdDLu/TwGHJ7t/g3/ugf8O -aQvAZbilAfrC/xZGv12XnuDHv4CJHoGXDcNYBCWGj+Ex8OF4GwUMHpDB4DaI -/8NwHLwvIi2gMG3ZAQ43BgpuhBTcmGsF96RfghtzzXIJOBWHoO3F/CeKw0wk -IquTEB2xDNghEtE86rPD/mtlhiYKvqY8BeMtvmoU3D5BwdL7fHB1KFh5V3Mp -eGaFH8wkGwlySjsyFJynXuNjxwtjtUQktpfjRq9e6xKp13DI8jar195MqNeE -gpeaRZRF9ehWo9XES5PqtbujsB3dXoCCPxYKVk/s/iDr2T9lKVi2grnKM0vB -W+VS8NPuRW9P2/hDK1FK3KYOrMSJoylYqu1PxoMq0BVC8khCXHJIJU/inYKS -2FBwcOCIST2ODnVJUvBURcFa2S3m9JiCFzgC9krCQqF3DSj4DabgZYGCH8lS -cKbbTtTEN4paItSjD4jVEhdZCpbLxIeHy/gNTk4SHDsOVJ5Vew50iFhml0zC -RUA699YtA2H3cvDp8K7QgP69LwNwP7ryZOgbAzCAI4xL4QwHwByHvxPp0z1R -QTCAKQCqB+Fp9O4AXzoArfs2FIGI6wDmFq5BOB5OH/ffopEq4AC/h0+STLFj -Xv/wcIqC34nRShx3n/hzpxz49edPysxx8RGbQyirrEc4sRrXWY1727+bXY1r -nlqNW47jXuplfsO9I1lFxKL6L6hn8zjw8LB6Z7q3ktq5PD3Epe7F8Ftfj3oJ -fj80MeApkSFtUgZ+rTeYtBCfYtiB4TcVAVZBh/Xd+7oXwG8fgt+DjnCv3qXP -ex5WGrL7rlVfnVMM3PeA0qb+BxALD+5Go+DhXXEc7Fl4XBefJP5aksSTHAdP -Vmt2yMLtUcr27XlxvYewcAvLwjAKvi7Bwrc38bUevglP13pE2glISFQ8blm4 -rLL4lWxhaioh8eaaoJzQq3WL1GrdM748Nbtal6crziQkVtHoRRISJ/GNh1xg -qlVtRZMMC8frdXF/RAA38oDRWreMMIdxl8RIHGMCD1OieKwZC8O4xt2sHAM7 -rHN/n4CN4cblGNd9zP2zCP9aaIzn/p1xhjwR725AgjD71fALs2f4jyIAuzsj -5AkoB3E0z4F7Uz7YQX0KgikT0QK9zTCPCiAMgd89cRa8p6Ng+ve9ME+Mc2P8 -tfC/ae7zD1kC7hp9I8Uh0dvMgcPa3ODom3hcVqq2LEjVWqpSjtZqzmVcEq+p -5lp1l6cqwSAezqfg56pEwQdpCr6/DAXfUk0K1rtBcSVqNSk4T7AWKHgzU/Cm -0tXKKeEpuHtWQAyr2TR80fceCdutKj0px4wwdMmhYC1YsxT8V/bVWwFxoOCr -Sh+PLUPBUNep3qg8BYN4+I18Cl7l3pyWcdfQoiQFU3QLz6fcm5F4cORsSlYa -4OEcxWpMwSJWC1WcoVcoeNMjsdqKg6tIwaHSkdZLUxScFXNXiYIPqyYFv5ag -4JVEwXCJQKuwf1DMoWBZoVOCtQFzPs9S8AVMwYn24OOiCru4qEOv0Zl2tHLz -YCVcY6xz79nuYnLv4zPVXHhrBOF6hL4689CfHkI1AsNlCWXO01T+AYhc5sCC -wSdxDgIueY/CZxDpw9fpvt4ivD8U4auvDYRbC37EH3M/6z6rMTwfF9fGeDvn -LcI3pzAr99tzRjQun1YJ7ernbOgeubj35owIIs8NLMtvPtzQOYQb9PKbL5JT -buAntRs4Cjdkl9/g3UeMNo+zEC0WQfwlehYHs01IZdHiW54MTY16G6hRrypX -/sgXx2Vp9+Mc2l1ngg2phbeBuPAmtIuhBhjzeto9vLShZ2/3Oqy04eBD3Otg -R727VFsYUbt2aeMR3Utf9Dmg9MXRjnz7udeAbqVNg4B+u5a+ZPr9alSX0lcq -J1yRyAljGOJM91L06yfBSkn8vQpCCP3aHbqmpR/nQw90mn5/VNI1vT/3zaP1 -aBIs+3P/jOVEfzIa4rj/WW+cvOuNnRSye9PszoUQhN6dK6sg5lHLrWp3LlYQ -z0yEICgfvCM2QY3G5S+dD47354h+RUXc30GbLu042kNb37BHx/3CkhUmeDvW -hyIsBQcSdiC7FYyIi/BvhQb4cfg1EH4VsD2YwRbCFkDZ8N+D/+6RCLd9MFCA -E94VvXDMCuNWgE4C3A4IpBJ4GOonvftgAATGuA5ma8Hdtwg/cpBLwYZ9+Ndp -uIWngzbcu9xB+SBCljpvxHtEZmo+XDVqHJeR1LVfJiWAJKgjCQcEHWLA1bvv -DLiL2BycBNxw9+4Id+8X9d27BoB7bxnAvSkGXK1HFUcR7WUPipbftabeFM9G -BmGzZRIDrmzASbZOAa7k6mDQ4qVpKlO38ICwVSI6egO4RpqmAVccEW+UXmqQ -BdzXVMMG3V5oeyQNuLdUGXC9LM2Yg3fwT+H/Ue9D+ASeAFwvS3Ov2Bz8ZwZc -EdrIzu7l+MT9O3rPcS8RPJ6Ip047sPKc3BDw0DjUm4Pb29LMFb3S5mAfsCLA -jYWC4k9p4R8Cz6kEcK/jYsxbYsCF64NGvVUD3HYOcDs4wO1UHcAFg/Bt75UH -XN3PrDbgdAtdKvA7NjqwN4f1rLKdrOeVkpOPRr2SF4KIKpDcuQFyAVyLft67 -FYAdTX53R86TyW4KbeF3BowGMwX8V+G/fsbxFHOYzHgLFzZc4PCZA6IL4sIb -AHxlMJV1bwgOtd3XuhV80fgjhyUIsgSz7mfdE3HhMup8m5wzvz0xfD9yQyDH -Vo1qdRfcbDYq68YLCTFQnkpR7QHpxbW/5i2u7Ze3uLYE57j5i2tWb5ZXjRza -LvTSmnv7wRCDXlq7ItF0QVT7fkNHtY2mRyoHWVg7zagcfMOFptq2mmrDsto6 -tay23lFtNqor4YU+PL91VHuQI9qehxLV9jrYvXqWNh7So3p0OzfQ7aE9Sht7 -H1jaeLij3COZco9xhNu/m8o8KMr1kd/ONvI7KRH59ZTbziqGRaPmKPeH6lKu -V6hJbXPDrCVCR32fVFFfPTbBw0N3Z3llp2wl3ZLfK6Uw311ysg56Ay5WCj8c -7VjfoTbgslmH7TN1zadzXbNEfceg/WtXd+epXxqxIqbcVkxwHW1HsKG33ok5 -bz+vGSbV7RBcOYtotwhIV6jNSWCCXvcqwgFlkX7kPlyoz3Q7ign3WD++BYKG -GC+MQiEaC74EIV2gb4r09kIqhwNSuIMChYZxrtBuS9b57ifE694ah69oBqzb -FDG3GWOuzHD35/9BS04yaNTtyNloNcvNzfSGx4LD/PrgiMjJHLLRclobcJec -c60yJXKXWNXTq9f+urj7txzc/YvC3agtyxzZXlUF3J2exV2vRJuUp0QLKyW+ -p1SvkyjtJurnjSM4nCvKlEVWSJJKNJiupJRoubi7yOGuFj486e49lRVmyD2n -Crj7bBVwdynh7ttehbYNu4GpO/61aOfgqTfpjYgetX9DgSv35iOHTPKYLW9A -XoW2OhwuTWTcpZ54frReaVVog/yB0kGMu72NQxsu+NDqY/3ZVhd4msHd1gnc -7fCaLVqMcJdkD9lLpGa4m4q/K9xd+B4VZtz6kVGiDbg2xl0uXb44vzRDuslT -yQYTh0/g3Rmx+IGfFiF6IIgnyMtzXfd+CZPdWl4E4V7urZ2iDjLPpX+Dn9mx -cgA+Nsx34XMhCKbPET5XzPueiF9DgYawWxkY9kBMUFyoi8B7DQIyfAx+TrAX -t9MYfVEZF+NvPNRV22p5cowkAmvD7+GCwMFqlnY3rAuVyCbKoC2/1Pceb67p -KINsrj3feKlaMVhsogyvZqIMcY73fvX0fVemA/7fmcK3yNugy96wBlkQGGqQ -I5tZs9MjBD45lLyZjbUcBOZttc8y22p9M/ldGuzCUBcQuBch8CE9iWMPI46t -Fgpf5VEYSHpDr4OQpjce2t1hsUPiIxwSH3UARR/6JaIPJgYsW3CdKQYcIfG3 -sgWX6KL7XlqbL28RkFjLIW5ySHxL09JPHom1GEIhsQx+H2IkTsV/o+03kXDq -luZk9xwOWyLVUBR50Jtvz6vNt8ejwQtumayhzTdtE75mdYg8SMvG+e5uJIJO -H/91dyXdPUdb13uQ5HZlqD4bEkWAaaDZIxMDhuFvjMVHONJDWYRB46GIs+5u -VgS23Qogt9AAh7mEusM97uJgd5kgrwx3j+H0QsBe+Dzg8wH6hM8PPk/4fIFO -Lfq28sNewt/9isDAtYB18UeIv/v54G4e8tr4AoQRy014ZZVNFP/DVBVGOJpF -7OXeq/belCHYq+swZhns7WCw93qFveQ366QMwL8Yex8th71UgnX0fPL+H6NK -sPoL9s5N7OsksNekFKOz2bgg1mxSJzxneD/ynrPNvks54znrEcYwoHXQ2HuP -xOj8DgkdKgbP2UrjOYOGpZcasOesXmUm4JTnbIE3ZyaxV/nNDPa+bJ/Frd/s -N/5NZ3UCe70B+E14s9nWYy8+e6uCS3nuljeZi3C/4PelsxF7/+BXa8ca7IU3 -Fus3G6T8Zv1W5BiAOfQeamO0JfvkCHsj5YnvT1Rh97JT3vsLhUPd/+PWmC2B -vfcH7IVLpM8d4jkD7HVPhjd/XMZzloO9KRvwVCshiNeyDNZNyMG6aNJ7jgr2 -OrwrwvXkbv/nh4FvASe+GGzAYS8PgCHtsBMWYOCv1cQLZjO2OXjqHaWplz4t -CfjCpwufNnz6pyD5ui/GfQ7wLoD0Ogn+zX2hteArrg0/KlxluBZlb4ptcdyt -vxF8UgSx4jimWy3G5ROkS3zJtPWTwftLOsDgHq+7xK1uUm4Mj9hk733M2Huz -+2qhyULcii9HTRah0W2JanSTCJX2k9meypsyu2pv+1Jj6yYzDRbIuNzkhow7 -JeMl+ySK6n7SRjVXKCfZZ+IkQ8YVU29gXAoucEz3wCNLGxzjbjhIBxd6lTbi -iPcgw7gyqx1Srxqse4WP8tJvTbmIDf63P1CNgrvjb/+FDjwMycZ9K8Z15rhv -WH37BlffLPN+d06ifzm2PsTdy8i8zZh5myDz/pRq0sCYLzHvN49o5t2dNqhZ -FLwpI0KLmphSak05YOQGDT0ClkNGWXd7MWfdLZYEV9a1PJPrSkmE9gc8eDx5 -1Q6OeXdEy1DoW67P5oJ03a8xdamUql1/E+6lCScnVosArIXaSH2UgRgM9FcH -DEW1IQ9c2J2nuYMU3vY3iNvH/bbw21vMPRjzBP095nZhqUIHDte25bCtoC4M -bvcvbAVci3Qrw9wWbOnVdNte0W0XtaJGX3o//NIloEvnsX2irIeWNYQMw1i/ -iN556Yn+zg2Ei2UimcK3WZm7N53RRgbf/yuE+171CXeWI9wZXweT75YiXFlZ -47vRBcoRpAn3ahGXRYSLE5akyTcSl8FkpRmJG6g7aak/WPzfEy5v1qKPvHqE -64Vlbwb/+ANMuHfx24ps0crmgAhkgHDPWg25KSJc2J4dg07FnfnRGQLwVlgm -hNtvuXRcHJ41+CrCDXmfYLlux2F2kPq1iBrC27lrpP3r2SB7DuEWCkfyJVIN -wlWXSB7hUvlbmnDJ5Osuk+usuEHOPwZdusmsrBnC1bW8U628TDRbJyY0W6cl -NFuAmFNHJfIMlnJDcFeGvDjjrUWgC2S7M2V0c+jWz3SH0xEMBhtGRXNdJlz4 -FE8LlFuEz78WfCGIubXhnaAW/KiI7FsL57/MsO5TO/UEiDLEc+wpmmNHZzPK -YhirEsv2/ipy7QYFYoplcWbbVb2j8BKsHBeV9e02XWl8u9mG4qrEFlLtxAvD -O0290Mj2djKMe0kI40oDReMsy36IrcSOZffRzoWTEs6FsdxGzCtnHUITMbDs -+s6D2S4GIVxrFqMQrsQVepu4wkYZsDqO/eJw98J0bffSJk7Xfulgc2j96gd1 -gWl7Hh7Queeh5dH5KPc65gCMOXyJMQfHtSrmsPk4CvMK22IpBljNMmxLbXE6 -yPsdB3kzRjNh2xuAbZuFee7CvWwRBusccJb7V443PBQa4ny7KNoxgW21yUy1 -wymTmRRg+P3oSOWgG5OXu5uNXl/ztqAygl+rcvitaUymAgyKNwjbnsVsKyts -ExTbjvLFZY15h1pqGSSo2l6tsinIWy58e6ia6x7BjHsUz3aFcSX60H9roD8A -3v6FXTzAwq8jiD0Kb3oAjAFkD8X/jsDsAB9X6IrwKXNbsvIaoC0CvTquprzu -fvKC4e3+Dm+HKry1w1vZPeugHGRE9hRljge4qdwCa4+XWxNDpksa5fun+Ft3 -K13h9sZ0rv/IQ9x5ScTt/D9B3HcC4i5QiOu7qj4LXVV+0WaTki4FxB1xdlRW -cUZU3KrLKsar0lZtZRiqrAz9lJvMIG5sZaCDxOAm+yi4yTopN2/b2M0bEPcZ -qURSN6FX6r3sbkDiJqsO4t7KiHt1WcT9PIG4ZmFW9bOjk1eeovHUqK5fFSDE -3cYj7sNqQfYuJYqR5VhrYyDEldDUBO5hp77JBlSpoxB3EDvJBizv7k+DgpMs -RtxEScWyUFLRGr194q2mx0CqcSPE7ZCLuLc5xMXrA4e30nRYQ8Q9oCzigptX -I+77AXHBynDdJ1l9HyIuXyYXhbaxIdWI605UEVVtktVLV4b5RvIlFDC3CNeS -u/cryqVRLiIujWxr+6Quv4o4460ds24RfofC7wPuqmGuxl1Iy8ZDXY+8ExzV -Ou51v/upMuOlVx34EovEw/ALrqQvVb7cKJIQo25uWnlQVXA32A7nVCWlq3A3 -2BYId3F820YvBLBcNxNRWG4jCg3iImKQ68a2hWzxWraEmFO69WzpmsZdSekm -pbpQK7FXhLu4d1YJ7sro1st0Q+EwpnMzuHu02jkju4JmT4omBPb8Quaqkifo -fwCxJ+cJhjbYpRpqsmDkxXRwDxkdJ+IRnBBOZhp8zLcrxXxHdFEx384U82X+ -rWCt2dfAv2ckCuH0IlusNNOz3Rv2Qf79yfMv2Hz3yOXf/5p4b47J95koyyBu -oCjLYBbY5I6kmpFX8vKItvjqBbaUxVfuTll9A1njL18Tsgwz3B1quntN42EM -8u9K4F8qgaMCX8kz6IivbmJop+a7ykaLR5Fhxksc3JvvasLBfYqAhbUgfFsb -bnSF2pjD7eNfRxXhVxV2QnYmhu7tubef517JKxyI/33Lvh0U+4KKzGcXCgjA -deDLIRQuZViXEhvxOLcrf5XdExndbKhZ3LsYWFhuAwuWd7M53VZ4H2fvgqyl -YWWx4t3F8V554N3O1eLdF2vOu3c73r2zHO+uS/Ou0iuBPFNLRjP+hYh37Xpa -2kJ2XuziPQJbpMi/cAgNY8C/MO/gsBUNwbnYxQt3JLKQCe++ZXl3HzV4iV28 -hnfJxUvbz4+WKWeLeXeU493mGd71khgoZXtpZ5uWYgdvindXeT943cg+to3x -Lnj7mF8W2M73rF/BqahZ3LE+jXkX7WOrqAL8WMe7I5B398Ik1BBvH2MHL0oQ -g3ehj3LwUq59mFrhHGP8JDbPPsUce/jaYsO7dOTR8dXk9QHuhU415N0uzLsH -Znj3Tcu7ysV7zHzFuxJp9xuccJlsUJeJ6qOI87pn2bzuuCivm1pRs3nURGY3 -G15wb5YOed3NX8xkAL5FD77AwIF3SdRQi4gYfwn86rqZ2S4DbxFI0/0O0wLz -ut/BfVLuY8K87uU+5j5p97HTOM7rXu43dVRbqGO5lr489+vhfzWH+FbpJlKM -qyPKfnod5GuZGK6vUDv0q1AgccgXZHMBzj0otorJQRG9q9zl3lUkDiXvLEar -2zLW6q5CzsUnaogpgFYXolEYU3g1EVNIlUiERdisZ0GsYjc61r1eca6O4jrO -rQ+cG0Vx2bHwgRrrfliGcz9RnEuFwmGsS1tow3xEYb03ifVjk5iyiGnOxZGu -nrEe6Di3O81Y+0SrZIMife6orqWhDavDu2HO+/mBObFgPWo2uQZacfuCRQ5+ -1CzsjdzLWV5Zb/MSh86hDLlK3NvaChyQe1ldJtyrWiwyc1/IM9yfU+7mM7y7 -0Vrb07th8bEtdou2R7wTM5Fn0Cttb26Fh42SZ9ArbU+plTadZ/hLlGfQ7RVz -sT50e9SWXcAHkLBZMpXlDaeyLWjCqh24/HgnbrGox9YgruRdqXMNbbzIIY6w -AhUK//Yz/HsYE2LvItzugG2PqAUo7LiXhrrycj/nfqXjXvrfHMqBhV5oKaK1 -tB5q1ttNMW8nz7yDmXlDXrclcu9W8FUU8YclhbutlXlBcLeTSi/IF1eV8W4Q -7WoLQ6wZk5UbEYm28jr9yMTwBnWstpMT2sVX8upNomf1ZRHu3hMd0f7N4e4/ -3OvJzP283XPkUvrVkPeKjbRqXhPk1cHDypBXVtQi5RjcrKyRgZVjGnm7B+d7 -jLxGOdYiId7lG5JGXqifeDWDvOX6iH858vraCVO7Zl2IJNzdmpDXvZE8vXab -rGpM7cd61dgaMTGEgyNxgKNqDJH3j1hnSMJdCP+LiUEa07tx4B+un2Bi0Mib -Eu52NdWEIcsekNf2EMc93bnIe/AvQ97kBmc+8vZl5D0mFu/6y0SZ+aKsrsh3 -M1ndKVntWKZCIV5TSx3tHwvM6S4k91YcpRloTFvLBxr81hqOdTHLUFsYeCD/ -EoRj+J/9zgMv/I4Kes2UF4wNftJL094iIOu2EEVwnxF+xvxZT4Gfc7/IgS1+ -8orbU6FjvW8nQ9sZxyi1gt8rs53AsluGg9totyzbE2Hz/fd20N3Ab/Hjsl1v -9UdETVhZ6LMKUmHzStQT8XTZngidVbCtaDfh8PYtr8kNQPuudEQw0L4HQJvo -h/hI5RQ+2jcAbRCGQQ/w8dwLEYD2sw5KjdtFgJY6gD/HvK0Mbnlo6kVhARw9 -0B7Wo/SFBse+ETgO7eoDsdqNMLRRdTomwiB3ffd+NkuhhWY6F9zzED/cFcD9 -QgbMfbozeFNw90ttKnOA+9Vo+hwrxN+gHb3cV/HNmVFdmwDuReJuaEWLanMd -3F6l3Lw3WDfvz97bkArsipdXBXa1mewpOV4kwF3/vA014P1HQg1v/KH0Hjgb -ZKhbSahBL6iJk1eHGh7ICTV4MxnUIUEd6OrtvZdXA+7pbCc7iY8hx/Ki2ihv -KGvIVbt783B3v0TVmKgJAgvS8tqBlKBd3rMINzX3ThXmvci8dQBeC3/imMIh -AWPdHRAGp/0QZQ9K4GwXXjvrZMa4CaTFMW6LunlMqyMLg3xkQYA9ncrNjnH7 -8vr4AF6+023Nuo5uPCVz+Tg2lEjo+3VWuUCGMc2115XhWqpTy3DtC8C1z7ib -9vNV59qHUzftiGt99NAu1wzMKJSCI1TOZIenuPb0rEr3VN4hOYO9oFO1eiHi -Wq9eEK7tnTWNwfDlRq1z7xaZxjqkTGN0b8rn2sWOa8U0lse1om3XOyC3leXa -TVXk2uD5/q1/YK4q13rDGL+HBMPY75hrt/dcO525Vgxjx6+Cg6CdsKJblAvD -Vu6vuLYzHocQ12rDmOxrprg2KHQ7LdPPf0EzDUUSraM6tQ6L7X5mgmsLhT54 -2mG59m+Oa/UlUkWufTSPa+NatQ/RNHZMyk4Sce3APK6tRKeriyUyeoEJ5ce5 -uVio99FqS1iXUgoDKurA5UZg+zufzpUXSsuGVFi4Hc5p3QC3RZixut86Ytxt -kF/n+WGsf43KftI4mI2W6M6zXuDQlXx00IWJKyHFtJXukrGqxQQSFNPG7xu6 -jOZJFUhAIW4j3idroNt+X/CBBL1Pln0fkUDCnZlAAg5qfSCB8rf/Se2Saabd -QzPtmY5pRRl2akKCO55cCcK0qtmXmHYoC3AHeaZdn2Ba70jQQYReOUGEY1QQ -ARa89DDUdzoEq+3QxunqCGwQ3jYG2m4DI1NvP9VLQXC7IQPhvUobD1ZBYdxK -C5+wpHY3RWC7OQbbibp8gie3UMQmYMuJXd1B/D0XT/wwlye31+5vSyfm7136 -+ba9sHuY7AvudQ+DrS5fezgUTnydkZGpm4/qHf406vP8MN5Ck5tQtCISpxWy -5oVtM+aFuzmtsECldeXGlAVbEJJtrya3BLZkYCDXOxVP7Mbdn41pess9usNM -ckHO9jUU+ixrEciwUEcNdGmo63jX/f3qt/ygIqgK8Bcc5H8BIWz3AvyPDyhs -x1kBi7JhvSyTSigiz9ZS22bN8d+a11JgO1SB7RCTxe2o8rjdbB53hR3YHu1T -yH2jXoghfOY6QrWjhYyCDKI6qIVx6IZoGTekiVjhNYZbvnFbX1IV4PZFBbfP -VxduV5cOeTDcuQ9Xd+6jb6fQYd9bP0jA7WelgVfGm+PZwKH0RIyqDG4npuHW -r5+lvGJwA+OBjIXbjTSIUTcs7CpSjkvxij3YLuUVW0ULz3upoQt4xUDoU2W4 -jTuDAW5vDnB7O8Htlwy3G5+OToVQdGhV3u8t0RrvbQ3cLvNyw63JJ+beR55S -D8lyAqRLa27ExP/vSlfCyY97z/A+MTa3eJ/Yqj/i2tkI1OeKWEH7xFif69fO -SKxwpPaJLdc+MYJb3adND4GnRXB7nrtOrHOvErgln9jdVYBbuET+VTW4Nc9/ -/zaXSBJu8TL5ZXCbm809NevPMm6BWB8LZx/ZvEIhE9AdDB/DtK2D2FqebHkl -TdIJMuQ9V4AWIw8OOnkejCg6AusjMK5QhP+wyiy4l7sXwD9r+dgw/Ar+xdnB -8nQZLM8OlccDOEFxTDZXK/ZbrUWQzEEGZ93rZkjuc5LJr5Opox2fO5DHYH6n -kNxBvgk3rJSZ3EH9bO4gNMw8hHqE7JGPqvKNcgfagmtrfGdwjS+tk4VehykK -Zyc7nJ3EWoSTHM5OdChrtQi2utfi7GdCiN0cynrtl8LZgzTO9uRcLeUNvjj8 -AFXQ0E2NPd1reDcez6qzfU+I7OlylMhYu61H2XIT22sZcOs4sB1CsYnOgy2V -qxI2G1U43NodevUie5nXL3SnabOKCJvmYfhSRnfNtA77ngmZ4E7tELQL54p2 -oTWpxgR0r+AJLph3r9VNw0ozdkeT0k937WliuT9gLLdBeesuii05niCl9S/I -9NbKLYNxd/uwkrYyGHdXJeIJKd3C31U8QU4UQyz3t8q6q0EXlAu/U6Ab+iUm -c7BuooPc433HxE4YsKOWtYZ8A4Ogna3MHWrCrDEsIvS6tymHqkCt3eoK/Hbj -jzmeLGztswU0jJWBrFBsmyLcMpFVJWbAc9mizGX3L2YntHZKO4STB4Nzp7Rp -mE2lD2hZJm6K0zDLYtxl1JTc1u+GxxMoC7PtDcxem9kR7+R3xP93MHtUVWBW -NmjkaDWG2ciloDsh8lwKZ7DcPnYp4KKZLuHkE0ZfwHnIl2wL2+hPFW/mRTOM -yMlpooJZcSlkJLkci0NDZRJmdenZ45Gxp4owq/ppsjC7U5VhdmUCZp9VLYzS -QyOGbooyhfeJufwwfCG/P5ytumfAoTAWYRYCt/XcA++eysbdBh86B4olzOsG -Y5gd5BcyrUOBwukZmPXB9KxA2sLsDe7FD3uLbisU+ppceifoA3zpIX+JVA9m -l1uYjap/jwRJ7p1QevZuLsz2B0kuHGioywSCtwOjRTMQ6w2JFs3wcjnTphB8 -8FakWbkphOzWVWXT2gTMbsULZgPkYwKzRcouEA2r8AFg7XD42DkjPNYW6lIO -9+zhMJaV/zL+12XsmjN69fnYeAQb5WRn9/6qdFlqJyw1hsU4Ungz8HnZzpZb -g2BFxwuUZEU3Ikb6Wh8vqP9CIl4Qm7RtL9lqVCGoFt560sJ7dRQvkLxs2AsL -3Hq249ZpQVvrmxugjyxwq98JwzFs1LqrdLVhH6x/yMmi/iDWeCVcBODZYtD7 -oq/yEAx2kDc0jGDhuL5ssdipdGw/dI9qNPpe5/n1sy7Dg6GM69XWSwRYW8pM -GYWkEQ43lrINydRE99Cb5uO23YJmQb60PI49UykWzlX6MBnYXpbPsUaXyzHb -H1Mx2wd1zDaockUbJs0Rn+sUgl8t+6O7BzHHvmFPDP1qWZXUCukUAsZs14SY -rXDs9e6+JMOWK5Q6LMuxf1AcC11pZLaEoS31pe3Gq2acSMB1s6a+PCEuTtDL -WcSMHQqAqx2BVjvhj9zjE41b5dW+CP8T9+EhKkgwhCevAVjr5i+FpXhVD167 -hnRE2VRBKi0bG20TadllYUGsVVlevcjxqiyIaV69PodX/6J49aEtx6t/Dbx6 -RB6vSklvklet3XbIDMer52WPS8fqUgfFq5NiXh3Dk6OYVxNihEu83VaLESyv -LjDurw/YbqvLhfJ4ldaVcZSS4NVUh9n/ilepzIF4dalPKfEzbsSr0ihzF/Oq -HOBcE/HqeaupKRx49RSfRNoJG2RwQQwbwkmIMNjzald2fpURIizPChG6+Wsl -HFK0YiFCy0z6Jr1E2ZEOJwqFY+h84hU+n3j5XuJV7q+uOq8uSfJqrxSvKgF0 -X3F/3ZSw2yZ59UvLq7AoxgFz/Xg3Jic1K7x6Sm55Qb4lQDaozhmatd0GXN06 -Py4L/6yDgHnuYJMwKPwWKbaODi3Y2W5hrh2ghn5gegI9KhqkqlwAVolpKO0V -8q4CpSAruMVd7dinIEtc8JQqS1ydoifVnHzAE/uEWhevRsnkA15WwoJnKs0H -rDT5gJB5fbNeXJY7h12zDKX11RKXzrwKlOpsQBJKx/sehU/bx6ICqRFLQKmh -tsjHhd0JBwUflzlmPyCIX70cKzVI5Q4wFmThopTqARu2Z83gtNNIVRVh9WPS -AxxiEGrgqpwMGxKNaRujuggDqQPUTph8uQipXcgFFtedMaR+I/tg2gMWQyrX -nGU6HRbsHXbB7t6DorL3hKjsd7ILxv6vr5X/i/wHfNPxiYLsHlhY1Si/B5ZN -FGyd8B9s6/0Hwf+1HZX4rqUByk3egQANQ79NQGrwIEzh9ngp9D2BW+TH4F4Y -+BB25ihcfd4No6ErlNxS29e+HKGNxbCtCzAkbeP+ng32kdS27k3N8WgRf0g/ -QWTZpiAT1SHxRLWWjwXsj3kGsHXVIXHXUBZ3xdKuEBYYUtZNe2CiXzfV0taf -ekOXx0nY2FFrmbUNMivJiTSz6g2v9q/Z+3EHs8GSYtb7kFk7bWlmve8tvCEf -EZ+GGmYNW9u5zMonoUO1rzZH5pVkVtWoBMOhc5TMC0cwvOl1wVG6e/crlhvQ -3UzGK7SKrOreReYFI5WIWekYsBJm9UVkvx6z+ufbKjDrasesdDaTZVZRpTzC -zHoPM6uk6a9XSfrZvCYKzDqNE/QnsxZlDK6G7kbPqPh8ui8zq5IaYNNhT1zb -tMw6gKV3VmpgJF7LYvmH9dS2LSP+cMwK6ddOr0SZmv8ps76nmJV8tfooIsgN -yjOr2fSqQiJWQgOpQgK9+T8tKzmgQ/5aelcqHrFuZ20GteDKw1/yGwkNDEo6 -Ea4KTgSZjiaAFHI+4CShbttwuo9hVTzdt0tY+oRfzAILDvgYL2m/iMWXNYVW -bdj9EX3Kn1nGWh4tY8kpv0xLn0+KY+WUX3oH4wB86pSfpqVi0eLQqkBpgzi0 -mio/mISBVX/CL1DadhxbBbjcq+Oxptv2s3JQGi9gJa1ZB6ilpgPU6b5MSSNK -05v7kzqVvpnM1lahtWlQTktjxWFNqgGn13s4XdfhOPbjHkcsrvseOoZpqoFU -FYEN8rCUVIE6zTYIpPYO0lq/18X7Z1+OSAhrJ3b20dcgLQjR12+5iOG7C1rT -JHU2Q6oW1V7Hoq6bm5V+5H0u6uHdA/e5fnKA+iNKuhqZ2GtW0sVTVCxfIEi1 -u1zU+fNRnAZYlhXU5qUBgqxgG5MGIEj9TYi9Oji9Yy3tc1EBA6kkpYdXIFWk -BTO4/R20kmfyXWky6yVPXLUDygtgV0OSARSD3RVPA0f4KOyeBKsr9+Y9jn04 -FrtfGHjWUvDYslAX/1lL3AHem9UCNLHN0RUL/9hvG/iNiqYZIW5HSEVWO/nK -W63UylKoLUWTvRLRaunIas+ERpburLopQSh0mmqz1zvUMYXOs5G8V27LUGg4 -xoRb7N9/MYXqW2w5CpXWBMzkXbk+GgmlKTRPKRv7BiZ730CF9w1Mi30DA7O+ -AU+hhwbF1rU9074BCaWJUlZ8A7FiCyl075hCqTVhUf0tSKGJ2GqGQuMTlhXb -mbaEmEJf4FMVoVCtkr2T3wNuVsKSK9ZotRZRKDyUgpzveKTQnZlCG/GD5354 -gRrPwAryDBCFJjwDy2Ufa5TyDExA5XI4YQAKzWkUeS1FoTfC6X5HeFB7ZcH/ -kxTanyl0wJyg5Qi+gc2mYERTqG5OMJcMa2VPPCWr2fKn/XEtVv5pv4mv8ll7 -IZAoygbqYBMuloX5xlwsDKuTnK0ORHfBQNM4Rr9iADppFaLOOIbqxxy5yugU -z/I1rR5BjdeeVmWEekgqj2rHqHC2H4g1qAPu7PKB8r7Swyi8Dfiqg9b/Lj3q -3gpAPQLEqo17ZtVK9AENqOpgUW49bex+DfoAGqVy1UF9KlWB2q5/Z/QB7H2t -ryq7PLGGXGpuxYEmVqmjbU/E6rUBpoqWkC17+G1dUxvVGn7mXL9fN3Xwzbim -1vBhhLrZjFDFNcWzRd0bK4fgPGMctlc1yPVmT66fth9H5gT8Foy1rbyxQUGU -YFG29fOMoUDlAXrqPEAPzAOgfktvnEml2NBuVr2lxqxheauj0m61C/W5M4Fg -25T+ezETrK4Ru3Y/R7CcA7i5aelHVZsbdFuNE5W59U1l7ldRDmDDM3Zpa51Z -2tqxvGJ2NRW259kIXlBnfVa3JQS7XYZg7ZhVCHZ7Q7DnqjzAFLbnnCqZABBH -cpXuGKxfIIoFBe3IlbtjNoCWuhpx1nVPPDeEOyAseA3H8Su89tkOaBSGovts -DR8qpktp8+HUGm3tkT7E5+yRfpkI6vJsBDXTcRDJAmhPxN10l6ZkAQCnIsHi -G+9ilmAZOL3Dw2knhNMHagSn2YWR1dGdV4ro9cLIB5ix03Ca6jsYGHcVJaSW -RoaVkgbg3bUi7FVJQU9KhsV7VQin7u51ud6r6pmVBsjd6e4uSobFcxRJnBn/ -q+k7WGLhFFNmvwBOF+bvVOXCqT5ZieBU2rFfjuD0bwynElkHOF3AJygpCRY8 -kJIs4PelEx2Y0g7mzni5kjG6KT4MkixA7VOtiGUBR6koTFqCJX0g+ighH05j -Udx1BKeD6RShxnD6ioPTxVsWTiX9Mm+dv0wGzE3Bqa0GydR6qShqprw22qvK -9B6Ms3CaqvcyYVAVBD2flpcyFth+3IPg/inZUH5tBZy5nYJY/niBq28dehbh -ai1c48nT0CcUTzOBYrIUCTQkS6Vg6+poK0rLq+Qw31KobEe9Z1OmTKFagAdH -I3Ldy4Np2JACM+vrjkSjA/1oQyrsVj7iD/RpdppoIHDvBVK49VayPFYO9G3K -9ANe9tcH+snmAU2hgl+mZGsIlcWqdaKYQj8/SDddKRmUxC+15d8bWLPCqs2q -5cpHMAG7Tg978zQzVVKo8wnBcH/+wtY1ptG2E0KHLnxLVBnDurbHWVGtT6qG -AAB9iwbajSvo0+2h/F4H9TYuhI294m9T91DIYEbLibnqScqVNSVNpaYA4QpH -pJxOjalUp1N/hpmqd2Q1ihxZpBKoiA7+v9AH/8+rg/+XYwGsPvgPG1aVHfzH -KoF/uNvU3+J0Kp/q3bHmN76eR4ptr+GE6hxeCb5ElYCdz96bs1cFKayEACSt -OgFvb9RUOdqXI+zMotjdWRbbgDexGuHtjym1CA6C2jB5/QOMXmvB7BVBtBln -W/dR+1rZPtlyUNpflRDk26vkzD4LpT3VXtQBmeKtk6Pl5ehm+3oKSvmG66C0 -E0LpzRGUytJHzaC0I0NptypBKdxxy0FpZGjVUHqBg9KZoUATofRsBaVTssv+ -p2goPb7Ch+W0yUov+89U+1EXHSHL/l8lTFbr8aaFCnFlW0yZrJJQ2qQclNJh -HiXMqgKlWYtVVaD0wwhK4arX8pDlCkpfUlf6EwylDykohWfO+Qyl8/hZ83KO -8+CSv3tNYa3zRIbS0XgaUo9z5QClzfGhL+xFHYC7hVTk0RtPG/rwXtThy0iM -ES/5ByiFfEt4eGsVmVnb+GyLv0YKheGeSztJHPsVibb8b6AULhHdM9tX98xW -G0p5lfC8akBpqozL2KwqrLW/+lDqJa08HnV4uSPgZS04iEfGLMKA01HBrD4e -NB2GOtR0H7uI15fcy33M4WZhDq3fK+CkbDglR2nsaQ/qQdQhFQCYFwcbnTqs -14apzGpTOcsUSDz2DpY6OLB/IbOWn7feBAf2j6oD+/R605v1b3HQeSOOP7MH -9peV3m0gLa/Rgb1KkX4o0LmvHn066Gx1AhFWZdCp1/G7W+i0Mz4xpfJBPe+u -b9JWKUmPiiU1Pqh3RKWTo3JI/y3s/Sjg/PZcW7H6Xz65xl322a1Kw/beDeCz -2vT5SesTvYHr4xYTbVVC63G2FkyiqEyi6/x8VBu55IQ/gnX3ffu8Z0ShqWqC -iEK/Gt7V1BL4CKo3tsKIuD2NiOF7NV2B+YU2fqopFHekpILWn+yTqVUoFOai -3z8gJ/vRftTjai76VHo/6lPZj3o1Zz9K7kdqLroCO8+3yuz5P/fmNhmh1cOc -K7vPvYRCg9QqUKjs+8/lQcpsvm+Z+Sif+IVTfiDR3/sZ6QR0ANCcdCzPSenE -fycsmCQi3U2otAhZVfdXL8BpQ/y3RvCPxnUBUuFHexZqcz6gCb/2Am7d24Eq -RgU8rEq+VWBVG6m2FKgOLPXOaFbT9QFw89UL/GlQnaWWkwOoYgPm4usUqM6v -Iqg+UQVQXcKguryKoPquBVXZ+FCgOiB2pCtQHXx+OK9MgeoEqb9USTm500qz -e3KRnxejILmmQTV/kT9YqdKg+o6fpIQb2uoEqL7ONzI6w6s5qIam2DxQlWy6 -vDl40x0emFQNVGlZchurWmVQvZFjPXM50uMX+DlvPokNdvRouQsedMClCNec -bYjlBf4VshDV2y5ELZOGWL3Ar2xUS09SSmKwtk1110hQrbZ5I/MwZ0H1pghU -Of3yMl8iWxhUD/tFoErytoGzs42xg89XSRh1uWAaRodMfwmojgrNHFUGVWjO -qqW36QtFT6vbAq1uzbSqxqLul1xwJAxZZTyKr8Pd7wbYWltNSRFci0CujmMv -PyQMS4ldv/DsCgNTqa+6MebXA4hfb0d+/RClc3n8KrWtqcDpk7ye/8xejl8h -0dNoWSXVrXmbUA+U34TC43uy/7/tj++vcK/LSv9J8uu5JnD6YVPh1xA49YbU -1hOU9T/Nr/EGVJJfxb2k+VXXVolGSqcsR3bJHtv7gWknHpiqqSCHTL+brthV -BqaQury4VUheXt6y9MOcFqVhTWvIsS1PpqgDb42FQTMwrfuetZLJ6rjwvdNM -m3QABMNsZvh8kCRWOQJxsFqryvBst0xgd7PUy+qzfuF/iTw4nvWD5tTOv1+n -0tVaTfmcv0lUq8UT1dQq1d/VKtWT8SoVl5pHctYPYjmrY1lpvvFVsjn7/nLO -/xTy7LYJnv1NgmfDShWIWrFiSyVW8yarwrTis5LpKhwc0oR1Bz7/3wG9AGOw -qYDSrKNX7lyEMIB7RyO83QXDre5VBNZ1fyPJggWveoi39YF7G8A/GsI/GjmO -pQhsY97ZEtQNcdjhGcaVRaqq8K2uxuqllj9ylqcSfNujTD1W4Fu1PPWG5tuL -vHzH8K2fMAXbappvH4749qkq8O1S5tsVZfj2bc+3Rzm+PXp+oipLbTQP8Ddu -K6oazPadWFRVdvH/hLAFcuZxX+ONGadHw+0SVdwQe7FShmN8VS3+3+T5lhb/ -pfbGW1fbauuq4ltdKcB8+5If1NSUb68yfGvqBCrh23d9K+x22Aqr+fYNFWV/ -XnXuibn5Pt6xvH1tEFRB6GdOxLdnca/0KfzQehwem8BV2xCvPVr4b4nXlSxP -gbGYeqPt8hQeXni+HaGWDdXCP/Nta8O355RaLzmvUBiXcVS1j1qyOuWluz3f -hkukkzwCVplvs5dILt/e9oEXVdF5RQ7fxkXKim+HRHzr097Mt968GvGtXvyf -nMe30RJVWb6VrXy9md+Pj/rh+L+vX4oq4EC2NiDuVkC2CLbItUW4Kovw6ElU -e5M5/7+EraiQA6A21jCaRcSV8ezB2jild6k+C7kAUwJAi/5SHBJjbrzsL5hb -NqUqza2gqUvmA+xuVTCoPsgGVZ0PkHeD+ZwPuBHzAW/7QgC18N+ALVQNZ1Jj -ayNKqX4Qp1SxsZWxTe1VJYsAkpibaGn1mHtoUIxKOvVwXWbVTelFo1xAZJwK -Lagdk5kAbEKNMgESzcSDcGlEFbe+Q7dhzapTlFWLcLduHYe3p7q/uu4hoXBj -eEzgMoVPotZbGnnr5tvj+Ps5ShUrhKgrBgq6xjHXo7Mx1/gR4lDVfCuZi4Fq -/K0jrvrRQb6ngL3TcroJ8DGhhWqUtQtaGCRYyL0EOkgQLWd9K6rWvwVV66Z/ -2uWsz+PlrFeyy1kyqdG6xXJBghh7n+CljEdT2MvK1ttYxSja1nkSc1WBgtns -Gb/Ao+/vsRcd4q5nqWDB6Qp/T+H+gok4ASIl1vF8ZElqLOxRB/R1/xi5ckf3 -d3EkBg9A/bpzAeAXmDjwMIx9d4MP747AW49FBdlcwggNwD49S7tgw4w5tnLw -7ZcE31AxECKxBL6H8JBK9k/CoCoPfGkbWoyTrd7ggVUGfOdY8F3E4PuKgO+d -kUP9fwS+0KU1/30Dvv1i8J0Tge+F3PceaSczxqvTrDvd7m2BYvLrbE9sBL6h -Jzba24LbpbIH3HqgMl51VcYrdzuUrkcBX5n0+CkPg++LNQbfBSRczAHfL6sN -vuEJWYPvIk7AP8fgG8yslDG6m+13t0TgCyc5ZA0gkcjp/HQ7nvNCI/HybESG -Zny4jHph8ToK+1pHqX0tOgwZlmO6oqROMMJNceA7rVAYm02Ps8AY6mE7Mvh2 -AvBdVBXwfewXgO9KvkTWBPC914HvX94xHbEafI/JgK97zbXrjZWBr68cmBrO -QvSq48QIfPXeVo3AV7tRg44KwwecbXWsC2kDXqyCaW4dYl13+dUBzK3lh7dF -sAK4X3wxuwEggFAE0nUfQ9Y9DI2q7mPucnUfA18Aj3TdxxzxFq50zLsRL+Jr -8AkWmBeWMMNoF05rkHndhT2fn2oX+raA4BJIjne5NQC2s7x9VU509o0jCssd -95KeGSIKpuCV3wQWV7qhFUcU6A3h3/CGgBGFedgc8I5yCtCIV5wC55feh1xs -Y+beJlFEYT9d7DpR8dq4qDFgRGI0mecSOMwXuSKbGdOTsq1G0YTNXkfaxbYD -nNIpJ5pAoU9jfJKFet8lJUfvvJaEx+/7l36cR4Wpw6vFv3d7/v1ov9P9qPxD -3HSDcflpvO02KSoWm4jRD2+19SNg/v7yCJ0iDcNz7FpaWlBm3cuLxNT3uh+b -bYckZAUTlKfh1I5BGqY5eKbEPSjqgd9TeIa4urmKMiiTlokyUGWBX/FKqF7j -FS8fZXghVr3umFS9yo3trUSUYRnf4GygdpvSMyAqeNNyMNm09PiXV73WUr/O -zWUiDTICtiy8PRq24MTzLC6mnaKCtpP5FFR4WMxboIkcv0qYOHDxWFwR2xEn -Su7mWgBA/iMA8h8d9Y7EHMTO3I2wq85CsF9WaFgSujIGburHv8NUvCG0yOqy -sJoS8CDOEuYvhUmDbEd3V2+vjAWUK2RjgSdgyBaKEyieaf36BNzd3d57PLaq -1PMRd3t/qBICRuerrGTnEDDe2tMbLxkCPpNDhYnlsAwBSw43Wg6bEZkLUFd+ -2OaIgDcof9Y6JuCPcwg44Xz9f5yA18KbhHqD0ARsXa+k17tLETB5s0ipdwl3 -l8BD79TVtNt5EuvzRjEBkzJvbzxesUth3RINskeFBtlleilMGwukbRmfFAuF -E/FSaU4QHBGwkha8NtddIleHmPqiVEy9HAG7S+SFZ7c4AR/NBNzXdBTE/qwc -Ap5V4fcoY39WkoBTy2HaXHC8mAuop6AsAQd5VoqARS4gUlYa9RZqG7uAe9Xy -095CXR2/5ddmR8SbayEI11ZjX3wVQ7qhlrt6eQTswLgIUFy4ziQdBInhyp7X -U1bEBIt5TQzR+FOVegjj4FBIkB4JPyjiglayMqbSu1Gp1rN7ROmH+ronVqcf -QrEWtZiEsfBKPxa+M3dt7O1YXuDTDxdQ+qFh0G19AGi8l6Qf1Fg4B41DKcEI -u6nPaJyRFpixZeK0HnagtPNVpU8p9dA5+F4za2IdA7Z5W39Ion7nx8GceOCa -VRxnwin+VfvTSHMe70fdECpXh+9THUQuBURudiYJIeT7KmVljMtU/CC4bBMT -n7aS9bzjUW1GaenRRkarv+8mLdFNpyV4B62H3UHzq3rQlGBaEiIrgkflMDL2 -Y/jTVTtCvHt2sWr4UtJZ/L6alMSe6ZTEgzYlEe+dbcrbO3spf+/s3ZyUhNgQ -Qup3a5/6FVQORoSAynr/TKwIC7mK8hYOAOq0BGyszFktuBx20WZxCliPjiU5 -EZD5D3hn1SPkkwWbOUlxgkpTHG8SFX6kjDMpENqO4nEy7bJZYh7BbQzBuNAE -797ZVoYWalutHXct6AavmJJte1dNKDmtTgiU3Aop+TzVIX+xN2dmTn4XyQrO -FqNkd+VbUP67BmVHAQ84CrgfKEA65ZkCNCjfbA+ASfEVgfIlFpQHxxaFqfnt -tDoHfEYqB6wtCgOURaFP6PWx7bQ0XbpRgfJCVqVrxVcA5bdKj0Wg7Pt6Gi51 -oPzGrwvKz9YclF9nUJbiPwHlR/jt4B4GZa32usq9rD2BAlGT+Yl3HIMy+aUb -l4a5B1LdRjvQtNH28gVefVQbrQXlaFHNl91NZkoWy0iO2itJydkMUeeXfk1K -jq4PZRmh45Rs4V2SkqHB+UJFyecnqmkjSh5/WrStVtbvRQ+arFBwDJALyufG -oDwA/V39WZigmwtwPa3oJ8SExrVIGcubaTggxn8SD8OAuAgDYkPEcJlC5vcK -HA4DEddCIuZwhPuYu4AdJcdkfJ0h42xQAkQpvmJWCWjv5rouLVO4n7VeMDh+ -CAfHRMePtUhUzhq1VwhNZPfb4tCEyFWkvsvqvayQFt4iwn5bGB5HoQmWKrwv -UgUJTewdQhNBRivZ4PGlT3w7wnH+gH+d13rZwXFV6PiLhLeKMsFZb5WWKIQm -hBCW8PtsPg/chnKtKihhh8ZMxrKzBWQs3VZAcdJvtWDv0vB9cygZu2yx1bZw -r2fjD/c+i547YCwvyWtRVkAspWng5I/2DRK1UO4r24TxSFm7cKPChsyWHEcr -uqcduJqRfUmD2Y5TqWwzTubvvugrmJG/mw7xlER7mDyDuOePH8XNcItEKpqU -fr4zEal4oJzvdnfru30u9t3yKPnVHdAW9oG76b2vRskUqci6GWySmCIVUszw -DN8M/5EoZwiMDMsx1Bi0IIpWXMfLMlfzSBkmS5fx+erFzMkyVp7B563TVczC -sjLFLU7jyvcML6voxYSVfxBWdu+GjpYdwAkrj8KXcLJmZHE+NPKB4mGmCGL/ -SDHWVjWGbRk27lkNNm6ZYeOZCTaeG7HxTcaZ1MlUId2fSUZWeYL8TwfG/xAw -XpkB49ByWw6MlfsWk5ERGF8U1tOxi346j8WgunMqr6ZHYJxquq1sQW5mYkEO -yi7nuLsubdbQnRS07SLAtHoxcd/+B7dmxH37ODQw7KcaGGBW9P8BGL8SgbE0 -L3jnLV/3VitGpsAZq+hansLX7MRVdNxDkad6tBi3splvth1smm0PUs22fSIw -1gYHCtr7xTjfUALBYQTjQmE87pC2dmzcBtn4AnepXBzMYlVmY3eJ/OtBukRe -3AJsjJcIFUEHNo5bbqvBxpHJIWbj2Mbnm25jNj4l0oudEDfdfp1x3woYlw0O -9w/dsnpyHJnBZGjsyRhDE+5FRFyLR8SBhenlfrsrDv1SAzGMiAWINxZuCkCs -ofigYBTLQPGBDMVe9PBx6LCNwPjeju+6y/4/BowlUfFoqqVB0sTGNgYqbD06 -foVLWV7g0bFNVUgBdqgQu9e9PWjj2ALfa0sVYgnvrUlVwOhYpyoIjP3inOCa -rg5TS3OfJhsaYjDuo8QFIVHhhQ/aMHYMLcttGmxTxFLuatVZ3MZwunXbfpca -GcdLcldwevhKpdOaF6D4J4HiW/cmqQEkYm9vgqlYAeRtPRRXbYz8wV7T6UGk -4XmcZOFxvR8tTw3jZT+61xVup6iHlDDG/0T+PNqOUYuMI0MKQ8k4bNqlb2k9 -PrT0Sda5bdDIfGR2Ac+PlceE+ravT1RVEbK8eDZLNyCFzMj8vZLs4oOJEkn8 -FFdE6JFyZYJdHil/8YweKacX7z583Qp24/SFTSHT4apG5heky+xNUZqRaDeM -lUkocQ8j850mjRxSGNerRPJVvGdusTlIJmZ5bKaD2hidz4zQeXIOOk+UhEaU -Wh7Dez5ZRZrgsgQv9lTj5BiXW2UCFzVHZdqx65VwSEB8EortaS52crQ7pB0S -1sDb7vVLFSqL+r4aqIwc8LhjgHxUBg5op8bIGVpepVCgprQchE6DoiIm3JHX -h8jxul3UWXZqvG6nOsvOHhHpJHLW7aCFM6WTmK90EikZ78O+KULRsjRFeFpe -7BdtytPyX7K3QlisAVoe8+vSMjw5/5PfArSE9w5Fy/N46eAyfhI+n0+IpFf3 -hFU7oDsbsk8jUCPhnkhX7suXVVt88rS9uvGaHffq+jW7kWrNbrzv88vIqpec -7S4T6vKDTJJB5cwq6i3//6AyPFHKNZJA5Tid76VnU2uIyuOiMfJva0LL5I1Q -QeOgNasjggiNyZwr3tr3j8kenUdlGh0TKNfmyfEXkqcoAiUX4Sm3cLM3SIhF -IheUu1Pk2ICyWNGUWYL6dd+jYgiTsVBTZGVIw9U7huUnPSyvMDmLF3XOop57 -V6j3ouqPyeYsluWY0mT9zleboZ73WqvnbaAnyTPU+h3nLIxlgjIAONtseaI6 -+x9XWocR2ewUGWGZV+98C0LGLqFqzAwsH1D6MlMyq2B5gm0/+DoDy+q8X+As -NUGeo0D5Go4cXx9MCTjjvFU5aBcSKP8M8HYXdXwN268aSl9i5t85Zn6/yfn8 -rZ+lBvmOnxuf60fOxM801P9Ixs7NzohGzlyB3Nyxs5KzfeJHznqbT1chx9Vr -qnbNq4GPyGiBiZ25BrlPrATuati54nge9kcbfCa5PIOTy+6P5vtLW/Hzi45j -qO091gD7OEZCA+xHzWp770uVWjYiULW997GMmn0cI4yaDTv75DLFMSi5vLXd -4DM64Cw7S9Xan/ks9g5m5/ksZbspGjlf4zj5qtW/M/ws8YyLVERjpmNmiGjg -6HlVNqYRGDpENU6N+XllZuzs2TmMmneJxsy6wk0iGOWYuQsFK+Fmz+61OKBs -WysGYkFpzMyxlyIwc/BSyL69tfGHhXtiZpqb5TPz7QlmfrBGzPxUPjMfwkyQ -YWZ/tPyhVbA5JhhwbeyWYh5AZv7KeKWSiooz8jf1fFFpqmFNb+pJTjlWVPQS -RcWGjIJNFBVSraSZ+dG8djXDzK8wMz9XZWZ+k5n57SZXlj4RZlZeGziNqikz -h1a1rai44k1xBG9r1Gu382Xu1Wtr6FLGDT1+3D2dnYoTkJl3wiVa7PXN2dBD -NUW5RjWjphijNC6hfTAoCqcaZvanMMjMvMX6qt5i1cwcLpHAzI+ES+TFf3pm -bv9rMPOCHGbWJS85zKxVLsZPETNzwr9WJWYe5Zh5l4wnOLmhN8B285rGsz7U -FRMaz8JI+ZLeFZCv2FyoqzDZ540DJm+tEdnEjuE4yGFyERjZ/S7XISmv975g -JuUioHLhWuyqCOrgoA++3YPyh/hYTFNlCiPfm9jVA1gWnfBjLfRkmQw1TzaN -QsnYqMaxi/pqZ6/ev3C6nNIK2509OXz6s3truDMzXYYeYJouX+21bEErfKGN -XTS2rooPE7ELA8sSkvVTzLjDAoKxfODvixl4f4wLbwMsR2W3sKcnsDzaTi91 -KDZ0/HYIHb/T24a6sFkqIOunyrRP9r2aKmMQAOjsxmahAFeB8k938I4Z0xqG -A7gQl6G52tT8bpML3J/FJfjgIiFxIugZfgL9gZ9An0P0rIIbH/qeZj19PpkD -5Ccm2u/G0gNOO7sD+BnvAFKoeZDa/zuGwxp9Shs0Pfd09HxwT9YZ5+xZwuRZ -/uykb2Si3vvrSBGZs/Ten3u4ubANKZ5NUGM/FdTgnT8Mauzhgxq5O38wdX7c -0fMTMnXelegZb427+Klz0Bfz1JmDGu9lghrb2jCzu12uUGHmxVG923NMz09y -mYaENR5W9HxvItSsAxuWoDncrHRwYR/QhjfsFJqSkOesTgc44rCz3g8cz+tF -4soY5Q+K/8Qpynp8S2+sps06uNyS05U1oWZlK96i1KxsxY6aOyA1X0mnzbnU -fFfNqflZR83PlKXmgw01/ztQ811VoGbe7jPU7ImgItNLPHIaBzEjak5Vv+Gd -P1H9Nj1nu496iTfjfhBQ81XRdl8Qu32cELu9zWI3tcvTjBo2kJob5VHz01Wg -5vnulkgKJ6Hmrxw1V2xhan6ZqflppmYtdLub81jwYHwD9+WA2mY2X7Ln8qWJ -PcR46e2IVW/Hcg8x7AQMrVLV29ERNWfDyn6rb1nwv7RUfd32QCZILdLUnH2w -7Iy1GkLNf/PU3MFT8wvu9dKvT83zYmomB8wgsBZf/GUktyhDzZHo+4SaUPP2 -lpoTW33xRp8i5gKGlAu1NDFjaUaF42BZ07v0sM2Myl/xRBl3B4owTyZjRS1t -rHD/e0fJ7mM4SqaX+5i7WAu3aFD2I2UhZWl2s2PlDzmDAWNlR8qds2PlmJZh -kRcekrXVTXIYT/Kl/4wOKTdcamgZJcb1XuLxclZiLFmMZWaN7y9Zu5sZL19j -7W5qvJxc40Mao/7hj/blGaa0vrUSWh7rCziwcLeTGi/DzPIAXb7B80ookMiY -Lbrb0bLY3IZrYTGt7m1mk1uFN1p0NLJdslm0CzaLzMqeHis3Dyt7aqxs8xdN -cMUMI7SelBt5UhZKgxnn0P2rIz4Waq7rqPkSR82X01MMtvJdQs18+DTDHdEN -Z/gZ9Ac6w4HzZ/jzmqrmz7J6eaqyaJxk5s+fqvmzzm58pug5VNOlVgIp6vy5 -ym34dUAze44yNaOjVUD5c5RyZPgz5AxNnNn4IVH+US1Z8mOOniHi/A+YO++G -c+dNT9m583p3i/wsqp8zsmRf/hHmzjrmvErFnKUAxJQjqxIQvQ74MKqiQtzZ -z5/5IDcQNO0F6fzGtbxMf2UUfb60TI7j/ESOQ2bQlOHI0vNENmscbyxzO6JZ -TsiZRBmy9rcXr/zpjAZQs2jhOrIQo5tvSa4qNVfJgbwsqOBIcVUTar4h47lK -U/Mjvyo1S93H7bruI+/8+XOOajoaMKt+FZUXJutEs/K6hsqPimSiOV71Q2o+ -ggqTQzfdRtVNFzkxUBj1vndiPNgupUOOqLnBL6XmG5iaj01S88Znw1vCuhxq -hg4gaKEUaQ5EtkJJ8lZU8/EmXeqP8iV+b0TN1/PWwhVrSIAzk93nuiD5eJTW -/ImPdPbgio/mfsVvkK/4KLPit7xMFx1cKstOMYn/FuiMiVb8DDVf5S4Pnfa/ -NUvNLwk1P/SLqbmHo+aeTM36LCZDzQsVNWOG6RPf9ZGi5ri/MUXNx/5Sah4b -UfM+VaLmzJxZeS/0jJnmy4GWvc+Y8hfWZ8yVc9BzfLVMlVlmYUbKjpeLcKE6 -ernJXapMy+5jjpULN+TQcpxY/kDNlt/1s2VILUM/ckguh/ny35oHD/IT+6wu -T8wqvRyIOetDDm8HOpAhCw53ureEhXbGzF44W11HZPaeJJj9ah8kAZT4ollU -W9diovKWjaVCYCHmjpxeFmKW9HLihH8jSBf0fFmv9LGbzDt6R6uqtWidzwcx -MtUedrasE8vfz1XO3nlqtgwhDCgBvpVnmLc1Kf0MQgZJAdwtRMZpgHsbln5g -Wv7vAyEVMLR5zcj5nSaXuz+bK3H98j/4Z6QougH8WQWKpj8zRdEyg4baQU/R -kuI4PQRrOAH9iV7T1E5m/xQ0Ok3QXWIXsyboeGXTEXTvHuZpyK9rGv+yOjfQ -Rc7yZwoEPZPdy5jcUCINWRI03mUKzsCf14+qOu+/f23As+cGdvYMBI1VI2H2 -vCHyzSFB6yXB13/vbpvb07BpWZTccPQMQydKPW+FEUdZFJQKPSlyBv+yJui/ -80DqERxK/Qa36M0MmhMcC02Kw86g5/HgSks27Bx6+8QcmtIc50ZJjjM5waEJ -+mQ9e1aJ59E8d5YyaJPW8AuB+6mkhibnzjUm515VJOc2GXI+O4ecLyNy9pFN -Ieeby5DzX38JOXeujJzZJmfJOdsChunmxJLTIBQAhF1AveCUIWddJhLtAvq2 -LybnqaldwAE5ZXmQbublIr8LyOQ830syPvSSDLHJPdzmbeNTrjk536fIeaEf -KhE5zyVyvs2S8yYm5w2/gJx9QZ4i5weYnOGB2Mox3GW6ho6JsMV5lS7H+wM2 -XdpyvH1UOV6HRDleItW8XC6ZvB3AkGpuueTMxAPmRX5PNh1k0uTMEuWy5Px0 -jcj54DxyliJJIedbq0DOl5chZ37CzGwBaHI+rYbkvFuSnMU3oxMaqXRGnMzw -M2Yfx/hKzZd7fVGo7Tuar8ae5g00Xd7G87IElcNkOWblbQMrdxNWprUEP10m -Vi4CLBfmEi7jcDmOYkhlyFuZRT/E5ciC8cye0bJffe2Je5kjGc+rSEaeRjm1 -8MeuOHg/wIU/O2TGhT+wYdRnV1xDNWRG5OKFv2Y0ZJajfj9k1pEMj1ay7DfY -LPutN0pf5SjLweUvB9gBszS5VXBVyNfS5jy5Q/AvSBTDVITEquQWNrN8LePy -9cEFZ/LKMLQE7LqTssqIyjxchgGmr75QAVqswIAowCP1S0Na7F79bHNdfOum -uLn8cV3JApM5TNFA0LN5Dn1ReOKBOTQnOXSKgxI200KCgzPQHyvtRmxyNvTc -Vor89O4g/TGvL0vPKdVGMDfjTqdpL1GpG/dURLucqrDvrFA+LZF0k9zQ+4I+ -uUF/jHA28LOfPTc0jSXfSGOJ0mv45IbeF3wxJDfMvuBrava8NJ3cQHrm5Ibe -GdT0/IKUUCsd3d+5uO+RKP+sExx3rt0umkH/1s+gTQ6ab8fYbsL0rLPQsYbj -fKXhAMPzNDN/DnZnyT1bcv4jy5p34fq+hko1JzNnSWqIXi7WZ/Qo9Ve90lue -mqf4rtzW2JUb44Cj5sX2+DmfmsUqW2Nq7sDU3DWm5ocdFTzoqOCvWWruc3u6 -O5d2AhUVzPlcUXPYCRw8c7OjZrUTeFYVKvhMvrkisxMoernMTiAnNWAABrfw -uRydpAo+Ou6FFfuFshOI1Px+pJaLqLkp10u7O+gL1aRmGSzRXZKGSjE1+wdp -Q81/cm8BO6epecVv0azz5po8aiYp+z84lPUArwHDJRzMGSRev2wNHQsBNetd -wAkrKdc8Cr3LDfCSGuouJ7DRDMbKPVqrleaRYzLNI/1Lhy0bFOWa1S7gUlu5 -R9QsD5ecaxZtxuthF7BD6khmEYmXAzXf514PlKHm56pOzWyX+WXUvA4rSAb6 -M5kcap5RDWqeXANq3stQM64IqFxzMtOs88yy+wd9IoexQNnMmMnm6OfLqj8E -F/sO3pDh5RtxvnxTj88K85iXP01bMESeHM+WO2Vzy2TE+A/Plt9RwEyJDLPs -t58kMlYm7RgvNJBglqjjKMO8CDPMcOk/44CZVhvs0p/u3NNLfwt56e8WTmUE -fZydMV9ceq/+hXzqz6QFlNVEde7xCX/GkNFaZZjbj/TysvVx155a+NMzSE9R -Or/s+/W6+vyymS9P7FSqOEkt+p1BwCxbZN9qI4afLbdUNgyRJ++XWPALsPyz -LPfdRRnZn+Mkxv0Ni4Bd7nFLIrNUF6eakh+rhyPMGnLzW3tei6OPcDogDH01 -c7T8KV7Of5KKoevLqcHMrIvDT6CnJibQuhnwJJvBMRmO0XbNM6dIPPdRqZeS -Bcr0uR9ncWRv0Pypc/J5ciK7MUNPnluZyXNo/GvqG/+o6SRMnvGEwLs21ORZ -xkxR08mG5/5U+vyFMG6iyTMrnF9LZTeov1Yr6tas3drvDVrnhuVn3B1kPdUT -6G7d1u8P5mU4xMGxMNojTDM0t6IY1XM2CT1TZTjOidpRdFPgyZLb8Oy8o0o7 -7+rzGrQfuHe0G9jaa5lj7Zzl5t65O4Epbs4UliyzhSUtfAuDcPOMHG6+qgw3 -3/FrcPMBjpsP/NtKbu8jbj40xc2+u+SDhEsjvfOkq6sNNyvVrO4v0RO0OOEs -9jnfX3Jsur/kgjjhbLiZ9wIPDHuB6GnlQ9170TxXjptXOG5e5m6kSyrlZvGt -ytJPPjc3p9Vhzc3PWG7+9JVw6ae4eTUXG0ldNXaW8ELD4/wo/NcEN8/jy1Kq -qmEJ4axVtrHvOOTm3RJ9Jbqxr4fjZkk2H2n3ATMaZtgHHEePmI6bqbFvEj5e -tjTczMnmNy50l8klETfHBzLzkZs7g0PjlaBiDtz8KHJzxxf/4V5P/g+5Wbtm -8rhZ784GdXnsnDFK5ipwMz5rirEx9P4UCg0zDg2tYTamOT1pNsxcwZJl7t7z -PdObDDMnFMqcWv5zpSKMBdF+XzKHwcllGS7f1z4Y5JCX3WX8UGu169fSyjEo -wRwNmHHnb1npucTOX/lMht37WxZZ5VbnDJnfyljlLmfKuiic7zdSQ2aZSib3 -/nIyGWZ3TBvl8jTLOWf2esAsCebxVozxDauVvz1TlY6IdcFLft3r0mAtMzt+ -aI7bJxou74U5jJ8iEYbe7xOjmU/ISlnGw1lYlqklvOUOblkjcH5zzxvcH6H0 -ytykIPq6CKKvzEA0nSHkrBOqGIeR2YlIUP+xY4TjJCOx+9R00RyXcD4LQJc/ -a9joAfqgcNZwdFgd9I9NqgclDJ8T0g1cG+RzBS+ra26Czz/eqqoCTfC5Yab/ -JBZuhLrsXUz/CQ6fHTx/Gg2fMbqBw2eozKa7KQD0v42wbuuMeOM11YWi1wef -4Qrtf/IKYQqg4wiHSOz0EFpiHLon5do1qa4U2keKATo9fCb5hoVnEm2EVcE/ -RXGNvbgHez9OZeqohqwGCjgflAFnK6DLA2fraRZwFqNWS64vayH1ZR6cL7Dn -z7ngPF+B891bHJwfT4PzYb70jxvNEuCMnb43WAndQCXUAHAeGLtoqxpylqjG -CTrknNY2+5BzPw459wnFf77PRIGzrAZiyBkLDmhqdS+nIB9q+zY6W33p3z6r -Azg3ZnBu8JpvvM0D55VlwXlO6dPjHDgvYHB+vJ4D593V3kNl4LxdWXB+lsH5 -7wqcUT63Vok0+FKUqmvY3J0a9ZeMxhTU7nw5NTXhZt9fskJrmuP+kiH5/SVL -TzR7ALASKDrz1gqcbR38VQzO8SWy0EHznabDRINzR1SZJ8D5uZqDMywAHOHA -+Uh+sCwHzhJlqhycKwI48wPmSAXOY2sEzhUZcB6hkk5DgpcmE9GIhs0XBQcz -Q/OXHprnHvJF6cpDOJMB0JztHOHg8p8VMH8agJlb+fxwuZsNYmSHy+/mrPpl -0xjZAXPUS4IDZpBkLPNJrKqlMvTqHw2Zs2Y5ufzZpeODzKGjJIwmJcjMQ+bG -54YWjYxZLtYwJ4bMnYc5UhqiZAsRKcXAjGtiiZCrrInpRMbE0NTngVmUy7o1 -jnXLeGSPY8eWmeByZs3PCDH2VLDcKAeWGypYphQGwXL9DCzjMT8f9Q9qWS8G -57oIybVHjB8//n33gWXu1fmEE06ohR/e6lnm6Qvd///YvX52r0fdL93PvQq1 -q8Dca/a8hf82yDLorbwQGvP3PJ/bedsEQRR/o87jQt9q814DGWBPj2R4MsBW -HYVxP2HLREV6ZgFxmF0kzUi9ZZH0UFXbDTkfEkajtgP/RqlIPAY/ukTKjg5B -2TFdBtfSPaj+BhnZXVMfmf5JRaazug4bmTaSaF/R/SfsVTFLh4tylg4TsWnZ -1Q/aDtjZr+vruhdVyt5xfFoCINuqAfZ2vsZbD7BTi4jX8CbTlewACDLpwN5B -4UHsLYNrUXZo7gZNx1iOSY/CsMeuvAHViETQarlwCAc9aNLWUek4asbcMn2L -mTsYuXzng2Lu8xRzB+Fzh8VzI+bO2mxrztyLHHMvrhZzs/jZtwjHzO1bhD82 -i4UDow6IgRdvslLbaBCHzD3VHl7H8mfD3BL0gFNqYW6RP2fi0RU4SbtCdwj2 -ZOY+kJm7G0zByHAVJHbvKPHzWu4PXBWYu6Ew96JqMLdMqWjXnph7BDL318Lc -T0TM/UJlzE1bEZq5Fynm/icz98N8uaK8bi1dljewk3KOKtee7l5n+moUuqxG -oWO9PjvV9/Gy50EZ2XMqFj3QXS5D8HIJsmcVi15qq1GMF32J1nDYx9LA3FrD -4Zj7ZWbul0j43FlMNSnmfl4x97M1YO77HHPf8zY9k2rmhpbtWz9wzP1hYO7r -KmFuU0i/JZm7gpZxNXPva+V1wtw6Fi0Bj2hQTUuEcRRaeHtTyED7AbW1NFPz -dX7Hn9SZsKW5CM/ChVvQqCGofW+M2j7HwajtzRq8J9hirRfqBLuGzXJgIyjO -pgG1IQBt8xyvmDwHoXaQOJf30q1JzqevU6naOSpJe1EQOZv5NOc5mqmy7P05 -Keu9dJFlo+NwynPETjrMc0gqVk71HWofciCBUR8NRoDakc9sQuQzM2aNdj4Z -K04zL2tm/9wPCrX1XNq75xZEgmbYDfwL7Qb+cE95zNYz6QqP2XAMWI/TtAxX -XFoHgDWwVb3MTmEdhOS6XRxE/+Q+cLT7/2c55q5wP/6jexXxp7ee4D4EPxjo -/n9z9+Ht3etu9/rcvXYYN25crSrg9+omCzgqLwbDhUr7rVDcJ4E0il/tTzhg -FP4ORuljL4jNkoRtRh6DGx/Iaepvl8qRxDnsTIdLtpLdY3iPPqUNB6kMSa9e -ZD+E3paUy0XnR3BzsTO6P76R/IikhXQFeCJ7/YMZfwfvx4/x+PsB9zfnoZzs -tfd+7Jodf79E42/cXFy8o9pczJrzdA243l5czuosm8G2Br1nOKiZwvByLhDd -5ZLnA4kxXG8xXmTG32TQO1uZ807jzLVH8JVUoxby1rvx2HsPh9978TrVfsrt -oXPW3Xy9GvWuHIqzOwqL9qk2fneqEn7PjDrXNH6TrKBK+B3LcauB3086/H4i -i9+9MvitvQXvJbweH2d6JQZkRnoJtKhC94r2SJ9Wxe6VWaZ7pQI5IWwnbjTb -iXAonfF6lMPvvQJ+U1dvZfgtB8Wiu7oF30rhLfSdJlcgfm8G/H5M4be77Dc+ -vatfVF6HT9yV4/dyhd8v8hO0dkffx5fnwrW0WIw+Dz55kspuiGj5rcRVtMJA -p0gNSJODXSs6X01PrsEbfXjCGz3MeKO7Y06Eu1ZUvrqF71opU9nt8VsuESuK -JPwWn0c5/H6G8ftFxu9FjN+vbxn85utD2yKT+K3zVOXwO6oo1Pgtm7wna/ye -EPYSxIXD1YSFwqLsVmLK5dHXbiMKepO/I2Sq50AUiy8vXj1E9K68WvvTano5 -ODutqrYzI25sFnzT+zn+vn/+iDtkQiRHHTwdwQUNTYNxeco/1fJhPOa+N3fM -/W/l6whV3GlfB425zwlpW8xSK19Hi5NKH0s4QI25tatjfefBiUBAnAvhgeTh -HAaQFo7YhKYz1NojrFwO2fw0c3cqD8Jeh5/i8fbtVAIt4+0fOQvyg6RtIx/H -t7y1Vm60DaeISFKKuYGocI3FvQa2TvB3o4kTJxbqrnT/ch5DNvzfY+7fb3X/ -vhWB9dbHHXfcj+5DR8EvqQpsr2xyBz6ayV8RAO9VBrwXKPCmHtt/c4O7dO/Y -GficoBXH+Tf8NbrIakQayV+nbID7w6ZBwqcXIMNu64SkOsQox1Vc//PurIRB -bXXUz6MzJxza3jQo/DXDzAnMvU9QmROtqvYLjzL3ZuWLD2xn+8QpsE27qmbu -nQlsq7m3BLaf5cC2n3vvlJHtCXQDcEtJYizcE111gG6bO4mD27D4iNDNw7O8 -8LboQ+5RneMauudHC5ABuoPGOjX39oHtVWngPgl9BTugXG+ML3PZxc+7qSNc -LzZKxkSHszVsH+LduVsGts9g2D4rc3BeY9h+6VeBbZSEPbjGwXaQ6OWVuHnY -vjHAdv9ysM0LW4O0IIxB4jjWT/vytrxwdqK0JTeczYfhs31pi4bt9bzU+KlV -gaBE7z9eomdgu9mWhu3LS+sUbOMlz7D9RTVge62CbSk3fEHBtlVOb2cVIHy5 -XYSXGMW5zmBvJZS0HId+Silp2dvBtg5lB930MRndNF0uQZwHUazRpqQFLxMO -ZbdmU44vaVkSl7TMVpcIdYBDtoRg+1YF23flwPZjDNtPKNh+nmH7ZYbt17YA -bL/nYPv9qsF2vLygYVv1gR+b6AOvEmwfn4DtkRnYNqWGKlsSB7IxrsWgfSnP -uBG0WY4nQrx5ByvQ7mFB+1a+5EyOpGu6gRAhm4fbNkNCl2fcRoiha7hcW1ir -h1dH62ZCluERZFM7oQlf14/D1yFLkpXi0YB7BVLUnxU9BZU0XfKhqTCkBNSA -G0aSesDNeRIaQwbLBw24uQaPK719+jazuiYLi6J9UFkSoZ8jOHEr9JNX1nGS -rYn+NobsWWH0qEPXfn1N2TxQnhZpomWVTdK4Oj+SsXgowLZD7d3DUPtJSgz4 -kg9O7KKuWCjqhZ1LA1KwTcNuCJdMUrB95/jx4+9zH6MQSd1m7odHuJ9uMm7c -uMfdjz9wr99NmjQJubsu/E5bK9r+raPtFU3+wg9l9/ODGZH3Sm+HuZNvFbf7 -9Ikn73op8s5bn6Rx97v1Q/IkrE5mK8z12iSVx1hpX6iQH+f+vo0pfaqo21SV -Y34JdCO66EfXLCpt+ZGsuR5AD3eYNhnFaZPxXTJrkniYwinv/+aNuTFpInrr -vUs/w/LrHUE/blPeDe2Y26e8d0srRmDM/eJOvhymbNpkeTZtYkfddTPUTbpr -qlp8UYR9qjAmpm5JnWQS36wduX1t5dR9Vc6YW5Im57LfQKdMTuHo6QQfP5Xj -8N1wJkcqEUCFfRRtt1GJbqHtHr8CbZ9WlrYBJdob2r76V6NtmN21jWnbFlkA -UegiC03bR95JChFP2yqxKoqE/r5WPKYJRxIXbkZt9SClR6hyolsTRI62WuR7 -kui+gOdxszlyCvM3mL3Nk1rxA3WtOPWnkXjvP6wQoaIXUlZXl7YfxrdVom1x -Bixg2r4B3yqJtoeXNs/fv/QN0/ZmXSleDdqGZY1l7hJ+jS/ZmLZFVX1nVCMu -K5BSiTiNLyl4gD1+FZwUySkRJ7lX7o8nQ1a2p5PccrmQbK+8pjokuaXcpeXS -KMktzaFxjbij7U6Q5H7V2nUsbf/VvVgdgrT9ONP2Uxnabutou001aPvQX0Lb -sbI6px5xaKJSfIvQ9pOls0ZQKMvQtk6VyPpjn3AZXcyCvUuVWM+nSdS6o2hB -UuNsoWxJkVR1lB2S2qwBgS3l1jqpTfGRx5s7yt6/TIREOfSyK446sW21IHlr -jss9NQU1yGqcUc43+ulkxWF9qTikGaSoQXD2KLnbaJzt87ZIPpZ61mXU033V -mqMQz8G2Fu8o9/LK6W4ohthsCqU7Bx0IU3bQEserja2UBoTiIz+inlgIaB+j -AKExNug/bBEL0pCOjvhVRjXGVoT91T+ChI0Juwjvn4XfWMB+nnJ6BE0hH9C/ -DcH21h6RPWzf4f7lMTWqlsk2wXYdSJS87T50i3vBL23ooLvSNPdvt6pbWtbk -Pv+sRn+L5HntPjX5/rM/IBHhzJoEf2NM0ZdoXpvYvFRxkwYX+b9x74nB0Rdr -nh3Ye+9Y+zdJKf9OiJ71xpiJN7K37A6YRvqoZkZHTLziTxQl3YKYRuTYiWn3 -d9OtnuS/rCf5Xomxf4Jl2lvCduXPMu2GoNJ90bRb/+16XFlwn9w1v9Lc6/3+ -yBETxd5LsxGTt9SW5ZpfzN4UNbETb4qQlmPvWJh9LUdMdN3MJTyCC9xNozjh -7snM3RIrGePXvqIp98pmKlLSJrlF2Y9tvTVn7om+80KXKuLobqnEVM+P2uIE -KCpj7juZue9h5rZehWowd3vH3J3KMLeuiTniHqqJOdLrR97P6kc8V6iCRZly -JyZ4ILwedm4ojtOJ7kykBFhioo6UVPgpt050n6sS3TMl0c1juVAVw4luU7DI -2r4udMO/T+lHQk0MV5LDqtUe1WFu6YKQruGb8S2SmPuy0rrRjrlvdcz9aD2v -H8GamKdDTcxn1WTul5m5n15rJdf3MHObGvLVtlBRb08ev+qP/4e2847Sssi2 -fq92xoCOOoZRR5oMplHJQfIooEiQpKKoIJhzICjBgCIiIhgIggKKICqCEhTJ -SckNdIMZBMk6wJjQv95bJ1Wdqqeet7tx7h99535zvXPX+tZbT+06Z+/fNk/X -M7CzVAOur/ac3HJkmisn91UB4Pp6c1R8Qo8DXPvVMPaIBNUwNTE9qTnwL5Hm -DgLGpLnVkzSmuRcpzb2AjoenueceueZO2q3obLTLVhMj5yOAXf/PNHc3p7l7 -04Q7dHJrK4mXnNQubgZaYziiubaQ0OLoxVS9HbGOMKLaae0syD2ZZkulS5ZU -ZGKiLbaRiso2Ilq7zMpIOpK0dnbriF/1EptqwzHfnDfSTiLdFJInkFyQqKfa -2yqEU23JuDnbNppqccrY1ejtG43K6UJQY2/CqBOSSeuIV6IXqwBhiDGpHPMn -du1goh3X2imWEWFKAJRtAkGNnU1bptlxbAhOIMWiPVPVhMxx+3/JvsFE0gnt -M5zQXvwPq5IE5IZmXPPXvkZeNjs35CNBXfc3/yp2biW6v4Q5dteuXeHvr/C/ -W7ToPiazptJ7KnQ7g39WcGOQAF/PAtwNwdME+DhfgCuG4BcRv7eg079Ssctv -vVb7Rzmsq5mBuvdRLVks6oRIN1p8a5z6bsapJxjb0teJ7fbM17Z4Ex56d1Zs -bSHc3M1Db/lJCtpEfo7iYOJ45WGOV/7OjTR/4E+wovv5TVGdjroNXaZgQaej -vpnl/WbZgNZqwuJ7tRHfa05WVpO/Zb6AWxv83arfEcX35rjdBDAnCfHNTTVa -fCftJnHetrObJIfeLyjG9mDmKojwFiagHnjfy37u25gBCPvwG5WX+zoolEP2 -3/kWXxLGKEFBQEWGA5eJ6G7DorvD/0Z0r1aie6XwGEIAsDKocj6MRPckFt1T -I6J7tlEUH5VEdIeNzVp0O+ZfurBItDYn+hnJWtIpYAEj969fEdw/GHZrMWGt -JQfTrSUhL9trmfnRtcwk+hm/QwXgmH9fKebfZtvNCBMzDFyVSxPds/FTus4T -3QT6oh3zKBbdw43ofoZF94V4zD3RPffPiW44mnP5OHrIkgIVnzR/Qza5PsZH -mA50D5c4ddd8bIxBXMB87JDzdykel9ZoKWltjkro3+4S+LcJJV9bkX2qeuVL -fYOEMVlKBFlSB/3b0mJuRPdytwcSZEndhOj+kEW3Ox61sonuj0oouiFiPNGd -jTb2bPiiu32a6I40zGQV3Q8egei+MS66taWknbOUJLzbjCl5lilAz+PeSAlu -jkqOlKhkGJFsqDjXum28rs/s0/7sd+1gW/zZSWZfQmxXEbEd2Ee8wbYS23mU -j6QW8jQLictIxobbBYnhdozjJ0ZbzfGTMWNvf73vcfzShtvXJQESjSIZycu4 -JKYF8ft+aEO86x+tgfYSf6QoPYqxvr0Bvn3EdieG/uyR0plYhcW2Qo/AUFvZ -RoSE/EsUOcKzCKgRmCWebFZAHyf92AmhvcSNI0UVQeoNwW9sCWgXEd2KW/KN -+TfWGnVdt0ePHn91Yhv+OfmbVVyxvbrSDPuOo5/WTPvzEuFNbzrBq2cT3uMD -4e24g3HmyXPuzWdLb8RxMsDBui2GXVFyAsagZpxoQLdjC3KMQIUq96hQJcQI -9uBbkKfd1mlifpptG9Bb8Fpdpq7egZZporqKhGnCP8nf2GVyOFKiDtWdf0RL -1JXLhG9jj2livd1nqcUJebtdoNIHcovotlwT8HfnH8+hylIIHCssFNF99P9U -dL+ThW8yLjLtHs4mU+EJDlIOk/7c1tybFcIDzDC5gwtrbrY1j9LeXJ6L0c9l -0LZwS2oz6CwU27qYpo1VEDi2+xNiu5oR29W9JFia2FYGVdXu/OfF9ioS226K -12CWLqbxxXYLT2wnQ5NRsY2CwogJ5SzpNOhHz1kC3JJr2VkSim3hloizxPq4 -LbfkYNZC9AFhIfoVTiUAxOyFoNIRQpNA8AUTKTFLvmZmCXECYZQmgO1PoM4R -QGIRsb2KxfbaiNi2fEDzOSRawQuZr1hsH7RiOw+T9UcqtgFB9FlCbAurhMOS -qvx8mPmTsKQuo7mLj1A3NGadbY5NOXNkqjDuBxwltfz6xvxmFu9zpThK1nVC -Tkmz4JhY//Za8W/TEamq2YCr+9kyGjRdCc5n5VAjtHWFIy+AlrsFELWcgtjm -47GUwpIktmf/P4htYGgmA8XpYnsnu672ZDrYOseY2D6YENu6mKbEYvsmW4Ga -k1PJOkoevcahfvqroCS+U1tx5vgK917VQntYILRfaUrRiFF8rF5lSLZj/imf -9iVuqj2lzje5MNLOeRF1ts5ATqv2eWAeEY0d8EfO2ZCusblPJsT9LUeN7du0 -VyKHxB9qOwOJ31/uD7VftQWt4VD7K2+o7dB/VtiIzg6G2q7zmlgk39dwVm07 -1LbYv3Y01GYhkzrU1p3lYbMIa+z/Qvnig4o/0ld1lPNA+5dQY6M9+zzS2Lo7 -ZjwxR/54o7zS2LqLvEzmF7Rlx1gjrK9nK8OI0ddkrT3Tdl9rOza7RXJB/eQc -T05b2fh/ypXYNudGm/+raialdlFsbdDNKyvNQs8R/WzmKoTNbPVE+0B5kKbx -Uy10cE9SP6eYe2R0ACsZofxJQ73BNfG6tWtEaoyCVIDlBAaMbq2fPTBJBM/e -UNwiyrcEXEAZWF/OQBLhccseRTxLt+hnnUoFPKoSATKwDivUtVNEcpGTXLTW -z0UGPqTZPozEurQZDpZ1YB3jAtpSG+XU5qG1sAFjYJLl3BznHCOuIDJdPxOY -JDawFiCJc4qwQ1tlIj3tvIm0s2QhxSHSw/K2T+dBdVleb5+jKtEjjuz8pn9e -NzO8zOnmhxKhruqrNEFBoMF+20ZUNy9TunnJ/0Y3z/Z1M2qDkK8dg40E7pD2 -sXKa5/ZG811ZGdspxY4ev0yAIzdG3CFSh95GeH8HPMZ20pG9I5l/ZNjIdIaN -kG7e5NWgLym7SunmhYFunpaim0da0sBXlQZldt3UGXXzTxzAINiIK6YR4xfq -5hWn4mc/pps3KN28lBlBwtV+3+YeSTdL7hF2QINVGU0vPkJ32j3PGUY3l6b9 -Tv65qvZcuULWp7hC1skxIcBIU88V4o6I5wpZ01vpZsXUXuWMU3ViJY7LxxVf -N+PxAMbffNbNS0qum21pUxG6Gc/Gdix0JN38Pelmdk518JY4mq/Nulmdjy5Z -dPMdEd18v9LNAubpJbp5hVdG0+9q3xWCx6a1HlAfZEfIj74jxLqv93qaebSn -mZ0TZGJ9v3wRBtO+C4SH0uIA4eLFpAOkIIsDJDaUdvAQm2vMW4wukE9TXCDZ -s41vqEJGClhsznOD6S/UYBrRa2UG2fYRXMOn5Bu3BwUz/tq9q623Jr8rD6Yb -RgbTrF50mwi6QFi9eFXWulBGpn8W2FfDUzBelXUomL2yRcky+sUxHiwkLIwJ -ICH/8ZwfZzEg5Ezrh92rxPJuZa1GtYNqmQbR241SRiIE5NOUWrZkCPMZbXuE -yvnTyh8zhMYtONxv6ePINFpU9HQ7ifZV9FtZLCBjFXlEHmcO9/elKjRC6naZ -p/3fXFhsZBU0LUW2q2ytVxEZoP28Zht+tO1BBc2Wj6YtefpsHm3NLnUQm1bK -9X+tI4sc7E6Of/v7sw+2Wpmf+9VEWCRCa9ju8Vtg9zg8Wk2fFU07bLKxQBr4 -nc1MmT7PC6fP/0hMn4kuUrKso6+gY5SRYxKUkbS84/uB5UNPn8VrLXaPmHp+ -2qOJUPWjtnncyZnGm21FOuyo84wEKJ+5Ll9bPKqpXbWrQ3d9ziVVzrdmLlkb -KucHWDn3IuW8Oqacnw3qNtKVM4W0ilLOJA2yKedP4sq56YxN8WYarZwnfBNt -32jv1dbtDkjAP3h1z1c/Rr7qbKi+W4pA9UG1c6IS/eqkxWNgS9pPP6N91f9O -8VWzcn671td4jb+vlDNc13BNo3IuvzazGJXzp+YaXhpVzsTKfQuHCUnlPMwq -50NjLsSHsRA6UTl/7OD4qJyXpivnAqucVSON+ftI4fmmMDnzNZ1hLFB+am6j -QTI2Tpvh6JzJaL5KfGQCa8d6oIVcqpxQ8QpHoYU0WtcDjwiWNq31CZYXp6H5 -uOm01mf0sExvOh3PTaegnKc45cx4vrqC5/OU8yJWzkDH/jShnOvHlHOk7jSh -nF/Xyvk7Vs47SqCcD6Byxpdl3+Ir57sD5YyNNFo5U4djTs4sXzl3SuYXn2il -SCGhrYNziyMQwLMX6SComhuHk2aqYrR2jjCnyPWLfpuMq15ExDVnFH0bx6aA -ApKWT4wp5iWe0iErx0fmDxTOzMDKEW+X8YkgYyzJQTfMCHrvq7ynfTsHQoqT -do7tUmOu5362FyS0c3BCTBSLN2Z2Vo59V6gC606+YjnQXWUU9b68d2Tm9zTx -0H7Tc78Xg3rycSntMcor7Vk4Yli9CPFD0z6So2VLcMiF4WDOSezaUGPlkFss -wTJetcMXtG2tIxPOyyvPJ3o6bi8IMrMiIaL1FmOOephpL/U076cmo+hYkFFb -OSjA+IqC0STH0F/zGPobLy7LFn6F6/N+hvrxZgU0UyCtZ1rKjgL7BuD5uB3U -Cyumjp/r+xuPe9hVBEFF1aP+8xM+lk842GTdOM/9BMeTXf8Pbd1IHT+fnfBL -J+z4avwsAhpWF/IQ+1Z+WymBxZiA3sAj6DXmpl5lK2pCTB+wsY8NKmpKIZEg -Zt+Q8fPrhWLdOEGJZxdSHGxueS2eBQrysAKCyNi52wZKVFHFowslkl2jKnai -O2+0Fs5hN11RwplVwdrbbV9GduEsXOtswlkXPI/nrro/KZznF1M4OwjIFcy3 -ltq6qHBWoIMOI0gc+AxfI5zRrkFj56tx7Hwo6o22IJD7fbtGCALR1Y621FmU -gMzPpBMdGNdGAcDMTBjXcONTxYz2RsPNTnOwdxm5B7e4IPew0lEJ56XFEM6u -VmYMxkXoM/e8Ec5PZ3bdaITz6AtxWYl2jYRwPpOF8+lR4bwFhfNxRjgfi0fx -Uz5+cOwE/mGDiOZPBxGBs2M90cy0vpsbUm9CpvVZeGQ6b3A2DdrQEGav3fpY -hSOHELlOJlrhiJi9u41wvtdi9sATXdXzRAfvymhON2w65SAiCuewdckI50XF -F871/qfCeXvJhLNaydiH5f9KOF9vhPOHHtO6P7ubNM8ax80tXY3MYKmP4X5z -sWhERbMAPhij542ZRTCrMbPXCQOiWTphgE19YYHqK9cj5g3Ok2F7YdbEe2GU -YP5MCeaVkX6YtWqpLh3msTGzK8CW8Z/riXHmU6NY8p60aa+tnum0pwV8bPdq -GZM9MW5RLmM+v8N8bwj3aKE41R3YlyFLcumG0SpFL8kVyuxXHTLkEfPhFwOj -qVErf3APDHmepWqxbKK82voxwi5y7XXWoUJN7lgcimVcpOfCNzHnOBTL32kP -hieWQSifmJMLW/WcozEMpoVNm9oonEuqm5dUWWwd9oKPWSYaWrmA3BPNLTZW -eRr6w0BDJ+0cGxWMr8CLvI5hh9BIm0EMO2e+Ur9GjZtxdesO/LEt6sq/Q/0q -jX7mzOGuhH2jQ2DfUEWhzQBt7YbPCPoQV76tc5SsYR2XNfSQ1rz4CKwbvwFe -ZqRoZ65Qn+hXOZLzvoxf5ah7ZGKgj/nql7cksG+wqx7fZ97w+ST4qaF9Ixts -b+Nm6oFbZ/41m35G4AfvjrV9w6931J0yZN2QSvVRnDMcgb5MN3h+iqdlAvbo -jZf/35FCcBcKgL9zTwzVNFKusCISwMiucTF3yzmbsw/ygF6YK5m7m103i3dT -62bYQ1fjaRrp5keKoZtH2IlaQjcHDN4/qZvrfAy1jOuwlhF0c+MPpBeGUdVh -3bMH8ojDCtDmrOwaHTA3lYbjPeQN1SzMQ4MKAruGN3Rm3dwztDnH7BpX0L5Z -bM4aV60zhRM5U0i6+SskdGnd/DFWoRdfN29E3TzBATzyOEuYNzTzdaWnMrtv -vJZ087QyTjfPdr0w2XQz3ACQSgDdnM+6eQW7pmDf4wAex9v6c8kSvsBsyoF8 -fODoPMDHpgc6nE61wDxi31wY1DDGbBptlW4Wm8YNHrwDe2ACeMeFqcC8pzxg -XvaobVF1STOZb/NxEbp5tasrLa5uDm1MWSE3WXRzxMpUbN18l9LNcj7wbelB -80g3L/Z1c2jTsMPmA0lrs1g0OJL7SmjPYM08Pk0zszVDD5nfr57mY5Yhc4CZ -rqz0crl13KMofS4KgldawByL8XjqrKBbo+v6ctHL2ssc9iqOddM+j5bgMoMJ -9HTZQKFASCtAT+/Q3YqyGtfF03ay52PIRJnsu0yGzI0RhucVbmg4h3S6SMNd -zwCCh6Gsam6ypy0Zgv/l6d4folBCBHBox5geUBGsFeOfwWA50MqLzgjAG6dZ -1bJdG5ZX+VNlO/1T1AQIcX3uVEwuyBgjjEHItK5d8oHzCUY4Lzp3GT7VFpVd -bX9+xIUREc3D6NJCPF9k7fTa0bHa+yl+qNwcDurhnnBaRIuTY1yKk2NEAPEQ -q730xrAP2gjob7mocSsK6Id9Af2vexyxOlb/WSd81nWw2cG9sWfd5U2se+hH -nRvs6nKDh+6q4/9ELZ26Bj3pNKhjuO5Blz6Yyl58NXRu4G07vQyxYdKGz7oX -ZpHrhQl/it8FnnlbyLjub3YA/flGyg8mO2JKRszTw+fQ+yywjjcKtO85EM+b -iIz3DIvnxxOODZcT7LFBSir+YVTA2SojeH7E5+zygX9WOPuEAS2c+6UI56GB -cB7JOF0RzhrtpYXz+0csnOc64dxwpi5UVPlAJuDZwRrmA2PAge+iwAHMQGUB -cng9FjojyF0vQsErbteLrJ/7a7dGKwfk0OTpEdrnzAEmLFWs6zrNpynhDOZK -pE5Xyk8RznNZOM/ANFG+7TEn4byZhTOtc58zwnkgCuf/jnLC+VBAwEPqNO+L -0oTzJhbOq1k4I/kOhXMphnAcbzvMx4i/eVPgb94k1LtTMt3R3HQ6RmoxFsAF -itewS6ODADhSO12cS6OpcmkkARwOxh53aTxdIvu/QDiyw9hBOEuRYiicV5VM -OE/dYpcxoYupzbhvqc98zHcR6nREOKdkAKJOpmzC+XYlnLsr4SxZgOvJqdFZ -7WaCYTMS72xn+X/Y2/wDvjeHcVnii0y584AbIpoZtiGiWTszLD1aABvVkkPm -BFxDC2YsQIR3qxHM5bh43OtmEZKd7mdx5OjVnu80pEf7gjnpZX6VGb++Mkkv -ReSxXgVei1cWP7MoEiIabL9InBnsJ9UIMRzniTND10NfgWpkH0I2QnSY8jLf -qGqhLbO3tt9QN0DGeVV5nHexo9hFBsx6pPd76CflcvGEd3mWwoh9FIFoBGLZ -jfhO80Z82mO61a3Jc0Gq5JykNuUnsE4mytjmILQlUz8QLlfWKVMC4TzMCuf5 -/1pOUEXed5BJaK3deZBRSP8spTZIuC/upxk6OtZ44cKYmyMEc+gyxle9t90W -BeSgrOoQK6CdDXpA/H0n0HNbtHgncmGk7mVn+Mbz3BvujbcX3nhN/Tfefmky -l5+rZFW7q5+rtd2TcwNZMCyeLQfGiOdfh12onBtx6MbvaLMv65wbyvrsvenm -hNZnN30GAR1On337M/8s1wQBwvwTcmH8bISXJU6zeWOTNW/E9XNo3ohlB6eZ -y/1dlRsES6YbPJM18yVudJEORckKSlm5M2ycTEA7djrfYP6o4E0sm+cGILu6 -dpJG1RNaFLQugW529k2rm9cY3WyEwcUq+FR9FXQiqgqKlVL4xnSuInWzCIOS -6WYUB6Fo5mLEJh9sdFCN1G301+lTtZeT6OgOz2mwhhYHB7MHBFMqW+4JgAEh -WKPvtUoNiFOjpQNrDElFR++wFmcrmmtQH4QQ7Eoumt/0yXUM0yDR/GRm9w0k -mjG9AO9fhGoo0Tz/TPTzwTHdwW9bXzQfZ0TzsUY003mTipa5m32YBoQCXyuk -1IDUswAQ8nHO1j68ybWO34yC+SzK0iJIQ4oQa/FSpiG8u9oF7Sw+SyMcNt+s -SozuNLo5HputuppNGvikHKjAdcknZR1paEnsYlg3J3Yx/8+6eZI5Gm8Eunls -im72ljF7I0cj0M1FQR6LoZsTrUbXOP5MKJq1rVnCgNadAceGeTSIhBbBzO/O -UDCH4b/3tGAOa1ZiFSu2LTw99Gd35KWXJMSyG+tpNZLmyIjbmC2VrrSeMGtX -hvaR+q4Mql1hDymwdy+I1a50Z+au4L86e66MPezKcOM7oz4uvVTRMiIlF2rC -bMd3QqNL4+w+R/UqWoEcRgXCJRdvVATvaE5OLSNCygfF4GV8C6mA52aVTrqW -tVb2pnlOK38voLlP/Yne1tUnexhePdVza3GZ7Pn2UlEo+ZuPsSty+GpeUSLh -7CbOcy/+NDPXvOfgJ/qJuRXAv+eMQ+qnWna1ett95k2jV+T5P1mxRet2IP+N -p+0cUyPvvNDK4S9HtID2GoOK6ObcFrYGiRO/2q0evc63b+jps28q2sfZ1f3R -BYnKrd5B5PL/3q/ayPvqfhYGvER+ur9r2/PECok2cpsbVN2I0tGSIG9Ep8/x -t922z05Rv9WTirY/C4Gj8Bj8ba5PsW9o+gbc8OH0eRrvlp16psxgaHlG9cyU -OrE7D2BCXS/OCd4jxRHWswlhpzzGBJzDvs2LVYGELm3788q5mlLObqSWUt4m -qC0sb3uZlLPqWqlnubZ/WjmD8nHiWSuEIsSzN1mjPjc7WTPiOWrXeN7vO4wq -hHDqHGQEb41kBD110O2glxFMo2uAeJZ28ee9dnEfAe3IGl9bsobFP3PnCnwe -4ZOYXTxPUeL5VfyESS4QPlvfVHois+eGazL/HXkhXj3w/iWyhsM/ZxfPx1vx -vL7wGG4Tp+P18WY6UtIkDsdoXCEdH4A8PsfHxqPQYV3RqZluNhFQXj0wA5qG -jc8Gx2MdkNHTcc/+MkYygb18moa0iDNNo5b3qEw7GkUtY2ZZ4IxTztBvuPR/ -rJzVufizyjllGxOLAGRTzj195ZyTM8Mhn9NsGnrabHtV2KLBixqkzjVyXSq6 -s1BPmkU4+9aMLXbKnNWW4ZEy1qmulCD35+3D0xDOYWfKu4kp86bEDlzzwEIW -mOKAlRnoNTdvLd83sw2Fc6w/5W7XFsfGUSuc66hWcA/nHFgzgFiAY7vGhHIO -eV8314uM7Xy8XLKRmZTHb9L6PSY5YT4sBRU8tkvYMTRGLhjdOcV8Rqp5dIcm -YaxMVSC5IEGMsFUiJIfsFye6iTKswpUYkXEeCZJjLVL38rplohbnv8B/XypQ -y3Oqr8TfKDzu4CrwMIj2kae7fWgErft9tJUo7XcbYhFjRujwwZfs/Mnm3Yip -5hTyxrnB2Nl2//Rwng1lK/J5dXpDItlV59dAG35A2jjQg9vEw2IVnVnVQcEY -p24scer+mBDmVMv53ZmJzsz4yHkfkFwWFs+z4UzPJ7swahbV7EzPx3im5+TM -2efV6XZDUcwSFBwfUcwv8MxMm5z7c4GKhAPv4oQToQEUxxmJGhdYhrOrOG74 -/6CWewYL6Egz4crnA7XMzk0GbNVbNjGLWibn5p9Wy/lWLYccutDc3FrMzeOE -4cxqeeQO2w5uVUFA1OgUEDUsNUCPm/9MMDDm0WjNLDpuKkSPBqeaxKMB8X8X -CmRzs9A0LlL8ZlbLi8qtxs8fbN1gULBSqeX1nlomisYWVMsjEBgEOY1vKj1u -1PLVmZ9QLZvj+76Ym8+2HLqSquVlvMr5aDOtb95jyxPkbMcWJk3N/flxeZ/5 -uwPZc6dmum44yyNouHKUevZ4tMuPBwFbrIs3gMd4zdgAvsaVowDSvAZ6M56M -ezOKfTSmZnlIhm3gS/0VTAnUcoup8XPRxmso1GpZSDNFqOWQNAPnok/2c6HV -8n3hSzJUy+9HyzxdEPCA9WaIodkPAe62Y2atlMeXQCnr8bLPlFPqoyIrD6M6 -ForqyNNeDHGO6oX3/MSczqlkGS+HXgw3Xk7Grdx42bVO+H6MaMyK/RjfWb4c -K+UL71YRK+lUBrVxI/Hl6im+XIN2TimHzRIJd2gD5w7V0Sqh4oaEDKU2qD/5 -Aqs2DodUXFDJk51KFiKG9WCIrWxm6cCwHIlXLXItgS5eFVlwx2d0tOQ+PTqm -2xSQCrTwkIU3uNeWKdMoiJDmdcvimLmUlcjZxsxHo3A+/thjMjNrrbZ5VfMT -zoXfsLlYvdgqT6E91kt5suIvwl/zGmXj0BPoUEPPU5b8OREfdMh9meL9qhOI -8YTDSL/9AvaLBm+Aw+ich5OhwYvFsuECg7EYqxcWbHq5s2s0Z7sGvPs6NHTd -9DelUOoiy5JfB5lf87OuH9OyXpBSx2TECRUzf2gqYqideUtiI6sSFpyTsiUJ -7PfYDr4sZtn4O/+ik0WFoX5O8zyHgUEYic0zenmu0s4fFDpKnZ42j1fdKCN5 -14wBwQLwOItP42QbDhR/8+0bTmEYLZQ4MMc5v1LE21zPaGeCaWi0Vkl0c7zM -QcTBo0ocKLwWiIOVXFcs4mBFmjigBXS6bv64BP6MqGgGXX3pNA4FvlOodLN4 -m/2iwRAa0N4DatCkWfef2GCg6nfwdHNJg4HCceZJcxgM1ECNpy2J7kePRCci -QLzNb9X71sI0YJ8MrWbQtAD4K0jxW91cJtTNs1g3v8ufL+E2j2FeEIUBvyoz -2Ojmx0g3v3IRHl8XCoTjyrEE1M1nsG4+LVU3ryuk22Gp0Oc2C0SDnp4YBiyU -rhOKBEiTt5QK3orkOeDO/JPt/1WQN0MAjdpuCRPlNevuzet5whzjNesJs+o5 -kSBgUCpY+zMuFfw0bPIWZvP4QDdnOxqim5nbjEejJLpZhWXhXBRTN7v3ZEQ3 -83uykwfTiJyLInSz956MbGDA9+9ZmORswBamrYM1P3XFgZycSYw6J3vG8+qJ -ieAMOC7satIBwIkRW0Yi+BeE/qi3U4hyVCmEgAyxY4jCCIoBl3lJK0lZfRIw -mOEoJvfa+YmCwImpk+XPbegvRBMMwhITq5nLJEtMtnl0OZ2qUq0SibLAoJnb -0uWYx4we5kbWkvFDgCPQKC/dwoaBPwZkkB3DfHKGCoqAp3Ojz+HaY9hnV/Sn -c6EZNBLwAw8GNmwHIIzdsX12EOrzmMprTgwnc7kgLXLO8IleRlg4ywXpZG0M -/SxFJy80X0ZYcYvouKxe2RLbM0A3T6+7NjOj6mb7cybui48Xl4G0dRtVoU6e -eRXzfcdRubiNI+TAJAfRczLx0vm0n3m6dSM6gA4FdIUAnqgsG+FzUMCJ5Hem -Hkz5adPwWYpSqNl7Xwvz027ZmL3ODX1rPnZfqoJB3cnTz/20kf0iQUGPUifi -mUrldc8lUerKqaVJXvbhc8h6WVi0ZSMaGlzrZ1ax6Rt+36rpmywb9NsOC1NE -PM/n3/FHbHb+IBg8h0ZnKUYZwe0NYnJ+kstQIBz48EZKOQHK+RY0OEPV8JmM -ca6oEAHVlHCWtNOltvikFTs3r0jgtW7ANgc3VbuNhTM5N6tGp2oDWB0Ewhkr -h7U6GJWiDv60cJaBMwiEukogEIVuo0fTaPauEgiTlXBmf3Nr7W+Ohp/iTcQd -PaJGejCw20NKJNwbiISigoFqogbi4MlW1HwmRA1QBB6Jjv3NXmkgN3TDLnmm -Ec5zbPEJCeclKJyXpQjnyZY+Rzfxy7jbxdu3zDOZbysNyOw1wvnnly9CpxXe -StOpE9TSNOa5wkA4ilY4ryPhDMdsozlmawuPsYUncC3M2Uy7GwkDStmJRjZL -G7dYM8jFdDoXncDxOI/flTVSgoBXqtJ6CAJe4wUB9bGot47ek7SHUf2ZsodZ -w0HA1cEexiPPqTZuS55LHg3XoTk9fjQ84bzsiIRzsxTh7DXXm3NxVYRC10H5 -/uPCOcA3pwlneVCqgXM24cx485ycdzxkc2jNIHqGQGfcsPkl3s1IGSC8M2Oi -eXIgmqXEREgZWl14GGYM/MEuZ71PyMAhc4BgRk7uwkhpSdimnW7FcIE/H8Xs -mrX91j87klNJqtDHvJV9zNvEx6yCfzpJ9X2NcCzni2Zh4O7VykL7mNspZSEp -Ko1i1mO5/s4E6qwYoioIv0yC2eEHPHaXLo7Q7K7ZkVV2OIqLKgmFiwuNyv4a -OxeNF2f5k7jAcqE9oLrFWLbaC42YkCbjubZLohTGpcHAdmm9ckcgnI/NvHfJ -eiSNQ6Gl2PTlJ/5BQkhTvnWOdXXoAvn1ETBMNhfSkugkOl4k/14CDON+9qGL -I/ZGHMzkDfM+LPs4lVyWZ8s+Cmhxb8j0WX7mGvesXEeXXGPDgrhLCd6Fe6Wn -B73ODehdGDiODkUcR967MM1xZCnjbNPnt6F1HL1dDI8+/ORDsjj/5EVAR+Ev -Ip6leyf7zz6BrNON3drvvFSlBT+x4pkQz9N53RyK57GYEKQR2vDAsSE4Z+1v -BpRz942nGuH8D2vaRBTdhguCVGAD5mu53hNfOHdMEc4ceVp7Z0Q4E0UjLpyf -5TTgMKUOkoUO6cI5RR0UIZznOeGsm7ZjNA3E0IXe5je+xvSTQ9Ftc9M1IGpo -b3OIcTYioaOerj1+MImjK0Z7oBcOjCSfbOqJjZvSfyJuDWkO1N5mHQx0rYFf -4GdR45vhU7eIm7aLFs5M0SgtgcAh5nM0yAjn/pm9XTplfnnpQjy28OZFb7PQ -NFA4n5UunDeQcN6ghPMShZ+bLp7mguPxrTmS4wBDCuiYwPuyNxua7sAwIJTS -/4NDs2RkcmFAmjY7OmOInbs603x9HDtni+ftsXjAiOaHLFxGcM3Uqp2NoKGa -tVeMteg5mwb0uk5C4Tzn/0k4p1Nmwk4gCczGhHPW3pOYcH4oi3C+1TVqJjz/ -nbEtEI9GR0U4b6PCgHw8hjQD+38wbW6y28PNUcnmdx5mTrszUDQLXk55mLUz -A6fMlfMjTX/ZXBkLglKJtNGbv7+Oj99C/3KYnlIA3DKBM6NslvTUBUF6SkRz -tl02iubWnmiWDpP9ujRCdtnQuGadGXUdirk3+5dlFCdTZnZl0JTZT02FvK5f -3kmZMGdJS3kkDA90m+y3tqyu9X9zYzdRDXbsdqy1YNDoDcoijvbGb7pxTTO7 -4DPolDKN42aq5jUB4DY9AuVcyijnqQ034uOQWi6/IAS56u2Zrnp7UElfVKis -HZuS4VemxViHkq2JXxOpiff9HH4A1uE4YOMSblt8H4eQYjTDziloMhUOCRS0 -romX370w6+Q3r4DPdrPifu/0SGwfOJGasxNJWi4bJiHPTIU5ZKkwdRRmQ6vn -alY9I2ZDb1a0Eynk1DFqA0DPOH4G9QxpwRl5XP2efDDShuXMxKPR+Z8j6MRI -c2U4fg79G4J+EQvSSl6pyDplAf/mP+akYGz0PNHIgNeZr6E9G0MK3Nh5AM/T -9Ni5x0ZqDySmRlmSBxvOD1KBSTJtmnKOwQLqJAqE05SzRJ6e5blaUcpZ5IEo -5yLmasVQzp8Y5fzxWq/4JJtylvGaTT9NLHq85sbOezgZ6MbOnYKxc2oykNkB -Gq3hJQOx4OFgTCX4fg2RB5drrAbFnHTnNvmcHcT5vZoqFXh+wREq51GZz0u/ -SGUn5hqGRe+3lfpl9nXpiMoZWgKscv6AtkNwNe0vSjljU6AUnhyTWVxIj0+w -PElL4MQCKjsRf/PgAmLPweNSfBq3bQRvM1XSX5cfSwLyuDn/MnMsZNwsFqaO -ytscxzLqcXNVLjm5eG0sJCvA5hhDQ21iVuhubYE267IT3a89y/z9j5WzrGIS -aVlexbwmadm0UiDxMPlw845BKvDqUDn3KqZy7sFw85hynpxIA+pe7UGXu0p6 -os7w41I8GhgH+N5DZ0zih+aUQDVPT6hmVgycppKZWwLEbOskNCZjEddIxH0Z -Sabcu4nqiLTEn4yZY9TbrxQuI5ufOZr8u/DORPLv+1A1XyIOUFLNHrBL+Zn9 -9FTIG6AZ3E89A/fnUwBiruqPmV8BX8a5ypcRJ9z6ijngC7BacN7lMyLeZa0S -Tk72Eq8/wV9SFxybC0O2nLzMps3+mG11MGpbzuO2xWrkNq/QryeeHQjl9xVu -4B0WE/B1bHxJ+RII5+OtcH6rcQHzyKHD5yssvtR18UZI5+TCutLctuFIOq02 -PhEyDAOG1tMhfo6gOj5vYaJhRT8ok2Nobe9XtfEeOeYFtvXL4xGOQYjd8Ht8 -vpPxM5if7U9fV8Vf68Rzw7AlRQovuSKeKTH/SbEkHXrAYTZ+DsKuv6SFBkdL -JXxlrIQXTt3ht7ivJ1EHH3o3Uh6QVkD7xZbJ0Guksrs44+fNx3htgyt5zeIZ -nz3xfJxneobl8wQGO49hRSCeDUFrPM5NKDB2foAbBG/dCCFBmKudwUiNSmoh -Xd1zcpJwbpbi1UinbGnhfHHICrBOTgbQrYotpF+OLKQnBMI5ba6WJpyXZhKV -aFgXaFTzR0nVHLI0Wry9BcQ2+TXUXjrbeE35NdpHTJ0dizJ16rFzTzV2vj99 -7BxrD/TGzm2lAeWAUQeUfBqKi2gCa8ESemzj7z2Is20N5IAgsujO2cjNJ9mE -8zvcFDgB3/Nb8kbyjTyUPz8DM1srPZrZd33HzK8vXojbImd0Lu1wGth8Ujzh -DFfKokLiO37IPPTJvKGx0OYC4s9JQ6BFaGw8DWu10caUX8ljz4XtgMVrOYkd -CbWF4fJMCQUmarXZ91+b87IOoeG4jD60WbWdLFUWpiVcr71YBQMXMYBu4ZKQ -a47COe7/jwjnd7II59eVcB6TgpkZVgLhrM3/PZVwDs5DWmgWwc3XRTAzYnBu -KY2aDJ6TehOaNefkfIzC2Xo0Gm63JUHk0UiKZhk1a0MzFged6xbV8XrslcXw -ZYRNfx8Uw5eREv5LdK2FkC7VYlLmMaMYnKGZIlPSU+yLZmn/i+EydtYNOXNx -9bBf1ENbZsx1jgCZ2ZvxX8ENaEzGs0H4j92ef3BUivAYOibl0Bj+iPmfVjDD -FsyN1mi8/H1gXrY9xKsDiu1610AiVGXCCRzrQbicW9kxLxI9xEopf1QCpQyj -t0nmkwj1EDCCa0jKuaQT5zeabsaf/pv1vsWbAo6ALsMEMT3Va5EPeOUooul4 -aAxjIit7TuDpsJPotYGfQ9UVKu+/9nLQFPqDYAotx0Q2MfpNOUo1yEMulrGL -ZQZZAzQSOMC/UfERfj/CURDzc+jrF9SiiGeqGXSbFvXzvyzIwIJ4Tnsz3q94 -5CyeqZqb341eQ7x6O7Lx+Q/FqQvb4X+J1AxqAS3TZyugi8FYjDHrYulBLaDD -um4Qz+Ld0NQNMPGniecpTKQdz0vo0ezZeIHhWs/YqfPJmT7cH3wv+zVuVk7O -6zdUMOLZjdZAOHeKCOfWqcL5xmQXWiCcL9KVDoGTs+aqwdEuNJsMLNFCepa/ -kF4YRqCscKaJczbtHEtChe0nJJxbjRe6xlYlEqABZbulaxDMeRftpovg0Vmz -8yNxYm2CJJDg0R3KPnWWlOAVkhIkv8aLOFKDcRqpAgtyrv0NGZ1BOF/MZA0F -cYbPFtzwsDAT4QyfpXwtnPNEOA/nEdYgXHptrfRIZv/1HTK/jriIcgpT/YTg -j3OUcF6cLpyl8QTmMAvMf/9RoUsGTiqk5cwofFtS06b4NKTphGxMp3MqsBzj -GS/yp83rG7Pvv4U5Di2tuflya25OCct65mb9lgymzas1d85Nmx3M/BVH0/Aq -Av1FjFevHQjn2lHhvNwTzrUiwVlXQR9sYrLwGf06TRHOsokJhfPerMI5bD45 -UuHMqVnwaui2E9uo2fKgTQVKNSCRNBxzDo4HeTS+wyzAmwyg8URz9RTRfJ4T -zVSRDaM0qItw0agkjDk7m8v5Mt4PaiFivoxYLUQR3Wp50q0mIzYlmiupmJTm -DIT1fyVmzF2W7FWTkduNztAMnIH/3hfxZgxUdX/DXJeaR7OVEZtN/imW3Ad5 -7OoMBbNfe72TBbPn4tQ76Mj+2RfL2oOR7lbW1Np5EXJtUigDs76UZXK9xWrh -DYYLSZeadELUL9HI+W9WOb9+6ee2FhMekABjgvOASrqeUtJ1vsnJBS1trt1g -JO3Xy+txtFHSs+DcgK/pgk1+GKBK6IyOA82p/UfXy8ujM+aGFh8H1anII5Mq -5ceoGOEIBS4Xw/+Tavws8cGHefxMydjttkKe1XNN2bp0zuyud7Vq9cniU0ps -XOpF1HMtOguPanM/q2fF3LD9grbNx3c+e20+U51v6WdNkuFzcnBW4N/g8XO6 -f4N8TN97lSmn+uPnoLTb8zGxgpbo4Fr2Ln3mqWd6WIa+jXf4TIB9M+HZsETn -E3nsfBJ2CN4vfg3ka4ByPtvCA6618IBarJzdfK1tkcrZx9PqpfRFNgPVxyrn -GsUoQ0supCcEC+lQOUcW0lLskHXkvMbIZiMRZrNEQHxzXDYjXGPy56C6ndM5 -WbqN3YFAcvZqUAKloNh0HZFNJ87Og5lO/UtWvn2XZmz0iJRvSzGaAm85kvMB -tnISX8Ny6Zji/AYvoL3eQM2kg/oTVs4rUDl/opTzVJx14ecnb4zqCRzC1/ET -ma2V+5ByHn4RXmMw9/nlvbJoq8KIoDmKP2BnYFw5QxtWYSGw6I5lFt0xmfnm -v5/DWMepjHIUhzP6NBjbCJBzeFDejcTmU5DYfIOkZvPPU8b/eszSSI6b7XFY -Hz4k1bg5Uqp9kRo3235A+5gsagsTM/6H1OZpR6ac56Ur58Q2pkTKOfQwqfMQ -df+7Ps1O4UvyCJVzn0gtkPiXmKfhiuhVm7brOAFM846cnDn2YSnYRmBoTOXN -zHva1Xyx72rWqnmBdP7Fxsw2AyW+DH/xHJK4/B4IrZi1LyMZ//MVcxAB9KpM -nKPZNRKrGKBSzX4jcY9II7HKSDUMCF1aNcOouRUsqhuQyxMzUvW9JmLqguB8 -lPJm2B6IF5SzE9TB65VJGUyqEPVliJPTztF0YdoClX1Sc7PkzCy5cN4SujUV -LMCp5WMtBMO6lTnXJ9CAOZx9+lDln2SkPFXRayfxZw9U8oSCpFIeze3Dr/AW -rt4lFYo/cv4rCufjjHAe2+xLwso03GGE8esNtpubEW4NFNL1tZDeyiNpv2ve -G0fzlkY3As2ItAJZc/S5qkazktrclF+LoXUo5dKl31Kx4sbQoY9DQ+2mBh3z -r1sBTaiZl3gzIwwO8m98U/YJPCZbyyvzM7M3NLhuhy3qvolrUuAhGQKfr8zs -+TcnY0U8h3al0LdxNx+JAL/4i7YsIXMjWYV5OKvxOVnSLT2DoX+D4oNJHKPv -30h2DurxsxXQKfFBD1zHXBk5Sp8FzA0ZPQtvYxqrAokLvsbL6Fc4/zSUiVvC -2JBeh7uZunWzpW6VxdLt6GI6/88I53tZOKs2tDADtZKW0kLc8p2co4/QyRn2 -OmQXznU+ZoUgwnnmBhDGsfFa86lxoLNXum3Lhbl4G4WCHjsnOwRjZudUxkak -R/AuGTvfTmZnEgyKJRDup3WH4OUHCep8GfE1XkK+BmSfeKZ2CS2h3w4jggCl -qwK3f1HC+U367KBwfglvZzE4wxt9W5XeJJyHXZw5/GYFPKJkdFZsjblx4fyV -Fc7HIMR5Jc9n5hnhPIu3M2/zjAVuC+fToPRsH+7UvAMhdKcgbqbLhnL2HXm1 -V3PSxI6b24Tj5izm5iS4OWBpqJqTGIQu+ZYEPmOEp7E0G8DZvSdrs8G59qKF -nnCukUU4h7HZqHCOVJ+01lC6aKemMjqnxWa1cI4U0ce8S8UBnIeRWRHOz7T4 -T07OQvuW9MfNO3nc7DwaYmwWhsZ7kSig9mfIqEyPyfSYORn/mx/ga+O8jPyU -4jSfvsXxvzxpHw4xtjJm1iQux83QhuatXgxQ2BmsDALR/D2L5p1cCQEKYRcr -hN0smvcmRs0imhv6YIHuAaC5Z8DNEBqXeDOAmTHajdNQDTAvw6oA5d7Ugll7 -MnaHgnlFAJJbc7JuFPbcmR5Zq/CYxGhZu5VBKC8odKQ4GisT/EKE8vsMC3gn -FMrsuxjP8adxCBD4G6qC0YyvfZmFMozXhht1ACM2KE6rUxLhfJQVzqOaf20E -85jGO82N+aq0/zCZCd6WyDYHId1AhPQ2HMUkhDR7O6bW/srjN4KInlYtMo2O -eDp0ujA5iTZHzIhoMETrKbRYn5yPIzRCxwT0WD5Gr3gGaLrVKD34rbnZtjK8 -zjWnAJdRvBuQlL3Fb0wBv799T7ZjFqMC1gXschTPnRsE4rmeS8iK6VnY5Tx5 -/iUUzwKsG3kuHRUxPk9g47N4NwLqsyegQ/+Glx48K8kzj/k3lgf+jZW+f8Pm -AmLeDRUIiIvnYy2sbgY7ON82f28xpnacauKGpOCzBWR2fozHaz3Rr3Fy5nas -eVCOThyxxWsersr3q4R9krMTzg1ZOLvFNCiFEB4QlKGtHKSSgS+wcH4Za4Sd -cC6Ok/PIhHMtLZznrAPBHO6lk00o2q/xJU7Yrpyops7jAqEQMDa02bnDkBSe -gCrg1pM2MXeiYHgwYnbmqTPxBA5iKqpXEVNnjEJJSpBVAvE1yK+BYDpeRL+r -egPhcwUwAOwMLLMab32wlaUL59EsnJ/HmxmWv9+WG2Bu3l4onH95vmrm8MSK -md8ml8ejCdWf4DAkKB21oMDN9b0nnP+GxweFM49slmx2yUBrcOaCbcgACE+j -Hy9h7uVyoJs2nIqpQLAugeefjkItN23Oj9mWOhjRfDUC6KK2pbXSDXi31w0o -x6HaagrKVl+lFzD+tDl6JJaPS9qXlkYYjYuTDdtWOIuFaeHSrMI5xpvRwtlr -B4qZ/1+PpGa1+Z+Fc/whecDfwCjjf9deftt2sYTz9UEzUDuvGSgnZ3Hm6cv/ -kxnc4j/JVKAF0EknoE9sRo+Ggs7FKM2haAbiltsrL084M5PpP5gy+74MTdoq -isrsT5njZubQm/FtGcUPwB10MgXoRLMqzo6I5p1aNHvNJiSa9yVEs6Jx3RSI -ZmQJiKG5hqMzD1Ftw69wk8lrlR15a7I0mIRGZiExu44H3C9rwRx0om0Nk35+ -yk/1OBzjuTE1XlkzMHSsbxZnNGZYoQw75lKeSflNnAkc7wnlVznyJNPkl0Uk -87LteR6pPcc76cGbKO9R85KKRyKcX7ocLBjmVWn+OTgiYGUa3WRXRExzDz2K -6e/I3mFENNk76Cj51o6vvW56LaT9fnoR0UGJEKcLvUl0Od8QTe9UnSh0Ng5t -hPYF9JsWr5o0QAfTZ1jSlOvP1SkCrtPimY3PWJlC4nmnIDf4iMjk2bdt+InB -pHimYu5Dkclz2CuPto1h/8ocHnFBSmoQCoAqUGUKT58Pv63L5VPsG2F6MKQ/ -zwumzyCglxbDvsHWDXvcEtgNlxoMbRsCq5vJ70/f8EyQupGsEoYWOLNzP6MS -evOIjajOwBCQNuEKuJsGV+fVIbI2/zLbj2bVghHOzYxwvtSohaZGLciIDWF0 -RjgLdauaIDXWhi3CQcE2G5xrcwSqzqfK4LzitaST8/9HODuF4FQzVaGwcH53 -cxRMl0qvRaqznrJps3OsfNtfUcPU+Zq+kaQgT517aKSAEg2E2CDRAJVpsfLt -x9TU+WmdEmTq1ujGu3B2MF6MznXI6AzKQAq3Ea0BNShlVmMuI104v4aUH6oM -HIoE52/Kmnd5+f6Zbec+nNl/nRHOQ6tlDk+olDk8qQLC2OFWO8QJQaQ5Qw2K -J5xPwuOzZeNxWASwjl2BEJuZy7eOGJxfK1Q2jQJyL7lU4CmZHuYNCWFZhNDl -V0mUardf38S2aNIxcNPm5l5NoOMyOqB5Wk2gbtAUc/MgNje7abM7Ekl6s/eW -5GmzR5pZHKk+WTjPD80eoXCGM3GZVx+YNP+3jpj/LVrj5eRD0uFm9idxM8UU -zndlS8uKcNZ0cymgb6nSsq7vJCdnHrmXBHKuMBqeaGZj83RlzxDRbAnNFV2l -SWjNWFE6Pc4UQ9OSkVnbMjQuI0Zllinzi6lmZilMc5UmAzxC8zapNakSpgDV -DlpVm3wvasBOmiOm5rB8mFXBfjVpPmBFM43TBJ3xs4jmgTxGY2uGTgBS9Z+j -MjtbRh4GkLWRGd7n+z5RmAwWzGGJcJj/F/Ol19sQJPw0dlYmy/5U+ThvquyM -yirNZz5jb7D1wgllmijHhPKwiEgGNQCjtKdYFTzOY7Xq9SuWwORMyvlYo5xf -aLnVqOQRl+411yTMXOCR+TJvaEZyvSap6Z348Hy1sVbSMpKmMwWPUWuUtiNp -P24oStrGDKWwXnk67Cj6PPJzeKboChQqIDbHKiwhyO7jyKagx/PDVAzQL3IB -/VC7tcHHp40O9nHcDaabg3qWwsHv9ei53jUJ38Ze69tg83+rYvA2iqOeNW7m -JSob/F0bnyPeDVvYrWo0Pf9GND4Y4J95/JytdN6LD4ZJ24h3Q4zPMnpeGVHP -cwL1PJnLBcWz8bJqFn5K4HSbnF8D6FvdEOl8lspDxelb4ci5xfqrg6IHrZxD -GN3DQdl2aHAektGNwnW8DBQoZ56tLXc+jXrL2OC8NO7kdK3CJR45g0poyCrB -lm97HAG3m3Z+DTZ2jk8ZO4+M8wQSGNsSeDZiUUEB1CUKBXU0Su2pkSfgdtQU -E2S/xkiWCvBpI5lAEkFKBIWtgVC6MmtQAjjlPDtFOVM0EDdaQJOv0Nd8Qh4y -yrl95pch1TOHzSMX/RpodKYNkEDpEsrZHJ8vlXJey3OchbyVEYMzHImxfBzg -Gnm6gI6CiwUCvpkekNfZB2RV5fVvFHAZWxvVfJV3DC4zx+DfIYBuneudp5Ts -gzYle7HFy8SqgHRSVlmXPg2tS7FYoPb9u3FzUjkvMH+LAuX8qTkaK+PKOYKc -scpZXpNaObPRObGGUUZnKRFsr8+Cp5x/CJTzQTwHsRek7QbKppxvCJSzzgVK -YeBii9R43naeELp5jPX9b094NKxq5vSsN2oOVLNvaKYIoI4vrUzEl9Ia0bQv -Ix3LLJEm8WXEx8yDGM8cdpqwNwPdmhwDFFxtgp0BpQ+Bqbn2jdSa5hU/KH5G -05QooKBrr1NRQB41+6pZxQC1oZn7TMSbgar57XK2Vvi/esyM0T9aJIeKORwx -e3G//L+5hbG5tQsKgIlxrPViCGY5HC3P44e9eDCSY2XlvyigCFOolH2D8olG -KZ+I7kzwXUCZgyjlZ5iuJSr5CVbJ/c2nr5/5gyX0IzxPq1q/UnTkjAXcWMWd -c5qVy0Ou/A6nLHBIAGYK0fLhHAh4Ef5EQhvpLBI6Ip9z4USZKxdeo7jGYUOH -qGecQZu/yXVTXNE1AuXsmTiotVDza+YlZs9i3lhehGp+38I3NmLafSKvc8S0 -Ec6cB/lxwQoM2wC3MxTPQ4mmnTf38BUznhPdAcRn5DJzRpr/m/HO7oz8R+Gd -DwhjJoRsaKfzQG4X1D1AAtpAtzNHaMdyRfd4VswqLnh4ij9ztqo5a2SQSzWj -JfSxyOCp/npnFYcHooaN4yzuPF9R6sSssUAp5g/Zwiku5wmFwayZVzBPMJGu -5yaBapyC7uYuOGAra2SCxAIBqMEDtmKr5ZttuwPkn2raLmFm1MZczcFQLUkM -cNKgHgzUtFpeml0tS+6pVnHUsm4+mS1SWTk03g+20V5FmvnTg+bXvwHF7RHp -ZLamaobbJ9C1oVFDzZoHHIpXcGeh0vkL6oNWLfQO1ILMmgfijO1A5tkgGuhK -UKg9EDHO5oEPnyZYiX3MQA3gzcLKCz45cN07tfw212yPM2pZQBrP4rUM5gxg -9nx3wQOklgfXyBw2RxRMGofF3TydVj2w3oHjBbinhFqGmm0+Ist50zmbj8QU -BjVqc8aTfAwe2sQNgbhuOcuSzOnBWIP7f9ijtD70KKXFAMOSk3syNcwxkHWL -HwMcwDHAgQFTRijmw5VSNsfhU712oRlz3URCNli9WLUsKdlQLS9htbxCqeXV -5lisIbUcxZoHadlQLYdR2WzuZu8sxOiM7hxc0/+gMytFEM5Z1bJy+D8SOvxV -HNBzZuAZ8Fs0BS4j5AzYu8B5QCvzxej4z8mZzkp5Y4pShu3wypTZlsyWtRkj -Xnt2ZGaMEMcss+WngtlyP9f+J2UmCAZQtdkJpXyrK3hIi/8lSHPpW2dQAQes -Uq7nlLJQ5h6j+TLe+lKXDbc9YLVgNgZdwm8SKOPXqYoKP8M1/Unof998R5Mj -9DI7l+Gm9jbDshWGuVapTKG5paW+T+ZagsMKWbIAv4gbL473ZslvFjp1/Bpv -jbXh4iX+lL2g5shDAnU8UCnjAexFe5RnZb1ZATzMOaf7sdjh5MyFpJZzSlmJ -nG3OfBIK52OMcB7UegdizmE9M6T5D3h8hjKEZpgnpveKmM7JBSltrkkR0+zq -wCT6GHPUyNWxg7OG5OhwGUOC15Cbw7dF+5bo0A7NsVyAciSiucmUwXLe/dAr -dj4zorT1eRqzoqbwjmcCl+JKZvBFV4yLM2d2bJR16ll6un313N23OvO8WRB1 -e5sq9WxemPtttWDQy63V872qcl5bnfW8WdTz82FWUKtnQdWpmbO0asrMWVwb -PHNO9nVzZlC3bM6l/U5aZlAr6Kywmg10NnHfY9Uz7Xr0vHlBocsIis1ZnBqv -q1mzWJwHSj/aJmI532mUc3fkOJ/OwNrKUeV8lVHObbIqZ5EMPFxb5/KAVbnw -xLkzBljWVi0hBqyMEQPGZLIVCZN/s2hiwP9UOSctGgLVSLNzJiACYHHWm2nJ -B1qnxj5/1pyN6VxUPtCbNTssXThrfsz2b/tIupeE5cwlKOLSeFcKULA6kIAa -8Nmhvu2l+JnRyhnWxsRufoXzgIMJP1euv7mS+5jPxv2Z/Z3bmeNrlDOshCZU -RJgq2pvfjytnWNd8w8oZe7aZQQPrz0/Mn9iaJ3FUdiTyZf5mmc19uAfotg1/ -N6r5NKYxVuT+H1cP2B66f/JTsHOeM+OmrM6MqlmcGTUSzgyfxlhcS7NVzfox -mVDOgjRn5bxgCR+LP6+cdVbWV85fJ9jmVwX2Ztq7FI1n1PuWkOEc27XElPOj -AUgD84BXCHuO3RmXuSDgGG44CQfMU2t9lZMzC93+GjfnoBm0FQbVjOl+uJXz -VqfYmCGUFGtoCGfLDpKxkRWzA82muzE+TwNloGLWFmbzmEXVzLCMir0zWytH -sn9IBbibsVraldHdWZlrO9TcrvrS7uCqAF3Q6VKkBOxjxJZDzdV3qDlWzZbN -LJi5wezNHK4Qc2hjpltdHBkInp1O8y/ZFlMv9pku7wc4OdwKU9Zv68qYYnYZ -P30rr1LIOGErz1fzZFHL5Lw43taXSZbvDQW7iCvlE8mWzDPkIcqSnJwh02AA -VfJGam4QlfwAf/ag4+kubEgl+iYQAi6oX7n41uYMC+fjMk+23Zl56vID2LD5 -tHl3QkgGVjWQMkcx3exHK6aHNlOT6UuVmDZvVDuVbqJMHSCkGwdCukEopLd6 -9fZTgrZObYfGIxqwbT7SFff4wF3LVV6QIfzMmqfiAno6dguJaWMTzogkMyil -KcOVYUPZnSs8SqsbJZ63p1mdge/coAN3Aqle7kuher4pVs//0BpaNFk8X6+S -ALf54vmngFBnxTMUpAwmauOvoXgeeR49SMHwBARHNmxQXpDWOA644R6qRWYG -4fEa1tTP43UPpwoSzOcVPu0xNGx8YR+2/jHVZg1Y+cxn8TyTS4Xf5eM4scBh -txBYywQB8XQKegtodDfg2Lk0FaAgUKMal5/4wpmKT/Rm+jqvUFi20q5j+wHz -pwpPAtRWrVWOPlenSGJAluBTSAxA4fxJIJyXxPjNsozGvm0lEhrOys+ykHYm -DT15Tiylg7FzMg+1K7UMBWECaUznCFDAW1LHxHPIsA3tnWJxZgKXHblBEIr9 -nCAaYFMG7/r3zaeImgMJqAEorSVlVxYhnF+mPCDYms3nAzg98O7efuG9KJx/ -fqombVbhWJpbz9qbkUTnhPMOJZzhmBRCz7YRznAs4Eh8vJlYM1OZMTOWIRpw -4wzk+cvD+PMHS7P0/5TFynkYNztnf8OAOtc6+Pm7jUuTwJjhs5ofTLCaadzs -jBk1xZhhec1u3Oy5/KPkOSWc9ZHgHiB6S4bCeaESzsuKJZzhTDSOABoTuUDv -IUmmpTahSYPZ5h6N7oVkAUp4BuTx2OWRJFCjhMIZ+M1+D9BBC6B7nnuA5O34 -qq6fZ+ocjri0nRlFc6EHzbA3cTjKyluakuSnXmCfJ6vHzALJmBCFZAiL+XML -yQjNGHELM7Y22NwfGTK2VhIbsxHNOvvHKC1PNFdXVuZANFMToHBpVcAJbv7L -+OZv2Zj6szsAWquB6xO+TZEBeqpCEzFlRETz70iYK29T/4KCR1AGj5n3qzHz -Lm1fxgR/XDA7E4azLK9UduVFPMqSXN9sTyw748VbAfRCU+FGBiPl563Z4iRr -SZZx8uMyTt5IRos+nkj+O47GtEi+04hjIAGASAbgJozMYOHcbcPpma4b/pE5 -r36VElibj7bK+fH2u9Df9ERLvEJy4SCZmxUQTSCmYZEJx8oX0zyZvsxNpklI -U8WQJ6Q9dzTFclFIh7AOThtQttBFdUM39PvVpcDTke3shojfuvNkQ8Q+DskP -OgE9DxHq9Mb9UB1VPX0mx/OW0q9Y7wbCNqzbmbKCW7OIZ/JtyNbmapcIaKLE -MxyhFiCeG2V+aMPiWVXQC7Dx4F3B5LlPEeJZgTZ+Y7cziWdxO5v36YTI9Hly -ZPqclhmcyakCFtA0fVYCOkA+77RvW13EGeQF1+utEE+eEY/uIBvL+LjO45aH -D3n7M5XfseHUeTC/TQXlfI/5u8UcoxuRInB2MHKLC2ewdGIQar3UbN+oVAOM -2rK5mn214LDNwWL6zxADUDh/nCKcpRaNJs6gmmtq1fzRukz9OeszDUg1g7AW -d3NTdDcX+EJhqgMIeGJhgmpLC3fUsTwUTp11+YMgnRmu8STBNdKQzmk0ruTO -+qDn8JTJ24AOrB4ChzN8xl5WKGf4NEl7ILzjYQEmuUDg0ELAApbPYM8EFeCE -88RAOA9CyxcurMytvP2iuzP7r70q8/OTtegGhDetecf++jYL5w9IOO8X4Wze -nXC7fWPemCCcC8zxgPgsLEhhMTpns/NpCERjeAGNaOC2gQ6g+3HUQsb+68HR -nF8x+WbEkhNnU2qFzYBaNPsARtv541mVmCXDLfPgZrYejVUDFapZW5aG23ZA -nykTOQ64gIkhm3VEdraKyfolmrXwLVl84dxo5oYknRFzgYUBZOaLVMhM2xDj -bGl0MYzzj9avcfVjVEMf/v5tLrCEE2etmp+64qBfOw9ZwAWcBaR2QCzQZMsS -JP2nKuLcB2TTwHckieYNnj+DRDM7KK2LWbwZySmzLi/ZEC0veS3FlyG9wMM9 -spwTzIOUL0M3/ykksxDmKguWWUTzvY4/C7d7IJp3WmhGUJ+NLk3ONTVuZUSz -uvGbNeFxWSN343fhXBPsme/iTFPP2kSakxZAdDI7ypwVzaGLGUZdHyhvhp0y -sy9jKS2wtgvyKnH7OsG8IZEzSvJhJdc3gyfLnlgu9B3KOsenM3zPFWjfxYme -78KbJm8iNJb2XPiT5FOwGxiS/yCSuxmR3BXxWdDt9A+eF5yFNz/0Bp/T4NwS -COe/kHA+7rjMgKt3Q0AmFzxP5h+FEQycKPOXkwseKHOLQpcQvEthMo3T6RYs -pptHJtOXhVPpfUpI7/F90mzt8G0d2zHFjiWevB/SIjphik4lrLsI4UIroMVi -tcTCN8QAvQbfutN4+vwWL4RexyNKdV8v8ht2iDl+VPmFbsUKgXi+QGwbbHuC -Rno8Uq6WOwHYgFbB5n4/EL4/u7B47uFo575twxypR2s407MUbEpfEII2VNEm -d6QcFusGT5//8Gh1yelzFPn8AYcLPiQB/Z9ZoX3jn3b6HBZzepHBgJyu37sS -FSy0713aS3/Kb92FhWR4lk4UsWxAFmpcoTM765q03mxtol31qeYona3wW8UR -ziGBjg3Oa+/0+k7A4Fx1TR8v/4Q2jZWxYoeX/gQxIIbaShfONZRVo/Zc6j5J -smrZrjF9IwI1lFBoJu2BEg2crKbOgbkzMWnLEg/s8FwwcVPCQSwb18YMzw/7 -K+vQ8ExI54OZh7oR0rm3ruBWU+eBCigAny/4ZOloIIzbrF2j6ueZmf8qpOZA -iAWWW5VZVmY5jtEgzwRjMzI5T+TPx0scB3wKl1WQzIdbeUfVO41wbpv56fFa -md9ePJ/sGuYd++vk8uatWjZVOMNyBpBPm8zRWCsGZ96Lik0Dfv6vMLL5KfPz -x2nzJqnVPt3cIlT70zkfCjMJwNiRqXMQA2yLMUBl0VjXMVKn3d32m9QN6rQ9 -i8Zq1W/isWTiFo26evmSWqsdW8A4iEYd9ZbUfBm/fX4ZgTQWyLEonnD26YyF -PmRmUgpkZqyqog/ojGntgTh1fuI/Rjgf8Jo0E8JZG/1Dq1JXJZzlN8+RWDQ4 -Xx5pznQ5wJycT1THCccAfW+zHVuhaK7EfSbl1tjiheWll9sek2ytZes80axR -zBNs+YK2ZWxWgvnzQDDHIn9fo2B+3Pxxh0m5viSaQTADLIMNzbbLBG7z89nQ -bEXzbQwACCbNWJ8Nollu+Q4K0ey3ARKLlmlz7TjexGMyhAHAflmaAPvwaAxJ -c0o060nzGxW5LlsZmrU1Yy4trWTKjDfvCspLQNwIFlihJQNiRhtUxO8ztmMs -xpjRcZlPlHFZ2sjeZVKcTJYnWAsGQS8EoTyCA/tiUibrxUlJocyxvV6eSP47 -xpTu5haz2xmkCfvjm7HRDKCap6FABiL9DRv+iW40EMiwXAMAAPUEV8TiBuAH -ndPg/OJbNf5qdXPfzrvR9NS/PQhlc4/klEIDFDxJ4Q8iBCimRVBfSSduIAyn -IYWbocE0D6VJS5NlOrRL++6OPZhbd86OnaijxdWBwDvR0DyMTtPQ0+wQeotC -cTiY3ScAshP9XNYxIymesICfv3N4+Dwdh89kfSbcBp5WzMMr7ZyntDMOnqUe -RRAbt7vIgIDPzamyeI3GgtcIArWtImFBaeS+PU07q8Gz1c4as8GnDS5n5dw4 -rIKDf0BwcCI5N2T47OnnWHgQzFMzlH422jkGrXPuDU18Pt3bEyWcG2h7DrQz -A+pWs7FqKYcF53KT0HTeC73FE7cxvP95nhE06NZgw9MdWH9yKtefaGxtqJuF -IdDO083a20m62a87sfA51M1OMCQQGuzSiK2lSSjEaoTFpRGSthyillq2i9bN -IYiu4UzoDQwQzqkTZ9cfyDRnD6uh9YK0DY/koXPQI+gFpMJtNQ/digLTWe2s -wXShhgiBXMruqa3ONHTeY4fOE5m8BdO296uRWwNIP9S4bZ7kZVbgNA2e4aSb -p1rdDJ8N/GSUHWgu6n7mgu6Jl/KOardn9l9jdHP/2kSQYrfGb+bowVGD3Q4c -JWA+ws4GnRqgm83R2GKOxYaC43AKtJTzsh/yzmUiewXhgnpWhs38XLx149+5 -G7AMN/78ywYCdU2mj86I25obKPaiMylRvUnc1vyksjUP9jSz3/ozquhh87K3 -XU4Wn5HauMQuDT4SdfgpGaXL8LGoeaS6OaX+xLf9f5NpG3tD6kqgYcHiJUTL -8G/fszkHOI3U33yomxmjMfBK2ilj9Y/6zZO9nyfNl8ikmRvn2Z7BnuacnA9t -7wLSmROD5mWeZl7FPknSzDFMhj9kTnb9aURGCJWLuTJc+A+HzGX6s2Z+1BGZ -ZchchduBgxAgkplFM2PZWdKdIbc7rpVh0Iz42bALkMOA5pbfd6Vva0bYXI9L -XGvwg4wCgB7AJ/hGH8KguZeZzAzGLtTM5Ukzv+eIzEjh+eifFpOx22hmCP/B -01u7MuAJDrfs5xv8AfM6ZV9eaq3LFPQTDMZ0nlRNZY8kdPRNKHR25dHKqoy4 -Cxkqs3fyqchAGXZivTjAF7Ml34bP/lMw13zzxtNwbwY4WdLIboh8PWrk8qyR -K2H2+boN5+ItD6tlKDoDcxqgZ6s0/FfxdTNnA48rlXmky268Qfp2ojUOnCzw -/7GWxtcpMtLbHRQ9nUPD6YyvpVtS0IAG0wc9MW2zh9Yu7Q+kyd1Bzo6RTZ09 -+tXGtCsSazSGFEREW0v0137NZ3XJE9JzeBaz7CjnSySORWXXcsaXj3beYtwf -keGK6Bvrcfj8tufcIPH8khXPX6F4hnQBW56RtvEg7Xb+RUxHW8qN4plJGw06 -qrSAZAXF7myOFNidVVbwAGcFD4p4vpvL6eF49Yzw6Z7kepRYZnCEHj6fp8Sz -Gj4HAlrcG7+F2cFpzIIM8oMHRUDbzsGYe8OI58Wnu7oUtD476obYnj3xrNiQ -q9lktYSPtDg2pumhs2oYfpb7A/tyw/BdWH9yqnme/pMmb+ZYSd8DhaNiwplw -ArFcoLY3X6hcGtVRNcSCULojcGSmTkQpaNBW3ahw/jCJqMUmYRHOi4stnJ1K -cGEoD0TnZQS3gGC+/O3PVeVDLBeVZNJdNUrlBJHorMRzaHdO49L1YwHxSKRL -MBuXLmBy6c01DeAOWKtzOHSWjKDn1ji/wHxSNmQWVjBKoeyn+BkBAxh8Ppxw -HsPk5mczX5cznwr4TFR5yNzM95jPwq2ZH65pY45uHdwN4Y04rkrmN3P0fnmH -hfNsFs6LSTjDXuar9SdmNm88PpNvhLPYmz9ip/8U7p4fye/Fp9gL+BCCy6FQ -W78Vz2fmXG2P1Exx2HRbs9cJuC7WCRiiFx9TRiX3dqzFtmb//Zjm0PArMwnF -KMchmQmk/UvsSOi3JLj+idxcc15Rwjn2lixMvCVbBmcBugPbhI/ISAWK16XJ -JfR26RKhOMvvHpctikNnFy3FEs6UbJLfuybKIHSuPpfNw4ORqTJkz9gM2UC7 -3hXRXIFFc55/s37KfLlYMZkbMofZP21jDgVzzMasScx+9o9cGTxkLssVJhXU -kLmKUDOYyix2ZhbN26HgzIhmagjurkTzTUQAUF2AHkGjUWuPPLsPb/gmga25 -fsLWbLOAffk2xx5AZmbBzT3auTN+t4NmFs0fpjkzOPsnQ2YJFAVjKRkw25Wu -6kyQJL7YlqewLxIqSV9n3OXowIEB+64hBY6cLNTkAVmEshsmk+OiB1uSu+Ig -+XQjkIEeeyY3NeUxFKi8GyJvOIdF8vloQhOBDHs1WCkTAaAG7tjgtq/c8KLi -C+djUDgfbYRz7657cHWJpVqd8TYxwrjvNeDaAEHdjwS1+feMnDa3JbJsWFAr -MZ0YTD/JYhpcHjDKEYcHCukW7O7gqXQ4kbbTaLRHk6tDrNHjZBLNuDuBRE8O -MoUOKclTaBTQ8jbOd8ccm3KpPgXcG/70+X0u7IYjzeIZTVVKPJdh8Yx5wUcI -83gui2d4qwLW0WYFfbvzHt7jkPlJH61GfLSc3ZlAG/UItCGF3Cye0QjVB44a -i+fHqtNuJxDPmBkMkXWJ6TNVpYD1WbKDqcBnaB56zwnon2aAg6N0onPQCmg1 -fbYCOui8D50boXh2b+Kj8U28nN/D4tiYwTnBt7gKZTQf4+cKxK1xMtucKSnQ -Dd+p5TggdVEgnJupdTVxuJqt74xjN1hVQ09gEtr8oC3XplI03e0gMA1eTbOf -08I0bCYwOV6rG8PQeeQAv9uhWMJZSLVsc44LZ+vpBLGcGDy//blFcVGHIE/a -JgSQDSMY2nJO0IIFeOLWQepQ0roEn1J2Z7Z7WvEclg+rzbUVz4HdWSAbCTHR -mt79GuYsU2fsD4SMoIVrbEHlAKphQcW1mSXlPkMDGKyhIeTkC+fhBNQo9zhh -6MzbGt7VO2t2z/xwdWvz7q1LKXl40756Tua3Nyoa4VwuEM7/YOF8Mh6Hwk2l -MuvMUYAbbgFj6GD886YRzhC4eVFNm3sLSGMj+Pyk4uQc69AgUHNjLtPWLT/t -bScgieYbfUjz2ttVFvB+IjCuSRZpV1/1RNAJ+KxFzznRLMdAiWbPoeHDmp21 -OZkJpHfkHH/avGhBinD+NCqcJS/rOuhFOMfygYVRLF2sEsjbvugz4FWgBHAN -5VbqrCDOqfnAUDjzliUmnMGdNIQzsfRQ3O0FAidJ7Q+AND7CW3VGVW1r5lR+ -hXU2ke8afRerfe5HNlDk5/+mJrJ/zpXxqoJluNyfgDK+iApmATE/mfkWEVgu -+4eujPKPKFdGT9f9x84MXZqNGcCLBJwhormbszTrWpNLAoCGbQoWW7PslHks -1r6BszWzQ+OQODQeruVszU+RaMab+0WGM4+rzPyd8gnRLBWjoTMDAkVgZbY3 -KrsyClXmLymYj+WSsePsdFmcGBM5SKQnyy8qB8azm5JT5X4KmJwQyhuI83ML -7oHpfU+W5DNwkkxuizLstGCRnF8ZaxeAOQ+Nv7A8I5F8Mc4DILXhRHItnA9A -NQPRNuvhLQ/zgkoNLy6BxflYq5wf7r6HSKc3kAEQjpi5UnJBTpv/1UdITCtB -fbQW1Ho6TUaPvxAj1WnpXLRLZ8TfgfVET19BqUNPRnvGDjeLFnO0ltBjlYSe -IIZor/wTZtAuwxDasYhjR7ScxeVWM35Dz57hoMPL+H18EYvpmXh1oz3pTEUG -TzDlmXtRYN0Dr1Y4fHDwrNk5MGw0CgwblwUN9drsLJiNW+tawrN0omDwto+a -OwO1BlY8A6s66YyJwdjsmZh1KJ91chCbBn3y8++a/AzojXdYPk+jtnuXHjTy -Wc+e54R9KYq0rozPup9IS2f9OkbprMK9y/igz+V8gsSjJvLBfoWzB4MK6AD3 -3kSV27eiLQpWPIKxFdnMRo31Ipsdh05SgaAfHL05hG/1ytJz8pzHDqgbSQTW -WxZGoN5OGa7FuAHFk82QDKwVyOb6s9d79Wj+ZjqiE7TLWWsF1M2kmcnpvBUk -t5PO25Nm5xEuKdghpDuHiIHHIpiNLElB3+x8yDc7a8MGp6Xg0/RsC5jB/YCe -MshjyMwZltZo1qhOTDr4lMyrtM58QgSr8QlqA/hk+LL5mcw35R/LbK3cm0wa -5j7eWfumzA+dWpnjWw/vRyz9NEfwtwmVEKsBa5wDLJvh/oNjAcfhS04FrimE -UoFj0G34Ad9p44U/xy3z/Ta5WXP3jWfg/QObS9+g0SjTLp9MzcCdu1K9EptH -ijEJn3G76jW5P8BnPBLBZyjJbGkymjsXmJqXxySz8/nXDY3NnAisK4nARSoR -aE0a4ZHQsnlVqmwOff/qJZlphkw6/zgkGI3hzDlLQjBJdA6YdP2SZg37VASD -kuxYbnW9P1HZzKZ+SQW+cKlmMBJ/7g1GyUytTdeo1MzjFcoZovkVwf+4xl/f -ll7MJSail2fl5LytbJDTlJE5mfxzM+ZRmMv3U3/DgqY/NjHnPWXlMhFjpSI7 -SP6hZO6pyMwOmfGdbv/TCUA1Z94ZmjOEOadMzZIE1G2AaGpuAQOxhmjQ8Cq0 -e/Ag7F4xaJgbvF8NlwQcytXZ0AMMgy2QzJMkBZhFMi+hTATy5cxNikZmvkXB -lLGpgHpK1oRyebN0JDjjspBeJxRKuu+EpFTGG5YSfY/zgOpRnin3ZIOyniff -ZtN7p5lbWBsu/omgK3zd40CL5sidN5yDRotrrUS+CDfEFGrWM+TaSJSFT1sn -JY+hyQy8aPC5A9Qm3PAAqq/UqGrx581HWdX84K17jBw2z1Lzz8FtAu1a5qSZ -f89o6aPgcsk5UaR0OJjGo6hdHqKh2d2RCwo652d/Ht3KGqRt2vBpK6DZGc1E -SZlBk3imDtyRXPwp4vk1JZ7fYDvWZOWA1oz2D1E4b+IcAxFzFiGFdRVaFJdb -x7MYNsTtLJw6aP4abc0ahNh4iiqGgGYDxxJesIjXuJuOHhy7mt1UJQpx6XY3 -YqMGv0j3Xcqz5isaO6MGHC9xOauEYCiaf+pJiA10OvfTopnrUdjtbGfOL8BR -VDNnnRhUvDpwPePc+c0KmT8mhanBsjx3LmNdz1Y4e0WDKaYNNXPepWbO221m -wYjmNSCaT/JXSgxdX8t4jSWMjZzDs+Z3uGrodcZBDucj/QSy6E7CAC7Wn2yM -C2aweJKC4KGbikTRllqZM9a5GXNVFYNyTWhqxhzGn7ICm98KeAH+UK3O4pkR -XkCaOnCCuYZVBw6nUU9wGmkgOq0Q3ik03wWjEdClsSUYNIdcDRDNW72eYbI4 -K7UQEJ07xsB0mq0BfSgqInUkirnXTRGXhh2+HUAz2TAmOcug+Q2MBTqHBqyn -wfSFkcC85ejdhEc2fCs2IoBuDE7F4Er/tsIA803oiY/o76veYr4BXTL7O16Z -OXTfJbiGhawPnL/fxldGlsZPrJbh+oNzAUWbCJ+DKGDBcZlVhZTP+Yj5GZMY -OucNmDfxPgX7Tc62rgy4YmDiQjXyTXmfkjQiXRZVygIpJ6VclR0Z1GsCTv5Y -r0lEKSeszKFSlkej7jeJPRxnKID5LPV41PwMZ2k+ErWcgJpbMKO/dKHH4+cJ -hwYNmtmtFDqVorFAZ+/vONDFAqX/xA6a2ZVkf+sMYJSlSlQti40f1fKPbOPf -m2THMDgDlirwOoTfO5DmsDQbhk0V14OtGedNIpZLa7HsYn+ubUxwr1OCuJ+v -kjeDSi79cqSzxBGYvzYPUKJaKZUsDX/SXSJxP4TK9XL92OfowfK9yo3hYn+h -Uv4+NlzWzAxrZW6V2QueS4TNNWPfJRCaG6sBM1zlvDa+ze81+Vm7MqyV+Xy6 -kmH9y2TmpFJ2sT8gVu1Y7iJ/MljesrEUweS4WFRmTYusSi5lVfI7bFd+gxkY -r/I1Kjbl55VFeaAMkjk41IsNYfdbW/IpeNXCZwjCRF05sneDclmQMiYbMirj -/FAZV1XKuCYXmSaHxloVd1CquH3+vxFGD4igq/CvGV7vFRtVOxK1fP8dUGFi -XqQ5f0FizUPdqCfAnDgS0ScjxEYJaTuS7sMj6UeuT+rovqyjzYWUC6dV+zpi -ng7R0FfSeQY/B2loiivAHSYDaIj7jmA6x8uMtyNGtOhn37sB+d8pVjvL0NnV -gGLvV2Xn10DKpPkAQIZB2nLJ6PweH3jWzaVHcdTneTrIcIDLPUbOxUq9aAlr -DuUOz6NxE3s0rmWyo3g0HFVDnqT7rEejgSpDCdOB/DwNdPNPVjeb5+oTDk/3 -K2Rx1cD512FcNoQD5yx+DWV4dtq5nNPObHjW2tkSN6zh+WyHq/NYz6pqcPEZ -fmmRQrCDbv5S6WZ8JluDM2mIT9h+ZQfNhSfYZfVz/AQGDB08ee/AyduZKhoV -auYWqJmRoMGaWRuaQUM4esZ92IlWVQ+YVz2ukHPazBxBzqUytiIlJ4ydS2ds -OYJGrYVLA838WWBs1po57s+ID5pdAcrlUz5PLqUnei0oyRpBSkVdxdJZpEN7 -GTYPkzKUNJ8GM537pTOdE4QBJZ0f7H7QlxTXugHcE+LRsCkp4ji/2oiMnrDH -gk8J0DTAnwF2L9AOoBs+RQTdbHRrwvQMdADc+XC/f1uhHwaG4W6GBt5dl3TO -7G/f0hzh+nhXAvwGXIu/meP2qzlSYHeystkcie8+O5UIGubu22Ak82eFFIad -ae67d8zPHSZAoyw5g/z7D/A0BxadXdCTIdSMcJdCkvlKCb2uC2Ex8dLsqonh -sjwVH08Ml31iRopkTnj6Q0azWJT0gFk8Gfo40M6F6BmCnRPZnDwSNRPPSBWS -tezmFNksqcBw0PzmF4lUoEXRpZqbd/nm5kFGOvNv/mrzm79mgKsNlPIf689Q -v3NB0MVk82NKNsN4CjJGdpnS+PvM6w2lUP5bNWAmi6PnYi7P4foy4XBZ9PJM -NmJMgyGzFPQm035BGXZULgNKTsgYJJe9oTKQMcoEcrlCLO33kOfDsINliCBJ -6q8qGZh3QOov4cfookzM13D6j9bFu8XIjDe5amaAtXGLxn7OH9yWXfkWt9QM -5cmQUhNzO+MaGMCxQsyYzFxmS8twktmm/lacRl6MNScjIYNuTGpBkKQfYOQW -Fbri3Q/YsCzFuxMLjvcGyiO4O2kIF44MDDwXPZmbDJSLu5iVLF4Lwr+dgXkK -8llQWu+6/EpY1Aswn85RiewGx75EjgyN1zdB3DxAgMBm1o6l8VVGGrfFvxaY -14BPHayOW+PflZkKjWsciWy+5+59RjabuyQXjFC5cNpySmUe6G7Onblb4H55 -8OaD6AWEEQ2cw55dSUX3uskoYphHl3K+DhHSLKIfudYbRueiPToTzqI9MwfW -F7VyZmhKFToHx3Oee0OlCLn2G07+uEYyeN6GcQYpBvWJkw7TPpdpkwsZmkMl -KYs5+DsnEM0TVTJwBL6A8SiXfVIZm3nQfMHdeBQJp9GN2zfD7m1JBArREY5Z -o8CdUR/dGVSColKBgWhGh0ZvJZoHKLQG2KMsmi4YOA/nchRJCY5UjGdpGNRJ -QeY8i3A+PKWsHTqjW+O9sp5wtj3dM0sHmI2z7MB5zwJxaTiD83efaitWsFtS -n4BliFwnC9YHMmgupGMvDK6n+TUsq+oeCcFcG48isbdaILCWuFud0NVJzC1S -EOLmlPQfKIeq1sQ8wJmYV6aZmCNNDsuDuFNsBc24uTqq4MSxtdIE8/IiBLPE -ntYFgjk5aE46mpPNJ6IUWilXs1ML6NK4anQcR9d+RITlnOLQwHTUkTg0bmHR -3NW1B8ITv78ICnFn2ETgHvys2FkzpKK49AQ21ODmhE/GirxF+KkAJgAM0kAJ -WMFcsR82J8CnAN7Nuxt0yuxvd3nm4K31Mz/1qknODMCrj6viC2ZzLGD3AkcA -yjThZ7++kHz8c80fOA3f4p85vAsH803WE0tNYO35D8yVkxvjQkbMUQsgpf6u -4BJMQmU0X3eN15vd0OvyCcTyGt+J4X72AwNazFAnlqPz5SAAa/csgYE5NmPW -YVjl69eOjNrKyExHYnmJBTNQZRrb45B0ZnilJ1kQdG1sg6ZDynjtmcOUM8lD -0AWlJ/xb7xZwm+U3/sAtZN5PE8xPt6R9rvy+R1pHBiVeJyMyQ8+Yabb0SWWd -BWIzYyCW1zixbGN+FKiHwfL4nJxJARZDHBgvBUgMjZBjoZyXnCvbTmyV8Nua -EMqu6Q+FcmK2fLsVy4jIMG/a0LzsxPK1TixrA3PoxkCgbGPK9kcLTer6LYAw -Y4bxFvgonxM3hnRmk4EZblcpMRFMBngc97BYJuOyc2GQafk4XMmKA2MJkyp1 -dl6EsjgvZKb8Ao+anuFQ8eN8g4rbAkzJ9yqnRQ/rsjjTCGRBWpRxJuQNVdhd -QQk9cVZcY+fHIo7d7LgDimPfTdGO58aQ1XfCuAXmMUQYiygm7Hwrngm0wbUx -fO5gFFa+cc2oYMaa7VKeTD4+c9e9IJPvucMo5HtuP2Q0NRw04NWYP/M/uO9W -GDvDRAYuGPgzOtqbRt9M4hmn0T2tpYOn0OY/AdwcP3tujnAC3VdYHR2ociCh -nCVKGFg3SDWb494sqZqd15l5G1xBOIkVM8yHptl382aHmsRPgUCdV2SWJ9Sy -WDMmZgrzxiLAGdxV1suMtYFky5DxMp5HGS8LtBnOG4YF2sWhzc3ojGFdYDsa -L3u2DPQyk/tJmk8O3V9bqWXyM/8kahntGWrMDGr5WTVmFl+zxAKtYj43oZht -rSCMmt8oz/7mclE43c9aMc9wivnAzNCiwWNmBtIlS4tO8RpQkL/OwQVty5jH -4DkYL09W8b8XOLk7wMqIuFLugEpZMlCt0cwJ+SdZTzdadzPBAtbeYeN+F3ON -g64ArOmNlaXzbAT7ljnjtFz5lmGsvDwl7heRBqF3OTlHK55S1swMXEEHkABv -vEx5J3OmjVY2cjeUB2le5gS1GWdr2zLtouTmnTYH6FkzUoqGS2zNUACNnloq -s5EZvjkDW3EmynxbwAr2ipiYZbaMpOYtGH6CGDHWm5RZwsCM6ThAI8rccJbJ -ffGehl3vzlpdcBi1v22LzIFuDTL/fbAW2qbw7JnzBXwakMnwkoSVy/dLCZTx -5bq/kXEZIBmFlPUDd+FEvtee54FPH767bt0IpVel8X6CewkGNZbCnH+prS4R -idzCa+1RElnl+6qrfN9FXr5vABdkm9/8ykGBRJbaEieRHVhRN/loK5KcAQGT -y2txWjBTluirhi3OtcBFW57NVoxaC45EJhfH0y/nwPxNDvsBv06lNSfKtXm2 -7JuYf6Dfuzbuq+yfWDIk92f3J5JxVbsT9wwUGvm+zItAl7NWjG1c48MzZQ75 -ofWIJ0kY8MtbmZMzWQ2TFnhtu1JTIrk+PUu2mb680dx9kJTHiTmyksfoeiyj -5bEqwFbyeJuWx7rXT+SxIsiB/SIpkW+KWDB8ibwHJTJTmJu2zOxhopzgryiK -1DCz/yoyLSP+6iYjkbVpOZwpAx8Wsgzm+kUK83jX9QfDJxg6wZYWSXJZJDLa -LyDTV+gGSdBpoGM+Wh6P5cqRFzn/8CwPlp5gEpzMjx9gmwUMmm7jjj2YHd+A -66uz2HhcnglvZDru7M2MQ0lcV9kptJXCzYm1hcLJYX9GLFK41fo2uaCFc/6K -ShjmXHCDX4F/HczNdfn6jkahgumsXONaGPkrZaVx0a0mR5c6PnPbA/vgtOXC -lZILZ87881CodTf9kZg2//chgStaGrY9oKSPcqPov2DGgPwcB52X469aPcv4 -2fxnGv2cc8B5OHz/Bs2d9czZmzezWaOFVs1s1BCjM2Kfd1ncMwyFxNzsV4F+ -bqO/NF+mGAOYEmnLtMQmANd4ankC9xW87EzMZQZSj70FZjzgKk5krowG5s7O -wNxQYBktnYEZmoL4qEHFCb5IrwnMGD3I/UTQDJkt13aGjN50DAGe8RMkAM1x -dPNlBmg8c3E8CYgYZzE1qxJuz5wBcd1KrJg5EYhADXJY/VpEGbegnBMY5/kB -QIMTgNs++3si5ltgMwtHI20OnFgfq7nyGxFqQC+EZICbClZDeYFSbojHkzbT -bTDlR4O2Lh4YAwwYNdCA8YAdrlkoBhdl11yl2bPDk8O05UUYlr2N8wx/4+zh -s7QyYKW8YIkqO4so5XkAyYiYNVPUQdPpRiG875k2c0EiGMGsKM3sYVakOSUU -Wkdpc2lz5UAsWz/nfn+urAQEkrf6BAvqlLoHmbmhWObUn+dhbkmI5qFI3aIU -FOQjZKYMnwz4XKAJo8KazLKyyxChBY9p+DSAIgAFAPR2K5TNjbyz9vWYV9jf -tnnmPzc1zBy6rzY+Yn81t+Ovr1bJ/DqZUn4/zvknHgFAQsFPfsv6E5AmB0Oh -+fzznsyzZNiQPsPJvgc2UlNsVzQGlsftJnmVOdW3PimS46aL2yw0EWjjLtGn -cMtrGLfswRMHZ2pzIXadaLefXqVo00WWVF8CvfxB5MGoqeTzfKG8YLE6DsUT -ymlJv9iKRYdfab3yeeIMWCOG9GOGqdcXv4/WmnigjGCmbNN+DMmA37dL+vHO -pEtSKA+6gsEY3HcJA6XXJN3H+GVcufIA6eNzNmbmI34cjBfUCLYCr8QFOTkT -WCTP9koNnOHC9ydvzhuDAtnVkCR9yX4FiRLIZfvbGhIpu94WAC9QIAtaWepI -YmYLmSFD6Vi1Hp5H+ftaN0VMFySSd9k5ssYu62JsVbDQMjJLtj1/5rZ+oA7O -kq1fWc+SIXsP0SFzs4rxAt7PcGMiqf0TJ5J3KJEsHuWN5lZcxzUkSwppfjTH -IqTMp6OAuvnGFrjSr6FcLTLQ/MFa6lG+KR/k5tw7uICa/Mf/YFNFHiKmrs+v -iNUg5Dl2wvhqTxjXRR4PzYnZQOGZJy4zf83UjFgL4tTZcC7IYSNBWQzDFw0F -cScjOiGeD2MugMrDV675us4w5jH/9ahM2Sa1u4oYPqEEJoy/GrF8y8P7zD9h -zlwuXCw5J2DQ9g75u5uOIkQJ4O1qVfQddDyNgs4FCZ1zNInoWw/ZQfQDOIg+ -CIPogziIZhdHV/zLBRGdswtldEDmiMtntmzYoTP7ncWqMejypHSWYTMMh0Y1 -3sWyeUdqp5H7RmzA1DtZMj5TkpnqvQiYMYkbAkdzfHcoA5ofx7oC2woIr9oL -GZJR/Wa2YVxPYYEQkqGHy+BdtnDmBpT501aMbpL7q5c5FEpmiNkCnaaXgmbA -kLl/dWLOyZAZbBmD1KD5Oa7cHkay+fAIPWgm2fy7lc0C0uA84AQfpBFy6H55 -J2hBkQYUa8ugIfN+O2Q+E+sbdmHddlwyS4Ahn60YS7HMkwZvsFx6y3wYXmMb -xlAGMtPwDVCPvlym/FMj3k23xAMJJk6xXwgQA3SEDJWrBV7lRLYPdYOyXqS2 -lWipzF7lEIbhpf9dpknrg1pF6INUuewP08xXBQq0M0nvctSK4QZr1r+sc38T -9R46MG+OiVGa1aBtmMr/2YoHv1cY8n96P+1Bt2IVaWrAbCFzXcgthpq5nas1 -AUoGZI3h6Q26Qg+XIfsAoPf55juxpNwKzDmAWRMmajBFg28C6eV+Ti/Xuc5c -sm3NRWr08g0NMasLz1jID6BenkIwOTgHYEfavuIUtB4Vmt/6GqOXlzJ5+T0e -Ko/kdsvHcWECwZrTsKakC4Pj4NKi33VDz1oU08qNdQ08cxJrcAc2Ql+sVlZ1 -fvg01NUk9Jv3/RZhD7b89rVWDgfKbyutHFAwrFYOjcppT0fqya7FT0c6D+4s -WL2sSczKx99o5nqrl30ff/Ic+ISML1y1zwRtXi4aLtdxqHsjakJGaFwOh8vO -tOz0Mr4FWS8/afXyD97SBCsu67G/yNyFM6puyckZRy2XvG+FWj/yKes4vNbK -AoqboiBx4xnFOgYdiw4ON1yB4ZRODg3JHuWir6oeiehkBYfziMpGJ3teC2+Y -zDq5Rrcgz5emlTv4Wrnxlcp3ITthjurDpEuMytcxFrY7Oyfv9ev9YIJFRmWX -7Ts8vhJTMGg3i/Uks6nOD67GXZzps1rZPKk3g1Y2+hjAOTI9kmHyNMuMOt72 -FKBOZo+FDJH7MFDnPnQqwvMbrFyns6/in1wTUo5yeWg4vkANjmvYHF5HHhr7 -HgryT4TeCdbFuSCMc05iaexksQyJRRNfjn8dcUCMmni90cP4dy3o4fWdjdiE -0iX4ssHfpeu65AIKNhe+c0bplmlS54i18s29wYlh7pSj4KGaCyz0XLBA5UJw -wPzvCs7U/Jn/l0yfj8Kh81EimMW2Yf5rxqnlHofQ+AwT5we1Z0MMzzJuVi5n -bdKQEbM1Z2hjBjM1xJQB7+WharRMZgw9Vt5mgZJgW0aa5MVbKO8LOT98P0sL -wdLMZ3nzON83nYGqb7JN+RV8FH8po+RyPEoOWBghd1kyfbY/E3UxWZT3Nuck -wJWMmpFcX+f6DiJ3M6cCbuNkwN3KfAEc5odrW238M2x24NHaT42UmZHhZf2A -7vhcCJhT1uWXz1OZv3MdLwNbAl3LNmb+sOhE9HFZO1YGRjMkEkQf25HybDdS -tqUmShvvYKCcaOMv8jnbB8aLQjJf6VHyVH4wj8I0Am2RHrXwWa2LL8aHLnk2 -m3OOr50FxQH3gjJ8t1lIHOmFnsqb+ZiX36sdM1p8OjpR9Vt3edKHWW9pBCS7 -JDk3q6PmZrWCEXJMB9SYzzrA08UyOytq0SyeTOfLzKaNnT/zy5ycjwN58K3L -9+kd9Cg3WmvH8pjwGIFtU+MxQrsyyOO+KQ2AYROasnGKPE4bu8EnBLiV0vaH -4+Rq1JA995z8zKIKAI5bzPbkd3F+BvMyWBxraQzJhD1N2hhp3Mwc40AajyVp -DHcgeJDApQ9PQYixbtx4fOYzI42hlvZDHiPDHOgF9g0+Is89vL/KZDpvqGLu -KXjuiY/Il8V+07Urq6yfwIdL744UVpL73mu5XpXM7GVt7FvhUBd1LerC91mk -ymJdRiLHIYGBCdAX3lZlhflzz8QaxZDG2Y8DZvpoq9LDGvb9sj+ljnma3Cbk -x1nrhaPBuFif+5kTDUNNk3ljIi8/sV2gMu5GN6lsSbQyfq45RVWhFgxsiUi+ -wALLr/EWFBsibEpwqVp2NaMulgaSWBAX76hOXGEmj014Kz73vBXPBvm8J4iR -XIYYyVsBw6qhbwpn8V2VJPjNtfIxL/nCO3NBD+fc66vhai6q50+OxV5xXWCx -0Ew45URu0lJd2Ex8BTdyy0aZH9oYRSxI5esjSOWePKx6TBEvwO0Ig6dxVTKH -J1ZE9xXQLtBmMas0PpZhx7p7MV2GeBHy9BgU8YaCY9GRtZxrb+dspsnxVCyq -pgHRKKWGB7Ea7svkigeQ6UbECmrwOg1JFUSpqMCT4vPZVSxT4lrMaKtnJ8Ti -IkYHMVommgWROj0ZFvl7VUL+moc7TYSPwnu4OVaMXsuT4GPwXmbVy3834PwK -tG8u3Ni5sPnNORqXY/B1gz+4xEs3qVf8CN9frSK+8ZH9+A7t+jAoXHOlHAUH -LxcullzQyObfvIU9fuZPDZpzA4fGQbx77uG5cuDKIFQTy2Q0ZbAxAyAblqqR -Jo/1CFm7L1Aak/NiEI6OqU9gWEQWS6unOC3gmSzeK3ojr0dJvDRvJa6TViL6 -aRZGEeADAIcf3sGfl34JURdfQx1J2cfNQe5LmAvLUw6dFde5esywww/Ji02d -11/wcB2Vu+KGSygkC2wZkcTgR7aSmHMA2pP8iELGychYOy2eJubyL4PFn2ze -rp7bIkBhCEZuNGPkAo/y74jDAFlczvGX35buP64u0Wm+meK0cPgLQV+QJ5kk -sYyLJbwLESb9NZjPmAvsYygkZwV8BeALAHiLB6wcFt1QFU80nGI4tXBK4VRK -R18TGRGvvR11gi21Rh8mYbAopsQRpc98VnKRKAvM708JIklF5fdjGDiSwzWV -oyKUwzXM3S8IrNoROVzfu//zzafFKADznA01gGT7o8m9hCTW42IHlfVHxqSH -r7J6mNbNVhNHiv06RVqxQ0+y1cNccBaL7sH3pqfCxPW3YzVaP8G3A74bo3gF -DcgsGhVvycw5f2NmQaU1aMgEkQDiAGZkm40IgOfxNxUHmAv7wXQt/Bj5j381 -t+AvU8vh0xDWJFAa8O2qkzOfm9/3uk2lMsuMFv4Yf9Pkq38Zo3knYnbmfqzS -ORU9x9fnV/FdQvmBDrZw5C6quVqXtiuv8dqeiQI+WIU4f31aAR+kUWNxvDTk -m/v91xMdvDQcD38QjIfnJH3HC4MRMTZbu/OA7dashaunaGE/vUpnIYlJ9Dz5 -kWehj3xpxb9/K4jHKaDyKAVUht87ePCDyB76kAe65mtBwwHPReJ62lohv2kY -LcF96Ynhy+kuRKZFk132fWd7daomxkE5Oa9mlpT9DDEWRH2aa6lPkshzXmMa -DRfmvcqj4ZcjHuOkDv4mRQdvk7FwxDrhvMX3BmPh0D4RCuGbkdBqy/cUGHkn -XMv1BPkmfmO4onUsT+wUwrHgaF6zJhSyv5JHxB1VbOhmslQcustczSqeBxMp -3NTCdhYmTqOpyfrwmxXQmEgj4jzfTrHsdJ4K0Xh4C19/q5GrTjW2s3hTKhaK -MRy/e56F8ONMeurJ0yGyTUDU7gwjhM/GaM71+VoEX8TMiZr4xO6YEMBNFVfC -jX+dRxj9wSlz346ZFus6gQciF2Rvzl/wCwULWh73wpi3S87fRO9iGAg+XsCa -aoJ/3XDPJZK3If71MB+2HuYCM5+3XMhTGI0Knsizm6IeLqYg/osVxNf12w83 -ylEwZjH/KBw+CLyYC8b83zDv0py/il7GQwl/RjCb/5m5esw/DwMZOKggnXNB -OueCbs6Fg8vzZbZn5Cjt/JdQO9N0eZc3XQYXlUyVe6qpspbMjzAvIyaXxagM -n4bBTIqESJ9IZTAmj+UezzeljqgmOSsEewOrJCArgxGZ+mvncp/Au5jUBWvV -ltIU17MGZDjnFcVNca9zU9S4mWuuxU3Rwev0sSQ4OXPQ6XNlIzIgt+e4Hjgq -pLWPI3ve5PguJirfV4csTg9xi584K7RU5ggfuiuerGYdFr8M8qN8v0k99rAs -cb5RypzM1OXfx/tV2b9PLh9IZYnx+fXYMj1GZ0VgRtZ9QyCTQUZAhCmfcZCL -eWo8XRyahSfg92Gw+T70RwIcFGeKRD4Hzz6sfdqZcw7n2rkoKLbkE9+gUuRB -R4q1dSI+HbmO6uslB0Ua6S10Wsa7euumTYuD8VjNQCLXKEIiY0xPyQKpGwnG -ZPAKn7HRHNnAUeEl9gKVLCZM29ynlYKaHLcZGzT4WWNFHKzcwZqR9xmVLAqC -ALOdHjuATWbX6uC/IsPdotvMilDJj19F6eBnzKcDksAAUR5jXtWwaAJFAaO1 -mf8qwFc0QNeh4BM+C2g+zhuDjspvKj6W2XbeQ5TQ0woZjBT31jYv1Gr46vzl -9cqZn81xgBciJFXhJQhLEXj9rTI/5QW8BAEY+Ghz5Q3la+5huN42nm6uNUiP -V2RoRS2aEucHXJb1nbhtjwomG9kknqunri7GCZwQ97EvQD0hzk510017Y9SC -RFdUh+r47UAdT4uq47oRdewMFPOUQtaQ5OAoGIVcvZgKGY9CABIvPuNlS0Il -t55IL0Stkq8Ka0dibX26cgRfgQc9A/LN/PqzZgquGel54yE0JsIkCa5EuAqf -uYLIhvjiE0gLV7bD7xnMhMJ3WsDuCYrjLbJA1DUsjSmG91ZOzmg2TvCUGIu4 -XlH1IM97TXoueWeUMZolBhTPVByk7kIwBZR6bY8YJhzNzZkmvtcpPKuOeUys -6W6gji20oq2vjsVwDAVhwG1t3sQ18EmwPjRR3CN1IrUCE8WFOHTCQdOECpnf -3iqP3sOfppfB2xDGxTAsgt2pNA5BGk/ME6sLyUf4CS+U3kWc0wkW5wQh9cHc -G/AoV0nfy/XRPRBAcRYaiQk8EariWjZNJ4q4HZoiLkXmetv82ChYq2GnhMX9 -wM4H7LuFTxLMfy/l+W+og82nKhcEsJGgjVgCNwolsLmY4EsG+65LMDZxey54 -Ho32rIuk9zvhz/yjtdfdZf69s5peckReir8YoXzNgP3mP8ccv1yAKJl/GGYx -19Of+R+YM2n+vS69aV8JYjoX7p9cVNJ/wQ1PV/4zGjoXzH6kof8q+hn/buHB -c65zOB+F5/tOcjbnkILeYRU03GMwdU6TzmjI0NNmnjT3DWUzm5TBfAFQJ4Cr -DxHJDDRUCSs0dJNlMVvAmgnMhfPQebUaXVdwJ0Jmj0wWbxPdojQkEODj8Bym -DOBpDC4pYsDdRykBNB53z97Op1GLzdhg0ZIP4FVqoswmCzyI3RQPTkzIKJfr -OrnMZotQMmOGr59q8tOmCy2ZB2tjciCbAVoFVZsCW+aiksNqwvwHF2S7cuzy -zpQcMSSL4QICS5p2YTvtWS5/s+Zk3D4j5YbpFsu5cGQmZ/XGF9IHA/roB1ip -DFvoskYqn4t7ItAWV+VDPo+NFUixoGweTNvqWrPx/ZlqmMvrY/61X0CvoBS/ -GI3rekZj2CpHSLDLlNF4SVie8KHVCNlT+2nTs2JIZa0P7EYZGXDkPT42sVQW -mcChflLLc61SsDaLKWHP9ZcJtWyXzcpn0VZjsZQlExbP7YfvLnqurFgX1mcR -lpjdF2EAdPc9FqiWzZfjqSvZfgyxpia78WEN6mJqza9wnvzRORszC8utyywr -szSzKm8ODtcK8sahr/LrCk9ktp37sLnCSSnv1kr5vtrmpFXFk/OLOR0/mRMA -exT4hUOd+xfrTkBey3K2GsOWFDakw80vGbI0vZDLclqmO6LdKmA+ppOo5PX/ -xuurFTNZLl9/NT78LlVRPKxhXws+inuUvfjhRBe1q2JPsiqKnh+/HpkfTwp+ -+bE4XsoJsF4KtU/hrmo5CbU9nkvxlLLbrWRTyrJbOa5IG775/Zt/1vz6c1oH -M+W4Wm6Haln91q1aVr/xp7huBMv5DjrrsZ4nW3MF9FgfwpefVcqtnVJ+katz -Xm8gpgqK5oFXCBBvwK5YXGYNGio0AXUNIt3e4xzeJAZVvMbAY4AdC6BiWLo8 -LhNpzANmm5PG5v/vvjvnoZzTijc0hsJpy26TbpDk4NjI41zQxznd/dmxthZf -0snZiwE15SlkMlTs9WzGdEnvl0u6jasR+fH6SOkeWo1ZJQu/4gUxVVRGPBQE -3AEDZWfIUGVvPgnfs8X463XEq9hQcJxVyHPFTcgNAWMKgU1xIl54AxnX1nOT -qGOo+gD+BNfaY63HeayMqytlXJ/twjInbqZmxMKQ0Ci1dhFFfK1Vwv9H2neG -WVUlXZ/nhgYRcxwlY0RFYneTc87Q5CRBQDCDSM45qZgQE4KKCCgKSM6x6QwY -QEBUBCU0qOM476/6atUOZ5/Ttx1nvvd5zp3mEmbee3ftWrVq1SqlAe5l0bBG -wp4SQUSFDdZQmN/jC4rfqy1yr0FCBUdwYXE2wj5QTP5YDMxXWLI8wzBVHMF9 -xn8VXTHQWlz/81+tkvkUv4fcfXuD2v8Fl+xD5LTJGM3rMv5yBCb9Eaj4+Nfd -tF8/5xkFoBnywobUwc8YHbcYurdmbvoIhgZ8/pEeGRmAz1L5ovfp0M++buMp -FefQCBqBM9Az8pg7CxhAzkan4Wg0AmRz9yDRbEnmVkqP4aJl3ybyB7uk1lTZ -n+sqG17qEGPBFhKjekp7sUqTym9JGY3y+XipmdJEQnmsHC2MDHlwSIbcTW+9 -7Ojv8XEaOQola62/SyrrMT0pV3vV9Ef1LLGcqgJzmJElp4oGI4iWtQ5Dj+4B -LSsthi9RtiSzq8eY6esxzCjfH/MftA4YBVyWE5HM4TE+l2DWPnFKh6HJZb33 -WjQYMrLnEsvOHC9IZS6vD5o1ZXq9CHQXi7Tyymfhbqe+gpLvl0YSLoR2XB4j -+JWDRTdNJvcXPMGlqPV4qwQcYZwrhGXTsuMD85w1e68GEbJh1Pb+JyLZjOx/ -7rBoXyQYQdryFyjZ4AKLkjkmGSd7xf+aRWOUHHK1UEg56iJl/qcYK0eAELyP -jQbTYoSmKxIxy984WPm4jxfCzLJg5e+sONO3kHX3jvBjdi+YvSOzHI+sMLM8 -Nmgp+4ijRzb6C3fZb0GcnC/7F2aLDln1qaG7QCW9Shjlo9Jw2lV2Hx0otVl2 -3eeVXCJ02vFy0+jUPSM5nz8m8X4WY3ntGCP3qc3xWJ0TJSdJjpPfl5anK5+W -kuYJzvWJQ9fTEYzjcRLcpm2Q3+Mz/IrYVlwreuNheTdIg7S34GPDItfRlV5z -7cTSUQa5/fWR/a12yDDIlS2D/FzQ4dtWf9ML0Va4GuNEo3fvhhjk9wvoK4I6 -49UJdMb/DYu8vRDNseiOIgDI3g3/GSI7k6qFmLvo3kqP0IbKowWn9BK5u7jl -oUsqW+lFaEpPl4KmDOwy2T/WPfWRNhpkpEwjuUA6tMe4o5o2ndniom2MYIkA -GiMfQGpRVcmH1lc4Iptp4c4im7WciXRFIit4rNyOl+ixu0Vib6zY4xf0soA5 -Ao2Pl3K1xQYaj3X0FM8n2PlRwKstgtzpPWZkxYmn7IycQmzbHHfjAsRxL6lW -fwqRx+LA6sBjn0B29cYGHruaY3hOaZmFGRTSA/QB3bE7jQeLN86oAd0xlIrL -lL0bsh84IrRTke3gWOEu4oSdm4XHWme8XHNBam29UheCDxop0BhLZ1FNI+OV -0CY39+j19ZUcWKyn6MRdorHjKNEyRBK7cNi3imgiVhF81zCU9aFw34AoQsNg -hYKLWBRcU57BCgFnPgZTVf6nGP9GcE9x+qkupjrAvU8gkzHyjevh+KdEAVlJ -nmf49x7m1yLaeGe4fkaI39RtDf8bfBy3+LjT9J9VRpmcz/9+50n5/OeRXdDb -4Yff6zIR2HkCTNu6TlANH3kAoRWYHgvviu46FQVg9KgrCkHHpOJFOIuQ4zl+ -+xG8MG7m/3/6a9Q8IISaIdhQfHNOQsQsaDnMMTv8suwG7Km55S56uK+DkWIg -8xkZhhrkM+Y3ZpjX7UNtMNV1aTWNA9kFjCxyRJn8rt4nwldEqVnqSoAq+W6t -SjZDehzH7pCeRcd1nC2X7iSsNHEcHrmDP6wncqeeWp2cCCEPSXXkF5pPFqWy -j5LFE26kXtQ3Wu8dGWtQclVftWzNLnykHPCJgxwjYHrxQAE5xr9FuWwML+62 -qmXhlT8IcspWrawlGPlWfqEaTzC1cBGyDOzlFqfDh4tRlp7c3XI0OM20QDec -FDr+B/Xhe6JbbgVh3qBGbpvTTOK/GZfAQBQuf2xkFtKHPjRGO8Ga/vNsf2Df -ION9CZBxYJleYRKLNf9ZhVwIMi6sv+yj4xs0LEi36NhILgLsWUJoEIYHV/lk -8idHNJOmIcLKLw1MgO3OR195b1hGuYW70HqZo1ReUtAQq4AGI7yh70Xf3MJF -yp1dN1nDKI+7LNeTO/Qf0F+428oGXAkYZYlbcltlZoGhf/SqwcBhrAmTelBx -onredhffC6V3iIEFnK5wHxwrO4tO3T1aWK8z1Xs7Rm/aEXkGx82i++i3D8pJ -Tvx5621ypo/xec7mXLhHOyB/eFTpLOZYFfLNNFAM3crI9LhRIGMq3DVeMepj -3+l4cMDRu7Jerl7JGLhZ+ZAxpfhP03chZLwv7HKcCBkb5nhlqCr8H9CxHwd8 -1jgSvMkWIRdQ5iMWth0IQGTpqWx25UeZQflRIcrkRE0VA5ObJoDJLcKL3N8r -uFvH1V4EzCzma5g8S8NkVICT1OJqpFrLJOvNe8P0OX5Wq5KR+3CGJ7f1ITLy -HBaGYDHtcsMCYQoHxm7ls2hnmYNagrzNepwqeLxcD6a/q8UVr2vm2Agr9CZp -Bxqf0A4U7vbo78y4nRZTfHffswXW4Z1OIKb4vqLBxY6gonIBQQWfBUbGDEwc -bIz3Unp6gx3ZcTfZ4+Xj407WyQ34WAbn7TyecakwIosm/q4vMznU2u/zXjIY -uZ+PkX/FbB5y7mhnNg95U9zd7qM/wRxhS4h2dpN1elwxY0gdLhXWHT2vmDi5 -7XHw8Ye6w/SK3vghJk16WmEosLGwQSVFkwXHia4ytVBVG9vU0iKKhkpAYali -g4ld0YRDD2d3p8bZPZ0BuT5aJGzEwf0dZYQBwoNl+sHQwKlKCiHsU/Wsx/k7 -YgQsAFiYX7w8zV8jinhcVZUzh/Mf4XIeLyMAhp9jYPmwaB9HSvuLnwg6vHg7 -Y7RMFd/WsM7fn8kztHFx6jT7LODw9AsRFKYRhF0UkwERJBb+5ztPyVfeSco/ -KQKsHMGYeARzAwyHYUKKrbCAyV3HWrZZkc2+UKOXJZmLKoisB8v7usSyGqoR -0wyvn4uOrZjZRcZPaEtTg4yfHehbmxr+WGb7uvoWp1BaABFDZTHLrs762bE5 -9W8KzOuh17StPBfSpffqXbPrtAB5qagNZbNeqTniQyNWbndzxAsSfiIBEu4W -QsJtCux7/1krKn4xkSZcsa+q8NGwtq9w0HD+IIczNlYWT4Rm957V83sjqquB -AWzh06j4d+GOq1lU/M+JVfgrY0zsPW5Fyn9M18MFMytpVPxwQZGysYILqS2C -SgvFG2NfkDgmr3BEyWZ2b53ijMXKIoSGzW76rxg9wPPxkN6j8EWgD61uCIWE -72AkXI6R8IPiN4NbAIMEqIIR5Q04soEc1Dwe+s3PaMSgxMb+LJ6DggNb8kK7 -P/YUsvsjEQre5e49CIqN1R6QBDt1txXM/k7/OILUH0HuR6dps7F5PYRyfGMG -h2Dqhkx/Rm+9UlQ4AuQI0j96yXn8Z00vuaFGwY0MClZIWM3tR10Rpm4tT0ss -rXAp45C0on0CyrhjwMbCuLw5rleJpBWJ3GKNu5uRVQz229AooMW2Qq8MweYx -zCxgnQJGepfW+E7cYdFC2nQvXNzUaB6kFHA8/qbMPLGo+L7CE5yc+3JS1StB -HmEAPKIa/TH7IVkH8utHZUVjj9bHqYPX05c5xelg3tWyE3aldjWer0VAT+dd -T4Pl2JaXY4sZGXFX4eSF/qayoOhs9fKWFubEk2wdVp7WtHB45O5/Bb5vFyKZ -+CDgyBaghX1hcQRnHvJAH/fiRO5cz6csePJDNeCObREBv7FwGRjB6feu/a/R -r64EI4C//D/Il1IYR8PeCYXHpgg0G/Wahbeym/P+fkEA3O7tUwEHcAWAQ+Lj -uXqjni7s4FUBKYWM5rkc8ePKHQrnF60OpDmQPgJ+Wzrgl1PaspqnRD7xaeWv -xY9CzdVwSiu1V8/ebdS2bAb4LtXeE284nPACPWc3S5wKTwjoneSoiP29HQEu -OJF6+EEH8AaJYP7sGe56TyqJRAHAGzKbqN47oCT2TSdCoLemJoVDwFeIYdkf -bYAvSCmHHA7M4AXJKbFqM3N4BcBvyJjCgF+4PcGqzZhSrCkpd4FaN38rfb// -RiGHcSdkH07seL5Qj+hOkLR2PQ2TnR23CCHcE6A3t4Ilg9UGzbqiGlYGEooE -VoYRPgEMIgjKYDMc5+of6ku7yZ2CMyB3cBDkZkLnMAw87+PeVZJBlbbhKXlE -k6i4XT0F/Cx/1RUBcB/KHMFRXTHzOT3b42DbIhbb4upSz1h+xkH5Bbh8aLwX -13MSE+Rau71h3f9BO8EgeN4Z/t+TNvuXCMrPGLqQfCOlzbwgxjH2mX5B7ERl -P7yPlacAK0/OB1ZG9jGPIZOzpNEDrV9XjZKBkLuNKUgiI1n1HuV7LkF30c/V -XBRkjQuwxU84ugrRVIie4oookM2UDfbzoZkku7Fa5cukDZLdi878rsHB0Fxh -dnfzPdm0oyyq5d16L9Ynwv5ARwhjmmOl5sqlcLLseAcDu5Ztek/eX2Lgpj4G -5mrTDL6KaEkzwli8I+IlBwdf6qt36PXXm0Ee1dtBhoSxcIrCwk+FsbDWUYw0 -WopqCbQUYImr+iyxHdqrrLdVVwqyxGH1sbV9c7wtjI7CqI4NO+xgYaM0xkVh -ls7LwC5w8H41jAd1sbtyF+MIGNRdoi+Mqdry9TH4lufcJfssO/HlgEsBOgn4 -WGEMAIGO6hU9ZenIZIzwd+AB/x5U+Lf6AR//piYYtAvvvkst1KL1s4B+0mHA -gAp2bPKuc/rDrnay0P6wi4E9BwQXc0GwiCWCdLDtFEcAA/jmcVkwAwS0pasI -Jw7zjdLIbAVZLTv0Vh3lO8NhhR2tsWWFI+giezMLWFi0ftfxtSrM9fi1kOtx -IXhYrqppISfYceqqwfXyyEiHFH5SSTLtiH8/XBVXrBOsyCZa5Evj6GWNJyDH -xDoxrMvbVi5DZnNBnEFq+XWpl+hE2UkilfqxSr/garyRVemPeRwKnAOvrFIy -iR/33ETHs4rLrPlObdO/RJduk2SrB2z3YaJUVuQRIHKAgWGG1FoP2CmHwZ4h -h8Gh1naish2sc1wGGf9WdfBvMvDvwYLbnwNuxHtdN2Ljxv03JMOFlnwhd+Id -/tHfyJC2cIlQsBkSkhEDEe/zbrJG3gWQcAFtfYaVS/h9ETOOKlHgSTnIJ94x -NfaUcqj33zf5TrQ97y3fudCFw1LqzQ+5VfBx7go4zNmz12h1jFHSgRlC5lNy -CZXlwPYgu1koDNfB2uroQiYRXoq+146XrxUYnGthsJZGiOXEi9q4f7be1KEl -Edh55dpLhK0lAk7DRiFsoG9BHcSPspkjpBA2rsPKXsJTMuEiwUE6QGCjiUiF -yddPNboyPkoAgfn3ODD5u1NebAVhsHiyAQZbKwqdlZuEsnLH/wSFHR54WuFQ -GApBo5OASw16nRi0O5xXjA4euYq2c1b7XFtPvKmvh+l6qO4p0UXcSv1EE2Eg -cGXl2phdSzZtwKhfzeQa+NteVFXKwbGLMwzXSy8D6mt1DmFeF+U1ekspWtKL -HpOPd5/U/aYA1uWrB2pDZNPnQOxmjORYEvWh9KNGyXujOXsYjFtZHsG5kn01 -xo3g7sLLJGiFD02WrFw1fTL6nulTovBO9aJyr93eqN7/IJIozoH3gwq8+ef4 -T6bNO8cHSHLLXOeZ8zPA8pxfokDM+APo2JiOpDwXQCnPuCC8spekxmin6Qem -M8hMU/IVbI4r2DxReS0JeTMBaoyuUDJz7ettUy1NDZYtUPa9MgLCZFwJCQGy -QxgLWTxAXRdCFPdUbhZjjad/Wy0WbHaBXmj8C71qhmoYFKOSXlNJmTRtLZ8p -AwjwNEYGRPUsrhR8XcgYugbEQXnE4AIjdj/VTPsLQAzjNscJpmUdqULFz7gD -A+I0350iAIqNS4UhiAeGJBMGGA/zgfGvTyWrnSAOOJbdICFw/LtxsrBeyA44 -TiCj8MfzKjp7Q1zzNyWf+LcRGetdIUZgHCCJtWRCTM7NcvnNChRjue5pXUXD -1/gwXx0Hj+L6UFU0LBzROppmAXEJBsT3yHhdx+w6IrjE7Czayah+a3Pop2r3 -iUoiGB6VAAy7K+4K+hPXAErYGxylKygULuA6IbTYFxyDZtVdyo7NmKTdscW7 -2pLBATC83fUstoDYU4rJmywMqGLH7FwB8aEEo3b+uJ0rk2BI4IXVxKCJlVwi -6jJlkJExNJ7mqyo1OG5qIEIh4uKWYYO3sGzCCIzd3QguOgajpl1g0+aH9+iF -nWAvB8yOjbB4UHi0H1YVfdQIr5gbM7yY0fKSZdogJoY9xacPf0Ub78mlnWX2 -08FSG0Rp+WWp1+jb0tMYEgzn1D6Ak28nOt+eUfGA2pweq9AfL9xP/3q/LF1e -U4LObbuNTh24gY7w+cUS9PWwn9BOKmhmDM+7iQZzIQdj/a45upmR3UDOLmxA -4XiPlqW7h0ZGQ7PUjg61hyYkEk5399j5bLDdR3PgFWfRc2KBsPhwh2UQDhqu -YZDw7tVggT/xrv8bSohEjLAFxGCEt0FU6ONhEUfs4kTql4UhYYQCxVIZcmIK -YmKrpI8AFHsxPw4UJFa1YdStDfW8XZEEo6cWFXuqQdLZNzb+S6WEFhQvLsSh -whxng4r1vF33sZeluEMafFQTxFANQikI7geqQMzNzNCIGCnNHNnVlb+hdXBU -AcdTJl0UEQfEk3St40n6njQ6ZIbOEsJKIOwi4ZMWCfsmawFBMEjgCmELCaN4 -GJJA8ZDYRkKTwEDCyb35q3JR8JnUHhHAYP6qHHEwAHHNzt4jan7OcMEF+GDt -xWbAsBEMN3AEEY0a+bwwaKrmIUDcpSAgDs7XVVPtVSMeNsIIDYghChRAvNFs -wryZThy6jr7OLUbZnNF2cUaDWOojY1+uZ+iel13Ot9BALfrrkXt/aKtPA6mb -DQhuKSC4k3YG7mYBcAO9Ml7ZmQ9wwO8QO9OmhQxULesJAb2G5K3iKxj4GaHd -/V3dwihwu6MF/46R6RtwuRHhcqMul+spnFtEcK66oKboZ6q+rKaht5k+PYJ7 -S36cyVkZ9fxM3AMHZ0VxmfFv3N6ofuHGE7IpupgLg68uzhF3WlEsL/3Ef4Dj -jv+VTpjsfkEe/uc7LTiL9xacU7o8hssRvApmjiBCo8g4llM+DzSt6WQGxkpx -AQGyQcZTL3pKcdHfV1zoLa+w5O884YoILbr643wKDY9RxXBvx3Cpn95mZeji -QXocz4yTy5iM3vyTyLZ/Al8TU1srWhjyCGS3t+r+IEJh2DlCQLXp7hzaWSqd -9pXcIZIImNJAHPxVCYN8lRxCkK9s7xjmbLXr6/iwpak51rqOMLgw5NvCibP2 -tdUInUG/xnCipx6l66PFwoYWdhEwZBJDtLUxI2BZSfl4SoAevvJ0SgQwmL8i -BwjzV8Qw2BvkqybGOP4Uhime+BdTdw4ItgzxiyG1hFFKGAC8TANgoxnWrHA+ -DGq+UOD37HblZHyagcMJ+FflFheH172y4K4YLWfgC9/y6Rr4DoFGKud+0QTj -WoAGCjpKjL8i7I0KokrGsw7oneJ4SMzXbeJXNCgwi+pc/4igBtj3j/g7mwj+ -akKuUPoL1NdeDli/ERwQQ2wVMYSdFoIYglEvWs+mIbzRGZ7zcW/ETtApHiwp -sOCrwZrDRh0RQdrn/w5HHKGSfwS53xtn2WAFer/RKMAu+bASicB4kdn04bga -d9ALdDu6C8H08ui0+cFFumkz/Gk6qbDH+Quj+7iLdJ90p/Mv0wjtyzaOkcPk -9vkCdjGZD+SAuYGPqn/LV8GXtOWeDNpTdpvMCBwu+TYdKzVb1gwg3M/W7kzn -OzTnFMhAdxynu5fvlQnRS+vvEMvRrw9dT4fyrhZ7Uah2zBkdjm1SouW7i7oZ -m0DZTd5S0pZP+T4i/Iw/CfeUdRmWc5vhA1zIHYyHWvKBgh5qqYFJT6PxNTvK -w+4QYY1veALOkTvEwo0OpXiIuvhW9zui7on3BOAC0QZ1D9L4iADievGCM6Jc -7EV8iFs0AHH9HoilfiMAuXAxNUf+iyyvIM7VvhKqxusQNO0uMDX3VUHDYga6 -rZcVZljs7Lbj2k2OsZmYw/HVO6CNDBhZDjwPuJ1hhvbte0X4G3A301v5APed -Wt/T8mSuySp9TRtk/yKEfGb/4nptp2bA7Zt6y4aheWdpN2EYQmhg6yoc7KSb -oXcL+qL5tK67SE4ALX+gDGn5Q4aqQY+4aVo36tO6yT21nLeor25AA9WiWqtw -iCCVRjD4xn9fOQsLquW/z7nV665H4ILI1vdT8xUP4hiBkTiDblsURLcXHXSb -b9Bt2GPNuEcgvWnZr0W3n5egCxv/ofdW3kTHM6+ho0eKyl7Wzdpk/x1HwPfc -YWW32B8Owrn3Urfch/VSHrOr0t3r3kmskRSla3a7GzQ70KFxDYX7eECuYKhb -0LZY2mOUCRUzBb2GJAljXXpWIOtEjlWMFijYKpA14hCzatfPdE+B1rhuQM3U -s7mzBLECvM4BeJ2L6hZiQ3nm40I4MD+O2V1BtQ0sqr3KItm/oXdgfNt+0Sn+ -xzjk+M9JzwU55JUf+T1+jSAO8RsL9QDKQogj+DUCTBwVOBxTC6VesJBYEHFU -gHBczI8wqtLJMsa/OPKKiMgrBgUkFRoDK1YGiWrSJaOisLN40EGZMfAeIRbY -DLoYjZ9rNiqSCMP49r0SGHgB04tdPorFOSfSh/f1SDj6m2B495TZpW2TVjoY -d67CuOXG8k3wnJS1WLH+o1nHAW2SCPCN13AHK7J3/VkCGBcC++aa4YX0t60S -2gPnXnBw7sXuel1HLz0U52LdMNs7SPsTh/CurPQISSL00FwEYeylBVTCvxqH -CWd+7rcA+Wswb9CX7Z/Osuh/GdLXIXytEuLtuy3WxVYPYAQoIKAEDm9+x94e -9IeOZV0rG70O6UsD8sk3+NLAzOwIwbilqWfOQ2IrDqUDLocm2d3kMsCgajUO -fGCFylyWKlmkwbd604adcTOEV4IlzHvC2NZxfnBYrRSV9L9g6FjQIk3EvYrM -Siow6aY1DkrmmOTIfPe5DV4f4UaQ6HFt6DQPgKs0v1GX2vVE+BgBqwUXm3WG -29XqR4Vz4yGc6/R9FbMbdVd2aJqrqLWOCEgfPjTs7jcRoF3vGese0Tqh09p3 -SiYJrOtstAtIHwIbDRKs+Br3F36tVu6gSl/FjuUzeOCroOkvstJrSc3TYkm+ -7sE82n7XPvFhzSn5gSj+T5QfT98/PJRDuyvj2xYcYrXot4mV6PdF98hMy89b -bhN5b072NbT98NWixsHZnA5XtMO30OA89CrvFmzbKbsuJ7AmQskY8raBcSzJ -HGwdHipJHzEkYzDb4/5iofJfuToEFyp/ECJsXflCgvm1nZ/xV84nXPS8lrnV -jYt4IuZWNzBijtuD1HRqpC0WELabQ69quqg/5hkAuFbi7qNbv6pzvCBqoKrb -UEhVF+hkmMHPqDvwpuU9bRx5j0vlhtdyFC5wkA7FS2dCWt+LkvME4Y5WhxXc -DQ4qijHYIqEQg753ehtGt03Pi0wPg5noRn7GRRiMg2V9Yqkd1vjTrJLC3gx0 -JJWvwxwRL8jaZAysuahWdsIVhmj/w/4LbdhwxqBZuwPDoWjNqmSIFFI0RZvk -g1ljAKz2xQmg9br5XsDydCjI1op0weBahW1/rq9xbYNmjoyhica3DfU4W/3A -oI0rZzDWD5JVB6ieKRgi9EXRC8WgDHqcdhEzaBuksA+UnAEpC+omLJiCUeLX -Wdco5vbLotKHxD72hYev0cMpN9MQUfmDsX1AmxgpG3FjkCgGMNZG3CzZ6ael -CT4zm6yX7SgZwtN6nkwxsZX56pBUJ2UxllCOgcQgwi/jvausgtZC10NhtlVj -VrzMFFw6S/eK5ijAipe5eJknMBUvC6K4jfDTi15cEUb2QXJdiMti/8sx3FOC -aBsWztP+xSYNINp2b55Atogj0viswYdFVj+p9U8xBF8UKSSCbgr/WwhETFjz -41nA+/IZF/C+BMDLWDfuK+0W6Gf+WUX3AgfPO+fg3J8F5vLbdkJbOeXLcJ3X -1Vc/TFYqYRE0oX0zXrVwehg+96/WroZsjsRsHIInncAg5QVnC5IGEt41MrOS -TTvL7aGDJTeKwElWqwqOnaMsziBc4moW8Q67woSS3VrakbueawTczA6Juj0R -wbGmcmyjx9iMhNfFst00lu0ZxLP5Lp7FOjpEIXwKw5jWVzLwN3JlWKr3SAEu -V4RIIVXDrwlUDQFjiBCwdYlctZ9DKxnm+xJfReBqBYMGtNi5g6pXhl3N3p31 -d8rtgHE2eCaeTL+BvsopTrlHi9AOvT8DWn9YRAkRhpuBgQK0/OjdYDgV3V30 -ZVJkwN0nadX25Jna4smoEgqaNICgTQ1sTP6YnwSKhETy3EQErb8yVg3qxBOh -WE/B2FiYsZJ8Hg1h2CIBUydfspuB2TUvYqd3FIiNiUDBAllAWHeMLddfpOEO -8/wFjk0qaIGmsGwEyd4brDSNy46HNtGFNzP7Et4Odr+G74DWyTigGXso46Jq -Rn8ACfhaMGtqB2hn4KF6fE26u32UeGlCRw7/1hdpnoYH8AlfVeVr2nBftoz6 -ZJT6VCZWj5efRqcrPskh3Z3Od2zJQVOLfp32MP3KRzZ/7Z30486bOWEVp31c -W63ReruZ4kl9I+PWktQ7V/cNcurJ/LQIZrK78JHsxZi1n9RWZmVLFY1Z7cqW -9EmBBd+m1nJdeiGiSSmAV9/xRQZ7l4VEBnx896zQR3gljjFw6e7VwKU+XsV7 -uz7jU+UqDTBxmSpHWo61lt5GLWZNYbRqMavTgxDMmrx9B4DrTlCxu4BBfc2B -F+xEWOQaBq5xcSkxtKw+6/hfscnWa6oloeo1ztPhWc06awtzLmlqaVp/aC3k -71dg3bge1Cxs0bKhaRdq7z5UX/D+1ToEnNZeoy8LGYOEhWYjqiyc0HFdL9O0 -dnw6m/mnE0rcdQ8cERUu5qsPlDROQx8J0eI71s/XbgtTg6BV9AVQ2T6rvDkr -+OraH8KA1QerinmNhZcXK5iaFFYSGOJVtAQCV/2pMuXG29lSrxER117rWyvI -0863WHCxar1WYR42gnTqtQvAVXFf+CvIana4dqvtL6fqr5Kk3ZQ83Khwq6oE -BiYGDMybyq0MKy8gOBDfwp23cOV6Ax1hyArlLYx74Ur2msjmrle3QC7g6t0y -R2JmqY38SDw3s7tSo+yeFqbWNl7eWYZufZJvh6dlxroK4KnIkYwaVlGrSv2q -hlXVrTHZ4tFqlkedwZXvDGn6V3O5U5SSfLOoOZX5Fo1GFBpNYTQa1SWyPIJC -F0aRLPHTK/jpVfz0Gv4cZ8+UffLgV/sW8W/seyOCjArI2rjR/wxZ27xzHF2Q -KBiPOHohMZSK/Jfam8GPN+RXb5yOIIFEAGqjSCMRB812fOVHANcfLUGrpAo/ -aX72Jy1V6KB0cGJKrykT6OFm6wlqY1BvmFiNUDsbQa5ZgOpOVD/ns61WJzfY -XxIJhhVKgiktLtO8Jr9w0J/hoD8lzOoXFXKFUoHRJnoweYJGX1aWYpDTczWq -9LJaNaAZVX/BsVINnA3vhkmERo1kvYXa6CbaWcOsdnDMFTr7iNQyrBaVIrBq -8WfJFSF/vj4yrcnv5Q+Q93zGld9jfBoBOPV6BmUGWNVYAJ4WFN0K7xqAp467 -r+/O4O/BgL4AE2hm/8V8pSuQKDeaAjgvvMeQ9P2yag0kLLzXKP8VcKuAo3b/ -1ZGitPeI8hV7R0PRZ2RpDfqx1al9diPO++1EMlQ3s7/K9xkczYdG6X7rVN1n -na+DzPCob4Z2TLgOCWGH/TX/HQT1O6YAoFFnIZXoAgvJ0QmYJR+JCrEUEVYp -6sNQSdGcnKMBDIoxcgeDKiIVzFKOANAokrJMjsngGDCnv/LYUysqigSsd5VC -1uJOTwHP/hp4JhioCZiKnQrOjlng6a+DtSKBmXreXK+DtYuutJ5ogDtkM8gf -spH+SWtE93lZarUs9RR9+tBXtKV8Nu0vs5FySy6lb8rNpVMPDues2ZPOp7Xi -Q1+TfuXaKX9ZeUk3J/bfILPO2BuIua9ZR9D8v5nTyz+oW+59kl5g9qXI0c6c -VnpwSnmEaknto0FmhiFGx+hOnGn2z3LEKi8EAKY/0+WuEH7PWXzyvq9g3ZOA -EDUq1lhYywJKdC3jhIILIeTImj6/o2WVo5pAzbpD1Kw7o6rdzydXzq/Rs+6V -86sMceXU4iRrfXcVUbowwIwAW0o3IEP54G0OoUvlAuKFDnFWsBsg/GhOiB91 -4OXqQlZMOPCyhWMb3QrFUmDFhD6n4EdB8Au5r5awISuBG+0+Pl8yj3T+n1Q9 -PZD3MP2a0v4SzWkGYcpPsvZkNRc9X9yXSztKQ5+2XYa6FKRcIkZeX5d4Sfp3 -MO9SHgbj9OCW7uoL//lUaFDrMTW5UclZ31B1gDaoDXCeLt15Jkx3Rl26UxSp -XUB0dkbT3shSa0kLv3ZHGdKKSBM/KjBSQci2aOnXa4OX1vwbDo7k3+As6LX0 -e/q2r++DyZ8NmDT9fc6NCQFlV50De2sLXM5vV4YoQyFYa0qff5zepSZ9/geE -+YCxD+Y0rqxRPX7Y3oLhyM2+RhaOg/98+2hxmn0EUrSbqF/ubdQ9t7xecVRP -xrSUjj1N+E5Xx64WiquFMFWM1EdWHY2U3rzqyTvAUVv8BUGjabbPVlgxqqtQ -wYrSYl/AF7EyQnnRIS0X6gvkZc8HiYIPMfOB53VkhX2v42VRFPgQPy3GT2/i -5a0Ibhu8vB1HQSvosfF/iR79Fn6rpd/wfx/f/RHUajEsbYkiDUQQVxEkA/4H -rCP72yf5D+M1qkJOnlN8SjlZeHE7TGFHjt8QEnXRaf490Zq9/r3Th/veUyxq -mtIOvOwPXaje3JkgYWr0aHO1d6UGndbpHXbYUy5Ky7/rBMV5mIEMo0/DQJdJ -QaA+hz+idiwiBc1ofYEWNPmZFtf5gT5M/pY+r8gV5T0HaH+pLQIusSARop7j -pWcor1oOcdj0WJrTrgUu6EzgF2jNg7OQRiCjwaVIwFs6dGdbh/JE+17TnuJe -0MUFmnr7gwKaEUQbf+SMNaX34HT1gTP711Rgs6jvcmBdvwKDXREATq+tXhwR -hpzJdCUR3AwxoT4Lqme6DAM6w4eZtp3/qg8xYWbwp9mjqO39hO2UXsgNsl38 -YO7VtOHI1dIHQbv+CbkH7qXOObWE4cRIJmIeY5ZVGFZWBqxMn6hnrubobG6Y -zcWSwX3TLdXGTA3Yzrpty9DU9c4CQyZBxscs+t0elZycFLAeUHMmu4ONStui -9yJBdhNTmxi9UlvOvIhFlxFkZj8xq74lP4rm5BNhVKmOMlXJ9KSLyX+pruaB -zLR2TtSyns6eh6ifrG1TM+pzQh/DyRZK1UdCpOc3NoEXGM0yidx1+FwcAp4h -J9s0wxsJ26kSfDeO/O6a6QTohCJ1iO7Uw+QIMyzw8ZvS7hLNbXaeXq33Ey1L -OSmO1dvv3UWHSn9CR8u+RiceGMPR3JfOd27NR5uj4oUH6PzHZegHPn7Z6dfT -lsPFaCkfPVXRwF22nAxJdMypTW1ymkurrXF2d1GJAGQmC8h8iqpymqmSgepm -nE4rU4V78HeNOQBzXxhgwhNDjwLuCbKXqbuXB/0xFAmvwGVSwhGplJ1r0WNf -hx574AC7StIkpy7a4mioNc4sEpJSB6h6T4lLE1RLAjmjDpNZFYVSFRRKCm06 -1ZJP3Eu15ChNM81R9iLacmOdkpvqI/y5NhxQs1X1nNmqPGe2ynCZIRdafV7t -sgZwmXJGtbJkcZDHNFJT9Om6TFFNeAxTSAP+cfCXyl19UsdLNLv5BXXuuNBZ -U+lL2nxvptqpV2KDGMGJOzoDzG+0O/q3pafQyTLj6SS4SrjAarmo8JS2qW4k -okHDq0SS0J9UAx2wMbWHSEJDctAaXXQHHZRkZ4GU3lV61qmQLrp00rVKFC9t -BVwKrrT9dPyK0WWSnoQymlFrERABwPTqKjGb4SvdDOnCTJMh22uHd7MDySwj -61/Tcpe/ugvJJlQVnx2oyGRUmFPNHx+WpV8/KUUXN/yDfgLMPHQ9ZTLM3HpE -bVJ44cg1NDrvRhoqstEKXEwmczHZUBeTacJeYNK/tlaQV89Uu4EwxFRF4v15 -fkbrLZoTQnByuh42mqVgZJLjobfAhY9AlAulz+3FdP2pfEX4wdugFWVoeP8b -QioKaoy4qHHf24Ia8efkJpHbBKlh77tR5L0YbhaMK0rxap8oLhu8vC9Qs8n/ -DDVbfAhDR779I+Ae4ogriLiOR5EJotC8xEBE8L9irR2XfOsJLsV7S04ofde7 -JzwBp4XgUo1JBZdGBJdGhcbQmJTfAyptG/RJf83dWf9DAId2NCSo8U6fG5yJ -MBY5aMMj+/QYx5E/+rKV36DMlOwzQGWfCWn5NKPVRVrY8CwtqXmKPqn8FW2+ -7yDtL71FpKFHSr7JUT+Pvi07RRywREIjktCByrsjhDGViMXfS+IXbU1867kE -ONNGUesQ1sRIVIfaAbx50cebEYQZf6QyJdVDHvCZPWvxwZGJqd7qye8DlNkX -L4/ghaFn3LbgjbT08qM1+J8C1dlMt+JVGz5gKuC6z4q81AWd1VULfqRjKDDW -mZ8yBgICNrV2FELyV7RmlKP/z6XlxBQAbXVsF5Puxa6bxRk2K+ta2nYYy7iv -Fs3dYwwwe+dUoI7ZDTnyO3LU95EFJdUBLjnC1UD/TDXMjywuGdxoPpf4ek+7 -zyABT1lwC2ih/cStMulhe4lC9KhmojBAfsM8apGl8JSCJ6MORwmmJ+bPdygV -nA8po2aaORNYYoMlfKweTtE+AQtMzH4oVJmEPrpBlUjLpssYwpYJp/7jtt3o -TP5reNnTH4QKakMVvHR1dGGf2MV6rdjrif0zpbsx2zeQ78rBLdyRbksaWPmE -GMcr38xJHfJpdovz9FoDcJgnae1DWbSn7FbKKbuMjt0/lYN3IJ3v0parolTK -f/1e+mntHXSMD9m27Gtp6WEsK7iRns27g/pKS6y6iDlBjjfJ6qbTC7hKGMUg -rYzkamaMJckVhAyvnHtFOk7+UJ0r2Az5rSYSa+5enaDxHVdE+q617kFVYs2I -nFU+KztNCbRJTu5mOblbXHZ9xzZhK92Tm8xFUdQdT5LTvMtgSN+PQmPIqL/H -K8lqmP3noH+wo9aoAr1yQZdRfbQ3YTw/oAnZmGkAZg2/VlIAE2vqHUMrIw7x -mrpdcz7NuQWcjhuHkGazkPTTyD5bmZVgQmnqjbmv6/0GL+pOORptUxWV2XvU -ZTmKyC+g08d3xhjCRXql3ll6P/kEH8EjtO2e/bZBJnItvZVSaMsyExlVjlEb -adH9rqDpSoMoLU1pJucT7dDqKTu0Aitm1VCR8JJiHdVZvGkwMf+TQpGe9Lij -PjWZJMs+0IlTT1ud1No6LGVRq8z0n5b8tBBEeU463w2a8z8VFGxa4jICWOm1 -cFLiX4PLAt443V09Z81gcxwWVWOqCccBE0dZ67PoPvrjvfL0+0o1qnh2hwKX -6Rzx6w/DiRUrdm4SQ8W+uQ/IJtq22c24eOzAxaPqUEAGkyobX1E8DpfIN43t -oAPdDCvfDiJIs5xvoZVyC3Lc95ruRy9CI9pZZo2b4k3PZxkjmmX0744l8rvv -8cseeVka45dl+On9CHAiXj6I42KJItXFcb3EcL8AQDZp+j8DyGYrjnIMctBE -0BDAy9dRVGpxpafiBBBHKEXVeAADzajFmBHElhf1J2PfO65oTwBLcJ/vCtkp -xKb2TuRHA8j6Th/MUBKnQ6AxgZeiFWPpgQJoNSeo7ncfbTqH0hDdbnglgoSc -0/w8La77gwwMbKyQzqBwM4PCFbIcB2tkT5YfLxYY3z/0uFoBLYCwlwq6WoUB -whZBQNgoQWnlgkLMDTnA8HzbOhEgQ/5onGY3fzSMDvk92/TuXJvf4zDBe4aX -7CbvdQcj2UNQo+mE9xLU2FveM7NHfWoW7IwbxhLv+fNI6IwPqiHtca4XLWoM -IMaCHq3Wggr05GjdBZ+g7aYwZjhD+a4KHbmwgkKI79wlljoIYcixMSWPyaEj -HMZ7OIRhnjGNkeGA3JupW87D1Ca7BTXiehBhi/qvKifpatLFnqNDUSNCUaK9 -l8DbdJWPBncmmGr3KcaIzzFG3UkIT436JsilUet0bkhGX1emScao5WN8ZAhS -5lAobWpEmLIxI9TCjgIGipZS5oEEAUaDGkov6g4DeQWx32GF/QrnFdv7Q0HL -g8AvbIjaxrF6ao8gdhSUakzYNLF/DmjQ0EHoOUZVcciwkKagYwDrpvGdL9Gs -VsrI9MOqx2nTXVl0sOxa+vLeBZwxh9KFbu35TCXTJb76v9t2M2UeuYpWHAZF -DTP+UnzVPyzmo62zW1HTrK4C7mrJhM4TfMU/Ky0o65Qg3MAcPUlmpnFe81UQ -e91pMtc2aXlIDfG3J8qtY5gVR/hj5b6Zvhw6bZ4UVEoEe84awflHL1qQADSn -zjlwWr2rD1x1lCDJ+sBFLUiTcXPGF7bkWBfyFnPWTyT5oOxTF5QdUZKJh/1x -HMv8aS+FJcdFEqFOkGb9cHJgCjbnF+rCVztGSyG1h6YW1zlsb6e2ukQv1+cT -Uu1b2lAhh3bdtYMySq4RP9AvGYNh2wuYvVNlxkjL+LTgL8XoSZtYsNdAxeJZ -3OXY1KdoTWEN7dGp0BbYOmvS6TlywqjdZKrGuRlm4T0DtFQ/uG7bqIVbYOta -RZBCosBX0hRWACvqAKxm6BZr7g4vjflUGWcj/UQAtLz6SncoMKteMNm0dqRU -RkbVRcuneun9NBpuifoJd/pzCm6hwpe28UsP0B9v3SNc3pXP76Rz224V/eE+ -ruBXc+y9dORGGp5Xgrrn3sexV1NMf6EvROxBUwj3TdkSKru8HW4eCuN039g3 -JTQVF1xu4UAoiOSl6rdGv8BMSyLCsUU1x4YCbJnnY6aoxkx7lkcAnPDyESDU -Crx8DDXyx3GEM35aBVnyKoTw6riw/FG6rUmz/xJb+VZFjVcflm0UuHKLICRi -WEwBDTt+UotZrB/1l/wl4zXqCIzA7eE1KmIj7U7iCUhTW1yitgHEj1YhMVT7 -4JhqCL1/zItouMZIraaSd8gtrlXE7/rznW0MRHvzFLVdfCqBgd8PAYmSURh3 -4du92+SL1HNcPvV9/jIN4Jt92JAr4lEyudMFWtj0J1pW4wStfzCdDpT+gvJK -LqWvS73AddIE1e99aJgogMGq/6R5OCUi7BgUEdYPW325oomAcCIC7MUf5y9m -0kW1fyOICv4ijMxQnnaGoRMUBgTWsY7AsAhKlCjawoLAIoaow0t3AWS6QXxR -2LqLPQHITJ84pvrEevr7kqbswNb106ZHvvERgBfDr+p6eCa0NdW0h82gzHDt -h/+8XmQxTrFywshh+AVsHDztGWfBzPufy8vQ5TUlZYvbiX03Ulbu1fTp0eKM -r26h3rmlqQOXR02yu1AdzpUpXApVPTRBPA8U4fGqxlXv+u1bg6lcho3xVIpt -2/p4Klm4imThKpwhFM/3TjHc2radkAXLyiSzNmm3T68p1+wCYsBt+2OWbYsh -xZmBU4dyCzITGmfFEjRzY6YDFiQotPIqatq5nP3qiOhKMW+52mfQOHC7PvRG -UujMZLd3Z1n8edWPQ7MsoZ3mlsDgYG3r6LKsOwsWkoZk/yYoe4zT2izoBCH5 -HwheLZ+mdLhIrzb6kZZXP06bH9xJWfcupu/uG0Hne3SkP+ZWop9WlqKDu2+i -lVxNzzl8J1fT91GPnFRx82iS1YXq8hWfLCTt01T90PNUjaFVNQOtxMBHqwCk -In5D+7ObJbSq1ZrKxyl1T4IW6+6CJlQp6oip3mos7EJpqFx/bl+PM/vqvZg9 -blEr3BOsbs+bMuyJFhgO2WfJ3Xigr6rOXCIiLB5E9Pjf6zNhoHojgfVGeuzZ -ygZ0u9X3d8drtcDKo0R7P4MSvzDmUve9oHbc66BnQc1ySQ2BefdJF+mR5/Ol -rzK8rxKHv8RI/KPKx2jr3Rm0v+wGkYxiVPl46Zlqk8hdWgguY4kuttKSu+Q+ -/lhxaBf8WW2Kw595oPvp++LUae+3PdHj9KczVP+T/6avrvNVdlG41AmqiiFZ -xAGmBEvFpQ8a01nDZI5GYK8aRgVL1dbZoxA81apOUJ7uGn+48x2uqeQTznzH -JD2SDNU338u/r8SShdvpu/030a6M62lZHjbK305Dcx+U6a0W2W2lE1JLZHVP -U7UMBNk47SqgtA1Be+NXNfVk8JLBSnoTruz9CCxFEHQEgulD3IUIRNQ2ez4C -EbV7hQCjmAVGgEirZWhLVLVQP6zBy2fQ1MrL56huPofuYS3/e7c2af4/w6b6 -mu1tICVsg08PX6Xu0YafHI7juMehMhCNdhynHtWsuVZXgczScm2/wnWk2xIb -wFgKVS23V66nAFUVBabe9xU1LZcWlHO30S2PwPQV8BF6m9DUzf6Zuk67QD0m -XqJ+I/NpyBOXaWTfSzSzLbRwp+nzhw9QeplP6GipRXS8nDIfhpzVaN4QL6IU -QHAY6ql+uBfZ1LepKYiDIhYIRXCQ+WOxSEgdZ0FDURzqCPqU/AcsDpIH2KcD -XjoC7IgeQD2Kn4qAnIri/IOaqhVFC1NoKf6HLvawfUwERlSoqVQzqiE7gQQW -+aMaUosETSB1x9JYoBvOaUSyj3007hF+6cUK9K837qV/Li1Pv60qTWe23kpf -Z1xLX+TdSNNyy1CXnErUMrsV1c0cRKmcsJLBH0n9/4qu+ZeoOl+Skekgfmq7 -h//RAVFtwVMtmIIqcdNzibm6HZtvhDOK2xQjiCaeILvEbXYBE6UcZKTfYgp5 -+TFlU6a4JvLjmbreZ5M2ZHlhRzlkniJujzGwb9rinYhtLyIq1+QGyKbDXjM7 -z9iogPVcePvI18G5xqUQnmPRzomAFqgdjAJABiOqUHHMUVxSN85WvcdeogHP -5dNjj1+mpwdcpkldztOLzX+iD2vm0M4HVtGxu2bRmb49KH/xg/TlxhK04kAJ -mnK4NAOZKtQ+uyk1zepMDTL7U62MJxn3juTaFNwQmn6wjX9BdZpl2nWxzwft -UYPZqbs/pMAG8bBz5s7PQpg4bBnvEEACkAWsRDT3s2Ob6Bu3mz6eGWbdEdcT -rSAdq29XDTxrKq/a0XKc4hovV9M2mxYvC4a2DT0zUGPwTFJheMaLOmOwgNTV -/cEF9wDK2VNtvxTRRwJYxwO9be1cr4YavCTH8yXLZZsU5nkw0AOs7/scOZjH -MTc0fT+cKCjLwC9B8Qiswyeo89xz1H3KBeo7Op8efyyfRnW7TC80OUMrKx0R -G7HM0ivoq1Iv0bdlpzG2Ga2mVjHkppdCSFtAc0XCE6FOrdlVe6Kk+aRQkjNj -6nfezuquWzRIA8VBA4ELAgN0zuq2mgHJNGyGl6b40fbbFIZpgrcaCynEH7C5 -/f2ngSf8EF7qe1G/F9e0nqdq44qFghvbn+tUO7jY2JBG/d0eXbLIRCAN+WNW -Rfrz5fvpn0vK06U1JejMzltpy8Gb6OWc62lEXhnqmVNbKod6mQOpRsazlHxo -LFWXimG2L+0QELPI2eZkvOuXqcBTeGU5f8Ruc72G74QEDlbBlMD0jzyCVOIK -qaQKSFFNAHkkQNclIVYBYb4QB9AiCNerdHFxa9PEiCZJjY72fPTRR0/yG1n8 -1Bg8eHBU3i6y1QE64T/ixQoFQ/4kqrZMuA4REUdAFEFEFFFja1xtxq3UI2pR -ki8oPuIIihkqRe1OYMX5lw3qMvV93NpUCyg239T8/iuKne0y4zz1GM+VwuPQ -/F6iFxqdpdVV9lJm2aX0Tdm5dOr+UTLXfYarAIkSREeIyQltsfcUxRl1UYxz -fgXIRC2QiQLHRABkohbFxHF6o6qpxuc3CiQTA4wBhOHfZQgjlE4UkD2CYx2V -1lrcF/zb5lott7lWITRmGsIsAzXWH8zh8JjukT3tYP4JVaT/9a+XKsg+wUuf -lqDvuajennEnTc98mDpmNaYmGX0Zj4zmMJjDIfCqwuvA53K+V+kz/LnPrez0 -90+HN/D65a2MVPrtgbgVKcVte8AvZuMWbugeAV/8QqLEFHfCd3/cqpbiwUZV -HHd83EKLqBUtacakNhpV5kKPhhw/BNub+1xLk5L/2rBWKBHHxustZVILflJa -xbaevSRK4ceGXaYRfS7T/Jbf0ad8SnPuep++GTKYTq98gLbtK01TMh4SQ6em -WR2Un1vGCKp+aII0/ZM1OlQX0tuOH5s7ExvamJh4bZwdLrRsmP3mtirNjv/9 -xRP0eOL2S4wYpKi+ySI2g8uXWESzYFrzHVeab/P9xS1IjCdoL3oPOAk4x6rL -QvsVA/bC+JZE271cYTkwy2AYUA111HfFwOcu0PABl2hBa/4GKqfToZJr6Ujp -N+hEuQnSnRFjTmtoBFeH7lorHVwK7C+AEKtcL9GInd9SidriP2YyqDTqm9j7 -pklRXDpFcOfENQfQWO6bBnH30mlaV+XLB2y+/KVl3XAnX+fMUKOlm65/NCmQ -L6vX1Ej5b6OVB+/vLz1AV94vR+c33EHrd99Gk7Juoj6591NzLv6rZz7FOXIc -JXN+VM2R17VLUCGSR6su+9Szq7z9bYaemn2NOY3v9bYxKX3JiGXS4A+4Kcl0 -wjcXwXGN46xiMmFrcV3m3NK0RcJ8GJd3kmpysvs3v9GW//N5TnaX+eeb+YnI -bxcdhPzH/1fYH4v+h9QYLV5cgCU/1+EgYwwnMwl3UJLbMf8iG7Ys2Um2bQ5y -ba1kVClsIpBO4iVXkbixANRsgNkczqfenSqVQjAJJgGsAVgCdDLfZpT5+nfU -bdYZGjT8PI3qc5Y+aPYF5T08nU4/9KRYSJ+F0SxX8r6ABMKqZgm8REREgtyn -z6JgN0NKCZLTp1LyYRyHMo5TmYRjye+14l+eb8W/PN+6bhGbFGOo70VuImqT -GPBdxCZFr4wrN3FG4ALjb/7OsoGO595wCD6q0K8v3E+Xl5WlH5bcTZmzqtLn -IxvR+NeHUfONL1MKn9MUPp8pfBblWpQr0RTPW/mi26YsJuPO1gC9OWC7FtnG -DSm7dS9fbvvMU8S2uXHxHUD9cvBGXIFxewUihSmnAMljRdQ9WF3nsSK4DKVE -juEgRYN5TKSJWW5dHNHHiA/OA6K5ras30NbTN6QcHKNR5BPTiHNZY+GeOI+h -e8foqgWqXSArKCde/Z66zP+Rek07S48/e4am9DhFr7XMpQWTZ9PMjY3o2UP1 -qGt6b6qf/jzVOTCdajBIRkc0havRFAbEKYwSUnbhw/2EnzWaJjcBvoE/YLcL -EzOGtnpqLtiD8bPO9t2SesBV7CnqN2Dw+e9zP/9iFkfI51+0sM/fi1kPUP3E -8D3gBeR4sl9H4olbXXRE3MTK6sIxK7gB1eQqo342YYv8pGMVcYoY7bD4a5o6 -5hNa12M6pTecwLE5i7HqHDoJrIrOo9iXdNP5poNjB9TaGd/m3CJNer9Ei9km -fUwpIX9RL42uQijHEctFEcpFEMpFTVqpn4QojtvcIqg2gij2ykt2+aWVdkNp -XbfgEEHH4FZBRGd+Hz0BPowjcmQ1+nVGRcp//X5a+Ul1emxfA2qR2Z0P0Gi1 -RIGBjIrIFXxgVjuHZm0AsCQLPZEcWnugBfIRf1Yt5syqyVPUYpjrcJrw0+7i -OE1FtEvCzU1b/lXayOFfjNO5Af/3Gf96Mf869te/LekiCf9SUZU4bJKovjkd -8z+b0+/kg5Z+LaVsTkfY88lM3ZQu/Fg6ftK/qrEJp7UGv1fTfziZbEj3bqLa -X6RTnfXpVJefeuvSqf7adGr42UFq/OlBavnhfuq4eDf1n7uR3n5qHn2T1o9+ -btWBv9DW/DTjr7cpf8+N+eE7vrnc8c0bxPj7r4+rvJ4tcuqpSx6nA48n5+R6 -wSBR3ZSQB79qXu9qOt+8XgyOjlfrsqhFPeSCemh+t6rHx8g+nAla83/N+TZ4 -aVvPu5lRS30+U/x04KdjAz5XDfjm56dHQ7r0aAO6/FQDyh/Kv9e1Hv3QshG9 -NWYkdV7xMdXetI1qbd5JNTfvphp4tuyh1C18SaRs2cenZQvXF9W3MCqtDmha -DUVGNSjf+Ce5CA7wc9A8RZUqTq1/wfytXoOI3VJbD95iL5NrcJncpi7z6rhW -+Astii80hi8UoJZf8BVeJV8of43+Y77IDen4Hqn2BtBa/F1yVpdvc53/bTb4 -LJ0arUmnxp+kc/2RTs0/PEgd3tpD/eZvoicnf0KPvfQqDXxvHHVfOY1affYW -1V//KdXbsJbqbdxIdTdupjqbtvLHs51qbdqhP6Jd+iOCv2KNzWDpUtXHxZ8W -bEJSnA9OfXpIhFv24yPUj/yMd/XniXv4YMx+svhMxbJOfZjycUlrshg+wpvt -R1gMH+H1+Ahj+AiFwEvHR4gHgb0Z7/GniQ8Tj6c+0ZvtJ6g/ParzhYqB+mv5 -3K/aRS9Mmk1HO7fnM873Yss0OteqJ5/9ND6BbfgstuSnuTr/LZogBnByG0Ul -CnCkud5v1gDRoGICbzW4EYFRDOf9RtPG4GPOP12FcEDY1JXz792BAMCpV90N -wef1/KPfuh4OPJ93PsXt9EnHKe/egC71a0jnhzamj2YMpEHLplHLNYup8fqP -1Ve5aYt8jbX9rxHfHuh7/g71NyjfYgxfYBF8gRF8gUn4AuX7K4IvrSh+4k97 -6/4b8e0lSQxE6aamrewVWMReXPYKBEX0hHPHvcfQ+CN+L/bXv104YvYvQ0hS -k/B6AzL6TcjtxQxtvFvXm2qBjeKYd2pwACninVoLu1W0HGpiaqM4NMrAClfA -KEVq7XuV6qaPojYHe9FrH7eg0/OhKasqyQnwEQlL4CUcFzqqXhPKJymj2uiy -qhV00edbqqwY1fmxGSNa/vKL4hggo9a7GRn1KuRWU7w1bii1nDCiUT2cIAo6 -LgFvVWkb6RvwG2kdrCyY2lqdpXENf+3vKzzO5Wca/diyMS0ZPoX6vLlTylwA -OlQGKInByAF+ABxKqSGoUSpqQS5CkDCoEbBZTNXgVbccKqrwEEeixOR1inXh -iL0WCKqYJmZQ2FdTL3utAsb/VtQXwpBd9QX09yFMwhY9HwS2Yb0Wn66hGpzk -pUTcs0T6w3UPTqBWGd2oW3o9GrxoLo0c8iU9PeQC9Z18VlgUMCpgWDD8hY4p -2BjgKbA0KInwIQiLo7yHQBWYwTRdcwkDJELSGhvt57JRwTpNQfCHU0wxSowF -r8EnFLOfkNxVWImbXhyfkJAbxQxXtT9uPyY9qqTR6R6vhHTWVOmwU1Sz6iPa -KmNS6qiupQZbVtCcWePocOe2XNGk0u9THqI/F91Dv0+tSFeeTBbDDjmmvVD9 -6EqoizIHEZOQThqDSQXVXlGNSKiKg4zj/BbBAY6DWC+CQxzHtSRVWjGc5CK6 -cmsqL/UM28C13m2ybUQR+I2U3hPFIYpEObWthPxAqwEt4u8qD6VVrZfSqEHH -qc/485S28LTQY2hp48TCTAJkDb40JVKSFp4ox6WU4S8rrs4vV85F8I0VV19W -6sYMUazcim+siG7s3OzcWQlg2xL+xWfOzROCbYX99t+5syAOK4Yv9Roc/aJW -h282FGzziuLVSn22xEwzbbNBsCow/KbbBi7fhEgVQtVwIVw27WIULJN4azSl -t1o19ySAljNyXsal1zsisoBoNfngNKqfMYzaZ7WgwVkP0LKt99J3K8vTlTfu -pd9mVqTfRlUV0R68maS53VefrO76EuysT1QH3XQveBHiGHmqOogqDqpZXaNu -lAKiab2ipsvTuL7Mn6D9AzKdSw4+TFdriqtRYyuBaahPlXoiSiNzTnpOoCXO -8WnT/SgueR7Q82CaZhN31Daqo4XuVu324j0uU2gQ94DCq9aXfnh4kAiDjt89 -lY6UWUx7KyynNQ1W0+Ju62jMMwepx1wugF8/Ta25EG6x7Ji9bhqvTHjdqGJb -7t4cKfvU/ZtNNddruR6XhzWkr6gu4w269S2qq5SNeiIR5com67amhnCrw9yF -76IkxZPq21pR4UUVeDLXkLCtMbRQk9Q1VA0Ne76GrrKTH3uo2vY9QlzE8cp/ -ZPsuL2qvJTM1stPfqrHdPcpSWXmpSi1pXejM4d2gyjNzWFGygVSRw/qJEIAp -uz/mZ7niBvYuoeS9b1Ey3/rJmHI4MItS0ydR/UNPUfOMPjQgoyUtOFiN1u24 -n9ZOHkqfN1lF7zbIoindT9HQJy9QvzG/ULfpP1PavLPSgW/7+vfUZvF3/JWd -pFZLvhVtDFiwZvzVgaOXbMFfX2OdMaAEQNoEH9JAX0TCkXyWG/FTSExTcUpv -UFvfTsgl61Wxr0RyklSEmFEyTaH+rA+P4go2qpaEfNMxm4WThGVQS3c0+4Ay -xPmuD2mDFP7ChUCPJfjCo7Z9vk+kgabBvs/qN1QDXkgr+f7VV1/E/epFFeIk -bqVR9O5SX7HcRzpxy1eq7p2UPUv5K3yDauydTz3WPUFjP2hNS5ZXp4Nr76Jz -G26nSzAJfrEC/XNaRcXFjagufVnZJDVYufKLRUA/5dwvTaxemtfroe8icH3d -bLYTaU9EKZ+lYabU0B1rJ2lFUHv8sl1tUQzFNbnYpk5ME46t6yTpzhxfXXEl -OWrhPM3rerqTZ+8x053WVbDOiCLO8+520mFjnRLNJWWAXBvVbq/VSXgcpMfv -Kz1GJ+8fTV/fNYc+S11H81qfpOH9LtKAkeep8+xzomKHvqTNm4x33j1BLZce -F9VXc3uavxKuV4bkV4VPNdqp4IVj/ulWJzwCEkpaXDFQUlGtDK73eY65wvjv -SCusDtq5fO4lJV+Nk18MJ7+IXvt0S5O/zLegzf+P30jjJDqe/9PQ5rG//u3/ -lG9jnG9TzMEUm6IYkP7VyKBx3cXauRFd8g3oGXwRNy0EmXJCe8FPofJEtERw -1xqvqk6pn+i0ulq3LFbqTtoK5beEuT1p9S8VzCr90H1vCnZN3v+yGr89OJNS -Dk2imhnDqXbmozJ3MjD3AZqYez19cuBmOrn9Nrr0aSn67e276V/zH6R/Tq0k -ThbQj4G2vjwoVWnvdTq+FEjHqpVzvn3BVCzkXAsZ6vQif3F4lZ40rtR4jf0H -pzmG01wERzmqdRwNm8RtGjZTQLIfp7knk0ExMY6MqvkH6P9Up6t+K0hgoQms -px8wlnriqJ13vxagdFALJ6EjRIqGOTrSNKIE8+XVe4uuBdvcoeE9XeFpOnX3 -aPq2zFQ6XPotOlh6Pa19IINebXRKPP2GDcun3uMuUpeZv4h/C7Q1UAigFwsV -F7ST6AY2s7ngiI6aw05KzxO21oJSeFms88sqk9pNMaEd1mrIo5VE5taP6u1p -KY4WDgXYIay08SVL5vL3/PzuX/dx4yh8MKav+63qpt9vpzijquCoum2vrMeI -mZ6E0vMZRxA8Oy2RrowXrIjL89WBtmDzfDO7zcbcwQTZBq+2A091tkd3WVp6 -a5w4WqXj5yM/dsQs911pF6J7nbz/FdG0icYgfSqlHhonsYOZjwZZPahTdlMa -lFuF5mbcTdt3labza8rQ6Wc609dV5tCOCp/Rkrq5NL39eXq+90XpqPcfoU5B -96kXqMusX2ToAE4KUMDiTm3LKAEKWYA7KGZxMoAW5HR8qE8I37E4JU0/tqhB -z6dCCxDXQ4X+djuMG0YMdsgxbTtbgxpPXB894ADJIeLPV2MIe4pcnKgVkqZC -Nf0IrOhTT0zL3QQ8BKdKqrqHKW6xQ8TwcNKaT3iYPOVFqOyCHe2ekDDS+d9p -+zLb1dGpaOt8/7hstLAhRTgYU7qsljOh5lWXKdIf9yfuTnz/Gc/J+tX2OfVp -VM6D9EnOHXT80HV0dtNt9Bs2mb91N/2xsIK4+8jdOa6quj9hRYk7FDPgjys3 -IVn0M0i7XQ5woMYjelEQLIsu9a4ps1EYi9KwQ6yOILNh7BHD7RtVyINBR0wP -XnVCp7Kj0vCADzK3cnv5VTsr+NGgw0tS9VIro3LWfGAL22FpVteIok3J/aC+ -lxspFTXwRUOn5Db4QgqedqJFENuN1O7i1AHSCJOlJ+8ZQ1+XnU+5Jd+j9Q/t -oMW1T9L0tufp2X6XqP/wyxwrlxhJnxcFIoZ0oKWCRgIaV/At0LxC+wpVi2hh -l6nxPVGif6gwicQM/BkgXI+r0FnhiNs/1j7lEZRQXlzwCm5f+6w+wp++WoGu -MYwgGK2qh4A36gacd5WR6Kzxt+8V1wEoCuBbGydGKI4e7gS/kckQJHXgwIGF -vP13JHCpO6RUL6JXc6vFMPDIgc0iv2JvzFoj7NsFiZ+I/XZ9KjOcEe3ZuHsV -/q6FGx97MgTKxZaSWi3X4fKBHvdeJqMSsotJVIjvahHQm3q84nXtFbdQwgru -ILCdk3UYGWOoeuazslIDi3daZbehvjk1aExeWXrt8LW0IfN6OrbvJvpl0+30 -28pS9O+37xKJGAy1YJ0AReWvT6eI9F1Ci8NK5PK9aqp5wwBzUDtInyrGwMAU -21qKWFyiJ14MKSAMaVxPyMisTIPmUTWVrISpIlEVsarIVmWOGU6EetTZgowO -yn2GrylllJ0mvKraxoLBn+5qsBrG2xDRVuurdrwAeDw8RIS2ENxiaBuDoRjk -/rLEa5RRejXtKreHPn3wS3qt7o80sd1lerbvZRkK7zMmn7pxCoJxnKSfV38Q -PxFIkGzaQQih8Y508/FXMnMncN4BJQ0tdP9MYfVchdd1csmWoSyf3AzwvRuV -oCqiWTJo8gMy6agFHFpgF0gPxh1CEZmJSN/dPhUf06DCpzY1qDCeAWIfkOJD -Cc86nFmq6wtP7bdvqANorc4ZnzmU1ycBXK6C40MdGMtkeE8Fw1tOILwsA38S -BAdniGMb7HQqZg4X38Y6WQPEcKtNdnPqzIEwIu9eevnILbQu/QY6tv4OWc94 -cXArrtmeoey7F9GGSttpaa1T9GLzszS+yyUa/ggjz8euyGQxHAG6jrsixi/i -FDjnF5lGhvpP7lVGpO0WnQ7crdCyytSzuVvlXhWfCzNebaAInw9PLDLM8jGZ -Z7AGGqKyNWclalmMqJoMre1yqz5nEVH6JBFKit5ERA7VXbYproR7uiNwIK7O -hSG4/SNhVmWE+aQdvluEadEYdqFaArjwhc807PzcwknITZWkerm6A3HvYTRm -/2tyx8lsJ0PHGhlPywLcVtnNqCuXXWPy7qSPjlxPOYevopP7bqALG/5Bv64u -RX+8X47+fPMe+tcrDCXmVZQlKL9PqazuuLHV1OKU56qrkWbAiqfUqLMwF4/p -MejBDrwYKHehsq4pEkAZlwyx0aeWMcCRSeye8mOPwJx2d5lVUvPcUUt3RINM -BycWEcF3cCaixK3HQA4vGmJozxdgaOXiNQouGcpC2x9uWfc4VEbTYE+qnqYy -IHvE/YmhSb4zISPDgCXMLLCBB4bpR0oupsySn9LGCnvp/dRjMmg/ufN5embA -ZRry+GVZSocFdV0nXxLPPRsqL55R6zVxX2IHpw6Xdm+eUn6fSTI7gTUQrSVy -bPRo6ydxgmohTgPiPvChGaCDOYG2KrD2BYxXxNEAgvMv4wiyKEbz4soOgSNN -FvwVUdN8jUwBAFPUxPDi73haQekozIOMKcYtFIgqKFBj1+oYvwAP1Ni1Ui+m -W4nm7u6PBRRYj4jlDIOU3vcDPa78gWYnlimGQuDBe57YUHi19M34joYK5oZ8 -Q5wsZFmVQIaXRdCpbGjnyyQnQguGYzAfq5wxliHESJn6rJX5GNXP6ie70Vvz -7dkpJ4WG5N5L0w/fTkuPFKdtWdfRNwdvoLPbb6XLn91J//qgLP1r8b30xwsP -KjgxsYqEGZya4PcpYfWoQugSKz018dfFtLZ0R7atw3r4UEKzHPWl4RBRnVeQ -GlGHnmus5LWF9BmiapfaOYAMJag616Cl7jCIyEo7GltaQwl9lfFxnM4iPNAE -q2uedp5Y3RnTOwYg1YXtULZ4adomDyCkqwomeDJDYwz2A64vcNzDchAsm8Oi -5MqPKs/nh4ZKAwMTzli+fLLcWPq2zBT6ptRcOlpyEeWW+ECmorfek06rKn1D -r9f5kaa2yqdR3S+LgeWjzzLuZ4AC/3Qo0qFMx8R1+9d+kIlRyU0G8wveV4Gk -2JOv3LpYj280Er7RZVGCTHqwEFaT5DHTpJanhs5FIf5cwxghzE03xMx9wUqh -qrLFVwKdqCHHDxiTIdUI2RdzMlV1KWllOG279GQ9V1GQjCGFZHj2q8EF0cCr -Ju1mcbbUUvmUnZoJSZKU5cB+g1yUe2abIHjRxKKK6hUWwKjQXeaTIwhRMZV5 -Q7kU7H9F2iSYRQJRUtWgecwpIRwznqGaHI51OPM1YjDTklF9Wk496p9bUQyo -Fh5mQHPkGsrhDHhmVUmxxL04oBX9mPwYfXnvPNp372e07v48ei/1BL3Q9BxN -7XBBnBgwvDh0qDLEemTkZerFh6b7xEuydiNtBh+c2b+ow8OFIw6Q3NqvBW5t -QbxtNNmCq9oQLnKoPvAPlqBgIV5wM8sUUBOpFg0kbqwZboN2wkVh1J450Nif -Owa0urfsRW17TnnV1rLNHN9VQ2MiMHs1/HMoj5obTEzqqZMZc0/mlnSZWYzY -kwnD3a0HXdvSqFUMaFeHapZ32Wtt23ZLK87IB3a5HJ7t1+zYZtSPcAqu5yBt -H1b5Z9SgahdRKzStDuJSzdC9KYcPm2xUCTlF3O1SMp+ieoyasVi8Y04t6p1b -hsbm3UTL+IDtPVKMjqZfJ9sgBWp9WpL+WM73/pK7ZNHHv16+n/5Y8KBib7B7 -DpALvs1gcbCn7vlq4iBjYJc0qJ/Szn6Pa/g11ECwGhaCXX7UwjBP7TqJqymo -fjVdLOYZu0HBY8q42sFjMlcVsdQPhsW71rIyC7/5dDFNMJgvtzBYzFO+iXVF -FmvZ+daJSl/NzBv4JSx8I91NaqzTUjPN8rTUHHpbDb86BZmeqv1kU+n3Dz5B -p+7hErXsJPq65AuUV/I9OlRyHW2+bx99XO1rWlz/B5rd6hfZhvEsqpahaj+O -BPZYFdjwNZfKBYE992flf47gxpTzy6qKUUGuLDEx34SMIX7rAtHeOskfjThq -vqOtm94VuOaJ+aYXs5BNyCQfti07xr+nfKG0o/MHCsgJjhP/gyLK/5MhXAw3 -RVyuiphPN6nHmFfd3uh/x2g1dn7iWWeJlVFjw7UCP32EDCVmFMLKgK3ds1x8 -vKwLKtwr9i7Dy1Kx/8LLEgA68bpAz+gdT+z5vfraC+NNi8bEnnW/QWSv+ahM -yByDzNBnmqd8NtJniecGvMuwwE5mxTlKgdKqZj7LzxOUmjVYiiIswYPVLFZl -dc1JFuPpEXn/oBeP3ECrjlxNu3OupWOHrqefdt1Ml764Qzww/3jvLvrX6/eL -R6YlgQxqQ1Qa1NY/AWpL05HRzieAQohNTQEXlSDwR4RDLdVGTSN+FyqmxjMb -mEeGqlqi32TBmpGDtHIBWtQ0ofxGFKxc2sFk2K7c1YQRfPNqm85Up4je01ur -M/+Xn7V4TTCb2s5WR0G2FAPZ+qiRMcA28EgY0AZ0wzrhio8pPolrJQPfTpUb -QydLT6DjpWbQNyUXWAiXUeJz2lV+J22okE0rqh5nGHeGZja/ROPT8mWHHdYk -wzsJC6+6IXin6zrqhbNidQnJHEzSJAubdsd7frtDMq/KuJpfOGobYybZKkgX -gHWGhNINsrjfIFunm2PrzQx9UVnaYjpjPq7Tc/iGm5JhC7cf5iO8dIvwIpaG -8KJuHhWngP2RwliJqJo29eWi1TUXsZ18+1SlztLCLC+qZS2bHE1WLCRv0T3l -uJ6qDHBUUt6t9trp/tdK3T82V4YhcZcqz5sCcO917TO4UPFWB+aJ0TtWWGGX -MeK7asYosYaGfygmQ7HyqnFWd4ltbFiFmfzA3PtodN4dNJ+z8qq8aygzpzid -3n4zXVhaTtZxXezbks7U7E/H759M2eXep91ldtOah3Lp3Zrf0cLGZ2lGmws0 -rku++J/CBxWb4wc+rXYs9Rp1mbqP4+J9EkPBqRelhhAv1Xnn1OGTbKEyRQen -iG/rwEEYhkkqEN7ruCwn9SHhN7pgL2ogod9QWOnqHo74fblCISF/dw5tqtHg -2myviD20PirMMtIeL2Ibc+62y1RtW2KMJPRWTFugHIpY4U4kyKlGCnKqzinV -DnMOdZZYhKWp1IZB3swIsGxLdm1BkBdqxSoO7b2QhGGhrjBmih1mNT5mmPSs -lTWQjxco0lbULacKDcj9B40/fB29y0U+VuZgacbJAzfQTztuoYsbGfStKSlb -3GCj/Oc7CvhhAQc2PWIhB6ymBPxhynRSFbW8A0s8YNGMLXIjg9xbAAQ+EQCC -ESBB/iZdOi5AyQ10Fi1rPHjpEcGB4nioDBC184/m51Q3UFlXY7uQoebEW6ib -Hcn3lPd1XLU70hyOQjk1MhpskVA0mRADNlYY0KY9od6aOV2+1jJlrLJTJ8Ua -BPDfIMF/2BQFy3D4oMFzNqfEh5RecgNtvXc/fVr5qCzSfqnRWZra5pJE9wjG -gU8OUrbkUuQ9p9IJorvbxHzqij030y4qTIj9N0gv836W3YyCC1/U2HDhj4q2 -g90fov41tfcRGFHs09/4TuPE75Q94JuyrwdMgycG7Fjwc5KPu0GM+omqRZV8 -UeBFLQ6CX+ixGGAjfN+PATXCAV6QosKMjA+V/+g/Gv7vGLDmrhVg3fZ8GFdW -ZBwx+Amwbs9STxu6SgS9hzSwd0nUeL6+Y1z0973lRR1wt9gz29+VeSxmprH7 -E/vim2mg94oFezB/VpugNOATQzUN+g7Okn2k6Or5wG+i7AhAcwOmtg9ljqBK -mc/IpmPsPq2VNYgTBfiBnlK6QZiEpVadc1Kof+699GTebTSVo/otjup1HNUH -cq6hYxnXifn5hY2306+fcFR/WFYaIn++WoEjuaIkEgGEzxsaLwwIa6oQ6lZL -qdQRIu1rWwrPhoKEgQkFoxS2zW/N2kX9ski5EGuiLmIG7AX4yfYxz9jZyF6y -uu2kGSh7cc2G3NoG1nEpxX/Xio/Udt0a3bxGTl+wpxr/Tw7iux8D+G6g7PgV -eg4Y76FhiqK7/2n67r5n6ZTgvFGy1u3b0lPpWMnZXKS9RF+WeIPySiyjzBJr -6ECJrbTtrgO07qHDtLz6t7So7hma0/QCTe5wiUb1ypeVckM4UPsNvyJ7abpM -uCyLQSQwkXoRjCjQwMAY3Id0a9v3urr60Ok72j790YSiKJVNc315i0/orc0x -ydPTPqRmukL0roq6M0SJ52dHrWjVlh4HIw4RYjSrEUPVqRajNJV2Wt+yqPKj -CHYXk9zuopMSNyhzqyRfiBJsLir93yMh/Z/bY1zhNOFN4jSh/26ITV+ka7aX -dfi+oEN2lg7VybLAB4t8KmU8R5Uzn2YM9ziH5mBZ/dFY6rOO1Da7hfjF98yt -yDiuBD2XdwO9dORaWnW0GO3mZHts/010bu2ddGnRvfTbuGp0sVdz+ql2bzr5 -4PN0tPyrdKjUGtp63276hG/8JcBzDc7RjJaXaGLHfFnAjuLhycGM6fgwPfq0 -2pQLRxJsz8WhEl4Yt77gup/V4YLH2Us/qZv+FXXDy+3+hqb63vIPGza3+XTf -MasZMfiuuaX8jNbqq4iu2k0PXC0CcLGdsmRxtwgEW+K2IinYF9+QYA5Kc8lQ -3WntlKGTq2g6OXAyqxY4mUIihzqdVlZnC4sdW73WDn/snE5HPxdk5YxifrWc -Qf/86R733qDuVKhhqRPmSJcGewQqcu2vgNtgEXm0yG5PHbgu6J3zED2WdztN -4mv+bb7mv8A1n1ucvsm8lk7vv1E6NRc33kFXPi9B/1xVSrak/XtZWfo/BnH/ -XnyPWte78AHhArDG1wVy/8TmtYk+mBM2b5TD6I3QgO5Z3VB9OqUAqBM/RQ3q -IuD3OGwTojpptKqFxv0dgi/ccNXKLtVrLZpQUe7MTqneahM77HLBka2IujaE -2X42Scoqs5pp1bfL13VQym+L13qplFHpUcZrQ4UCQMsUu/++KTWPS//Fsv7l -YIlNtP3ufbS2Yp7spn6j7o80r+l5mtb2ouA27BXEfsEnHlObKsDj9eco7vO8 -pgM4kjuP52fiZdlugZVmcCdMM5Ua9hmC30Nkz9fRDYvmF/UOxIUK08mam1d+ -4JPMqC6CoI8g6iOy4jumLNhh2aueCG4Cu4JRwTuBeHEF8fRu8XcDex0B8mQj -j+zm4TwVU0iv9VK7w+cfDf4/qLzd2GLJIA0vSwDU3o26GG2vwmiGhHsTLjSM -1GK6GNeEHBazc7R5UYeTe1Wg2yvYputANvW8iNg/8ILXIATd5mr4NluKLOhR -VF6YJt65gHGVAeM4hisdGss5AjtEsUv0OeHyqkm+eIJSsobJDgNoV7DPQEG6 -LtQqu52sk+qYU4e65DxMPXLL0eN5d3CxdiPnj2tkt+nWo1dRRk5xOp5xvUC7 -85tvpyufcbyvKCMruP8Nlp4LNYltsPNjVUH26zNKVyms+0A9iNi7li/6StOC -LwPtWlpo5ymSLykI64LknlKXa1ljgxbSbW0ZseIun8Jra1aGKYGXVyzE2GnW -rnaa0pTLgy5rZ9mWYfZmpHbj4kwCMrWHXmjm4DuYQ0vb1cV4/fkZqHk8F+cN -FZml8HmM9U5zAfadwXplx9GJ0pPoeKnpjPfm0tclXqKjJRbLQpDsEqs4yDfS -npJ7afM9mfTZw0fpg5QTgvnmNrlAU9tdpDHdFc/3xBC1aARpWoJ7Qr7QLhLM -CGJQLqb79vppv4Ur6djpur3v8H7Lv0okc1a83+rDGv9Zq3g/1ZpJkWBHTTmE -+wjQkCR2j3NygTknHwaG+2LbDkQsHHSGaONqiFaeXYYu8fzllzuMpN3z7T+2 -OrrkLXp/ktpyHeyM2e5YxLgv+tIzofM+9R5V3u+7V2st0kpt76jTs6b2ggKM -JQm6uY7QQiDiAn01zHZofFwB42RtONaHV+ewxx5IrJiqm9WPGmUj3NNEu9k+ -pxGl5VSnblzBDckrSaMP30wLdKjv+rIo5R26jn7YwWl9VWm6/FIFTsfJHLLN -OYC603cVn6Fv7ppLuSXfp73lNtKme7NELvBujdP0csOzsttyYnu1Pn1kH044 -A9VZRMIRsmCEkrz1GHOZuo3PFzrQJhohC3SCWfCT6HqC0PF7HzqiTnnrZJAa -NPBxmd8tbqklxy2W246xF7Hg0SiMxXPbSCj/slcc0lMGxsbX+T1ip7AxevyA -FN/lrLW/XRWX74tYvk+d46TwGTZD4VLXeB11R9ceWx867kzQzC0gkVztN3T3 -rJBzGVQXvKPP4iI5h6qlNF9MBdXZG69bR09Tzcwhss6+WVZnWXOWllObHsm9 -n1PKrTSZIeRihpCf8TnbfbgYHc0rRicyrqUf9t5EP2+/jS4wjMT6tN8+KaW4 -wA/K0p9IL+/craDka/eJ3TyUCH/Mf0hssiXlzKgkrIKkHnCDE0KQEhwh2Aak -pOeU5bYLK6FN/lXByghwpXeVmgYYpvcLD3Xle5Yz9GTLSUTax3rvXH+7i+6R -ml4nF1Mqu01nSlFGtPwJReVU5giWLAnYWk8AtEgEJF3ir7luZrlNXy3vr9FN -ctWPyE0yGDVE1sJ/d/cIzjdj6Hjp6dL8PVLiLVktjxyzo/xe+uKBHFpV5Rsp -B19t8BPNbXaeprTJp3Fpl+n5HqokfPpRH1AO4rJwwHBFCCLGe41Wer3u4y/L -qjQsv1bAUpeKAi513AcApgGZZw3I5M+004s/RYAzI7gT+BOXZsEr8vCvOI9F -cUlwjCkOUXUQ+InizsA+7++w4hsvb34HqKnZxHaKTdSc4im7KTxqXqG3lcff -KH5H/cSWT38LW+5517O71mXr+mLBjfjlIn7Z/zo2tMuy9leBHF+Rbe78gr3u -esP7i3AIsBTfAq26w2PxIvbEz/baOqyfgo3VBDZOlY2g4OtV3pgguQNCvcoC -H0fxM5KfEVSZIWQVjuuqmU/K+qvUzMc4xgcxjBwoLaSGWb2pSVZ3ap6VJlCy -TXZL6pDTgOO+BnXPqUh9csvSo7m30Ki8G2nukevoHYn/YrTnaFHKYUj57SEF -KbEyEbGPNRL/fr+s2Mv++UoF4f5RLv4+sarEscQuYnWoniXQjKFtIXdWAguZ -IQhCSiucKAAnLUOosOTPDVoqejCm3Di1RYAZFRBI2Q5tYLMkzSj1Yqb7q8cF -0ACu2RkyP9MA9pvAnuoCt7RsoTyCJh3GUAv5zlTrpxAl1usa5rDyINUdfnhI -AFV+XwEM4lOqU3zPCJlAOFUeyHIsI8sJ9G2pqXS85CxZ7/tViZcddPkxHSqx -jvaV2kE7Sx+iDffl0ieVvqb3U08Kwpzf5DxNb3ORxqOU7KMi35BBUOZiESJQ -ppKDnKdOyORGyP6yErKb7I3M7Tb0DNJs6SDN5kGkGc7SyM8hoGl7dT7QXO+u -olHNOT1vqSftkZbjkpZNO1lRO+gdq+VLxsSL4abnJmgDND1tk6CX7kRCzjbu -0KRuG+O/cPsWO/mm23J6uqFIyBdhvU8+atNTtV57TZh9FPHJSu9JH2Lu+chq -fd3ucaphgxyIKc2F/Vo0eGChw0IqLa8aBZqsrgpZLPw8P8O5unyKq0sDM/vL -ddCUq8qW2e25qmwujGTXnGp8DdxNj+bdRqO4qlxw5FpaxtfA5iPFKPfIVXRy -/w0yOnT5g3L067wHOS2ncCg3o7P1utLph4fRt3dPoaMl36CMUp/SrjL76As+ -mB9XPUbv1Dot2zVnNbtIU9pyWuqsmEr0p54edIUeH3qFhjyZCHZepi4T9UGd -pkSJkn7mqh5VR6td8ntTNp8sNn2ok75gaUlQqGiZyw80bW6YS1s++Z3pJppC -twMaccukO2y6e9CTXPJSWYgoCyft5clHPTTVY9ZoJAdmeQoBnp6xhBA9oWeq -KB99WuMHL2Ipdc9MAW/Rm6pwnDsGKEvnEBeKPQNyh72OslWYljcsTW5Hc9Jn -Cj2u6p6RUvOkZg6Vegc5CfkI0oYuOSk0IPceeirvFprCGHQR1zqrGYNuP3oV -5XAe+obz0Kn0G+jMnlvo3DaFQ/PXlVA9aa6B/vVRGZWTgEXRyQIWff0+lZ+w -mu6FB2VNnY9H+ZnmU5wKk1ZVgkUXkxqqU3BpIroTPezkCIApf8SK8UxFGxvY -c2gqmE7g0sdqWF1jvmI8I9LEbqeW0PgtbI1IaxVAo1iiadGoYTc7auMAR4il -7S4SoFB/8sNMfUjrGRSLcdIBa8I5zW89qwk5rN06WXq8bK5Gd+tIibclF6WX -2EC7yu2WCnNNxS+F0nyrzvdcZZ6j2U0v0tTW+TShY76sUQW1Obz/FQl7oFHk -pcFPaYpTI1Js08bmOGzWRtOiG8QoE/iZCFE7P1N8ZNp5+kX+iNNmXOCPWBiT -Wb8EEGra3HMRQFTO+D5IlScKnIq3X3JJ0TMCVyNCi8ao46u64S3P94Jbo4Ct -wLGvnzYNcP4VXuOKMcVSJvMsFgDLrzEjojSQ9Jq/hUbNJOrVHGaLce8LRYmX -V4WexE8vC2+5ELgT0x0Ian7l96S9LC1mxVfiZQFe5kcgMETCs5TlHE/h0KjU -iiqRzMClkj7d66ApTIVFqx6azM8kB5OOV5hUKM0xsu744cyRVDHzOf7PEfwM -Z2z6DGPTp6RbnZz1uMyn1swaQrUlGQ2UQZOGWX2ocVYPWePaIruTpjtbMEZt -RJ2E8qxK3bg+7Ztbhobl3U7P511Ps/QdseLI1bSZ74h0viOOZBenE3xH/Lj7 -FsGq+WsZq64uRX9+WEbpVfg+kHsA8Y+YtxSotujQjlmXHnEcswrFqr7A16/x -HJxqR7n1hIn1jrdiRT1FAljawYs6FKds+62Vhu61nWbtIt3rrnivhh4mYWQK -fNqD31NMJ9YJ9+L3GJniPR+b8nsc0V4zzXYOEMbzB8N4anz6veluA58+8ARj -1Kck9mGYjyr0FDBqudF0ssxYOllqAp0oNYUx6gw6VnKOVKZflXyV74U3LU6F -yvFAyS20u8xe2lo+m9ZVOCKV6rIap2hRvTO0oMkvNKP1RZqYppuXfDc8OYTh -AFepA55Vd0H3MapxGZAvz9PS5YX+NJlIUHRXXKX7b+3gZasQZjW2UU1dox07 -7HJjyAAiN6Axq7PWV0Qa3Oo4gRnMaqVjZmtRtS3udiNnmIWTeVJoQGCPoUP9 -uUtj4qTzuTCgARdGYUCF/HSUY/7UZUTG1qN+cmd8qvrjTzrTAr43jiVB9xgC -1EeoevrMmTp7y0GoRtv8ir6TXtSD6j4RWg1kVPok1QuRed2Rsq+5qkGpmar/ -0YAvhSbZXLTyhSCSluwmfBnUYqT6MCPVu6T/Mfrw9TSPkep7fAms4wc9z2NZ -19CZnTdz8N9Jvy0pT7/NfIhzcyqnzaYchml87AfTybvH8ZF9kXJKfkAHSmwW -ccbnD+XRR9WP0zu1VfoCkQI11fiOl2l0N2zSu0zPDtD99WFXZGsljqkhUpCy -ZEYYx5VTlaSoGWHC9KwSc7g6Sqff3t6oqN5y9ZQn7ERkK/9Im63LeqRYr2iG -T0PRwiSVRvsb7Lxb/S8QrOxac8dqjC2OUKZuE2BDpqOUtDoQx6skAFs9141E -I1bVbE9ym+3OmLl2pevkVGAB9YcmSxMBVl/rYcexrD7XBaxvJyBMF0hSlDEs -9OwyxnJSGyl6jhROXCBUGmX14jOZxgmqJZ/HuiKcfDS3PD2jgetrSEoMXDch -KX1ZhA7nFaNj6MMfuJHOcGL6edvtdGETg9f1d9KVz0pKgvrj49L053IFYP/v -vXJiziBk6qL7VG9eCFXdn3dB7MxKfp9+igayEx1yFXuafSDLn/tvz1f3/lEI -mE1xSVaFZJ9ItSyrnc5xkKzsIRpoe/cMYWu4Ezl9anqtgxA23JzHlE173ZFv -rSZpztsZAoauTcLQ1R9YFm1/LdcbpZdq6lUeoMjTB6CafJZOlR/NqWqiCLO+ -KrFQQ9cVdKjEetpTZidtvSsjkJoW1/2RFjb8meP/Ak1rdUkaJWM7X5YU9Rzu -AEBYEKqcqjBpA1LVwFgQq2jm9R2pydVRqrHXY6zq3Hfh+6ELV7bSvZ+EJ1/d -FVNUg4XvjAjU/hwunVHwCqo9D5Q7+5cIbpEIkC1f/2nz3BY/cO6CsxELbUHB -Kh42ClwbwV0ThYIsglo5alr+ioyNaFB7Z73/li/1Eer/Y+08o7yqsm1fo9QW -sLvNtrZVBBWM5ChgRqKI5CwgIAYUEVSQIBklKKhkBFFERTChKIjkVFCZQloB -ERVQSWqL2p/O2yvtvfY+51+A930oxxvXvve+ccd/n/3bc805V52N04A8z7Da -J0LnGRY64cLaMgmjLbUMd5o/QM4JZyB3/o30Txyby+gcddB0gM+0gYSfkFGD -STo4rbK0JEoIWgUNkoKhgwlFtz9FbqztA83Tc4DBULhl+uMIpAZKpY8YJH0Y -T/aNBknBSAknHDQSGI8QlnaOGuZ0QGNl09yW5iZqjmh6T26DqJU5/WCy7JBf -Oepqnq735WfiCAX0k7HmS/Cy+RK8bm6lD8HdtbOEecaeHe0yiPr1FvOMXXdx -9NPKy6Ljgqjm9MOGXjjx8FyFE4426mGcyhlAqRw4gcckSw0jCzhVnKO2iGrH -EApRvei/h6dexSpuOiI6DbrcWnm6Kc/eVcrZTzrT+L2TA1M3gk8HKk07mw5r -oJliJVzV3uYXb8g07Wbi0sqGSys95LHpN8Cm1xKbQoM2TOb3mTeq8CloqHtK -j4x2Z45hHXUSuTIzp+MEBRg1L+MtdGeCJWdj6bXRmiu2Risr5BsQ2BktrvFV -tKDuvmgGcGqDH6PxzQyntjyGIPBEN3rDwuGH6T28XeGww3u1vWirYzWr8hRf -HJwz48a6uxSvUtHBol1p59jOsthlHi+SSQuVp5s9VKV6kLS/MamqvA7ZOB2o -bpOQK4EqXd/YT2evcN8ud453g6txfawq3OlOZwfZBk9/QjT9MG1UvCImZuFc -rLpDfBG1jhZR7VU/mx3a01UUZ4qa1U9Qls5Rdl4Pdp0qiAEDEFNhxnJj9gP4 -sYAPhdh0SFA179bc27ByplNepah7fjm05j1deGE0waAq2PPeNx+EdYWlovyc -f0b7tp4f/fDZv6Jf382MTswpH/06urK5bm+MDrcDXG0dfWtOBYQ/4foqzHzF -vKrejzaUWxOtuGZ79H7lL6JFtfZEc2/6Fuf6eGXdRXbQp80v9amuNP+DmE9f -MZQ9Rr/Ybk84pQVUlnbPMLYGhrLWE10ooDUHAlq97CJALWcmzPvnxe2i3mvM -zvx3uZqx1HGgdNcjpjodC9PdwJ9/9FLt6CfX6nvJtZLeCVBGZjdbEHxN52x3 -Netf2RxM/B/mugEd8FmT6qefog4ndIi+F6fX9W9Zh7KrBpvnrCebpQ1pCo/8 -n2OCHYH3IowJq5l7D15X9XJ6m5dVd7zTmpmfa4vchlGbvLo4BuxtfqqPF1yE -FAt31xuGYj+CMaC5u3LMz3Vn3t+jPdvPRUfpgXUkw/604rLoqCFZawl4p0z0 -+9uGZiFQ8Loh2VfBGmBodk4FigtNZ0n2RZJkf3++Itb0INE+Vzk6MV6sAopq -RwjVVndUC9KNJ9HWtPGiX5OtA2mk0ba1Gi2OJ4Vqdd5caFZZUK1FoIuQbLwS -7XCL+p4IK1YAn2I5+8M+0kOej7STy/2AOFPxQbIAVBiIUdI9pUd4FAsX2PaM -ZdGm0qujNWW3Rp+ay+uDSjujt2vS5TX7FiBZ85JteDga2/RoNPLuY9Gw1mT7 -QZq9lz4PYP/p14dEWYieWqJ9jF649ymqBR9qV/aidn6ayLbj0OPm/7Ydhh03 -Bw/FWrARwOyG6Nb8O3MlAtIS4bJwC5h7Fr6QMWVIqAsiLuEuiLoTfmQhl//g -Mp106Ayg3jMs8Zr/wWRwxT/z39xqyoEzgH3PhFvX/K+wdlfzd/nNBLkxCfZM -+H+X8mwA50S1N01BbXQSyqXpdmSfDkopXJZZMrcfj3rpOPyvyfx+DHxFskan -g3Sadi5HeZx4SnkBR7BVkV6JYOnCGWT+gGCfNP+DDMemtYmqYPRngPl7HCNA -Vc1lVM2QbA1DsnApwQmH4cqNaADoEyNa0FTg/Ypiq/kCNM5pGzXJaYWCK2gs -4DGFYQyQbZu8OlH7vKpRp/xrDd2Wi+4vuDx61HwdQHMZZ74QL5kvxKtFf4/e -NV+Iz8xbd2tRiahoR4noq5x/0Bdi7SX4dRDCBc/5H+ZrgF8Bc/rBZ/7bWGqJ -ENMA+MaPK9MALBlLSbjQgXynRIrCdoXGzrFt6daRLeXC2RBwji0qxr9EqJW8 -UCdQV2t3Nr8q5wCwLgD4dzW6p7XDNcTWBYDe0sBfym6AbwOq3S9Ue01/8psq -ZwCSbbkhmCeHDwE5BMaj+grZ8i8yX4p2ZszEDwPkjvLMEzc74z2c0GzOWBOt -L7PZPHWzo0+uLYjeM9zwVs3d0av1vjGEe4AIt8mRaESLY9GQdjSpebwnqbFi -Qu/OvNBxyHGryLZlRdbFgw9YWStUZZuLKiveP6vKxqt5vb5E51mlC/+suCj7 -EZgJwNV3lpT323RvHUnyqm7mFIxbkhl3ky7J9a2pNg5ypo6DuPjuOX4/i/UI -6ESIVatYgR2cEm/reAklvyLUNSK+okzus8CaZD0CNBnSePuc+RtfLN6CjUgs -RMAK1j6U2wFHM/D+hZaJVrm3GMStGXXIuz7qYb4ODxX8K3qqEMYy/4xmQzId -Rrc7SyI3/GfbuTi2PbL839Fvhg1+n17BnPoqqCQdbnunOaktzXHpHu0zP/vd -ZUZxvvWtaEuZT6I1V26KPrmmwJpc5hjUxTvNoO5Yg7rDWx7Hn+xT7Cno34t+ -tqLQgq8AYnUyWOyIKi17C5LyE3DPTKafMyq1L5JS22oa1S60nBGUIM2VoYMg -L1hcvwp9BmlUXXdW6lIkc98ltf6r/LtqakhTgb1SsYFEvWIGEljJIDJtVoxy -PyfKtbaZf7ozYIuK9BsvCXI/5ZW+a5cb1oortPq3LlZr5YHZGCq0MPucaa3V -4nkhWysxLowfQeshxu2rmhM6mxuuddTc3GwtcyEQW8s8ya6L7s8vg5wLVtcX -C/+BnXbwJIPf69YdJaMC85v90rDuXvO73b/pQtRqhHWPLL8cbzSwHYhyC9aD -P94oF/0JvLuAeXcu2GGvJgsCK7h/TNXMW9Ey72/CvGOVRVYsCYp7IRH46xBU -cQfXML8ly71OyTX/zlBvWg8/iSXR+r7xjiX0Imje7a5iVl3Uso6k+t/m3H2U -xLmgMem8lDIZ0A6Ae/GKRJNBxYfQDLevAsQrhqBwQ0PFF1Gsyc9YRDFaGCZm -bopWXZEdfXxdYfRe1V3RW7XgGtuHOSpIQ06683A0vvGRaNRdx1C5BRssPIWB -d8EQN7AHXW0g4MB3AvxHDwnzPkr2WLjuej3u1Fy4+oR94RsClllUdZ+m7wko -uzD5aT+MzAqYtHzmuDmy7UYcA+4dSf1LQMHp8MVJB0uT+RdtxnIAf9xhgN7x -P50BDOzUXviPwPOb/sBeO/GHdIvAZwkCHwD19ia3F7ukBdpTcbueE9XaPJHw -FekVGXaMzP2JYUH/wZIw8QCMTIeTB8Y7R7LmP2pYNq0XhtVDmhVNtpI5p5Bi -BItARfNXyRAtNPZWtkTbn62s/dDO6si2L6ajxD4ACUig2/rmjro5pyeedyFc -uK/g7IO9qGFOe5zWNM0VyiX9Ft698E1onXsT2mDb5VWLOuRfH3XJvyrqYUj3 -/oILo/4F50dDzZ02nmkXajHeMd+JT8x3YoMh3lzzrdiVXwrfxPs3XRQdXPOv -6DAQ74dJxHsdnnm0yZpzjW/XJ2r5ldvFEW9jR7w/2D4J0nMPadoVL6yQ7s2U -jhd/Acm3aTYdf2O7wOt6hpZtyUsAgu29Bmu/q9ktUbR1eOsbCqzh1UNcjlNd -2x/j8/uuduLtPsDcck9HX5cdGmDuOHz3ghkWprZfZL4cFSHqzmPUfQtRFyY6 -mzNWYfRqTdlt0coKedFHN+yIlprvBMhk8w3uTmfcfdbgLryH4fsAO0bQj9jb -+RHh3QtnHs45nG3xItJEl32IWGsbJKeRDXwZzG/YD2P6nJC+LGho8sRdt5Fs -Wb7bSOZWljjk/XS7U3XZLxvDXVRztxDtrtqc5JF1+asSKS97Jl0yxJ4Z9NRw -3GpiiqZv7TTQMSvd+K0l3KQ0vsStlNNgq7SnPce2w7FsoSfOrcycWwVtSY9F -NXIewdlPPWxRA8dBt6hBrki5LbEWB1wHNOcB1r0m6pz/7+iBgoujp8y3AV7B -MziS9SlPe78oKBnt23Je9IPhhWMfZEQnFpaLfn/xGnN3V8UzfrhNA3NWW5iH -4r3mDDwa7S07PNpVekpUmLEg2p75QbQxc230ebkcnEi+U/1LNZE8hJURo5oe -j4bfQ8xLE8mf8T4D/aYvTyNhKAG/3x4qN4zDiWE/K5n3aPHcO/WAGlo47tVS -L/lr95DhRvU2N3vNj3d5ZaCBAUfJvGm6/Zx+/OweR5G3IBB5/TWgNN4oxY2z -sfGGNo1LPHGrQe4AeuXVR4ONR5JV3VNSdHXH/XvBy067vqVzAkrP5/FLbja+ -4uSXTR0AE5l4x7B3ZihqQ5VtD+CD7Jnpijde05wW5OzOvRlvtk55VxvqzTTU -eyF2BEwx1AshD+idWGFus407S0S5RSWiLwpLRl/l/gONth75rjTk+wkYbsmz -gErvEkO/8JoD38Ib3Cew4Irof/OFfitEf87Uiq8z4v4+uSIVRk2sZL0MJwwB -nxACRuW3GnUPIAVr5Rc8DdUTlV9HwF1I+e1XS/UQsI9BpcQc/Wqll2y3WG+D -5Osqbux+rmY32cBKauola+2BukK9nYl6q91HiyrBWgslMhWo5xntdKWJeosy -5xjqXRjlZCxFAWdj5rpoTbmsaMXVedGyG4qipdX+Ey2qvQcFHPg6vHzbQbrV -Gh6NxjQ9Fo1sfhxfxki/7emGA1Hnie5ks4NXshAwqL5IwX3p6wG3n/gZkIKV -AqxJ2PM3PP1zOqBwOrBwOlyYAL/DuKpg+M+AvQjEyvRAsjCowkfTQRY2p7Ht -2CPYdYh/xMWQPUM0Tgc0PsuiMZJxwL2n61qosXkcoCxA7Ajg2WcAYIcTxZ7J -mqwlWZBgn04byTBL5gL6exI9r5UNzFbBv4F8wQzAXBYBLUFtNQu1bD5gqIVL -CI4wmBDqKrAl6bYntjuBTxYuqNuthNsZ7XHgmQVzAtjk4NJyUm5TFGogR9wq -71a0zoFZASRdAt3yUbf80lGv/EujvuYxPNCA7jPm0/Bc4T9x+AOwCzamj83F -ttZ8HrIM7BYWnIOfB5hZfrfhYrzk4LMANQP/XRIA70sMvOMEeKvTES0OeFvV -94/XnbfE3eu3h9Ju87hhwZFuCpMC6bnUDeD3PxHkqn4AhFwHus6d0I67Atg5 -q7Tcb8ChcP2jzqUgoAt6LnQIXPkU9ggA7O5VsLvHwO7uzLHoWIBeAdB14XMA -O2kgAaaBNyfjXUyCbc1YiZ+HdWW2modxDoplH1baifDwRp29aGecfquB3jsI -ekfxEAgc+WBngr0NmP3mlJiUjeBcGEI4IxgWdHmwAt9WHvgmuBqwaj5MjumO -KgnXWPIlwevMAHzRk5iX9ne3hdeTeWmWK4t24apn5qWr/mI9wdVXPWfCUkxw -13we8q5WdtNor80LxQRnXN9PnVipQBLtzk9hWJihFDC3xaEWmvifi2pKZRwH -RsVbi/MjVHX7swH/YfzI1NfKLgRDc9tY0/09eQ3Mx6I+mu475V8Xdc8vG/Ux -xDvQEO9ofgmDYgaupnU7SkV5haWi3Tn/MB+EC5ETfgZV7NWrohMvXG8OfbXo -eO+65u401Hu7od6aXcwr8GFzAIZEX5adiPcchZlXmB/wZnO/5UYfVHYTTLCJ -T739B4ySkBfvGHrxBnciNafYOMmT1H3VmRWadsN81RcvmfE6VZbgz33Z7zq9 -xzYdOuXX9+jGjQ7JVfjB4h/JTC61+9E8yZeoF/55rvP1fOxb0F0Rb0rqTStG -692Qlq3nHAnPvoQjYPsKklY66cyYtuAuxOFFWI/rkFdsuIS8NTzkHYSBRrhH -XfNhdxxnwk8YxB1nE69iHmvlDfZmIPbC3fa8udugBfEtudcM8m4zd1vhjhLR -rvxzot3Z5MeBroMD6y6JDq026PvZZVidhXbdD53wC0YHwV8SfyF7BvhL+TNr -eBAB2CAwVmwpERgQ+PeJbHxQCHzCInBVi8C/eQaIGmjrpV7Vmq43AUXgmvEq -rlT4K2aH+5TJQRsc2qmUmWcuPFXs7cDY243cfZX70P3oYe9Ig73PobADAdIC -8xCWlPPmjM+j9WU2RZ9ftT36VN1riL51CX2n3XowmmLuNjA5jGt8FNehjDB3 -nMZf+Fw81YUFYETg4w6B+5CVty+bHzQGw0M6FIQVCqcDC5sL6V7l9rVErPTh -juz+7TAUrVbpIBSzVaKdk4stIR9Lh2+UOaVtJeyGf0fSxR585AzrET6MPmHz -GQOaNv80QFz/dIH4bxaIq2+hnCZVVBHywj8Gwz8Gmf8fsR9B/siWUMIyLzxH -6a8/irlVDPNWzX4sHQ5sWi+l5xL6gluhtudYeMC6Fuqjc6E3RsQcAne3CAw3 -F9ju4OjDDdYopz3qNvAZaIJa7z3mc3A3zn5I721Ent3c2zBSBp4n0HTgM9Ex -73r8VNybXwYrkB/IvyjqV3BeNNh8MsALBQmTaQqJl5lPx+fms7F159lRnsHi -L9gXtR99UZdEP352aXR0uflcQDT1HY6fhTqwYPGQFFgMXiOJn0n7VlN2xlsN -GDy9ap/EbamQuFWAxIjD1IZ6nnI3dI67GmpoyddQcPWeqhUV5d50ONZpnYKK -A6X4eiDcH2H4m6sHsur7JMPwYAvDeywMj4p2lx6rTA6TzR+pvzszZhggnhMV -Zsw3H4yFaHYgIF6GLIGGh9KbotXltkcry+dFH12/I3qvyhfIFaCovXLTfvPh -YCUYpkXNIaZKkyKKqZJpEiy+MkXWBgiY9OAzdvQRP5Ym/BAowvfMDo0QexwY -2z1MYXlrDIyBCkgQO1ODMe8B/Dg3rZQVgx0UZ1saiEHxmRYCtKs37SU2PZwm -Dye7HBLXJFkdzOtfVQ0IG06NhaVLn7pVJlrl19UnEwvTG34Qd7M+jgCBLgdP -/e2OD2vQz5rktMavB4VQb7c83DH/WvxKgKF3gOFhmCC/sIO0tKWGh6GDb6t5 -JBehhnZedHDtxdHRTy6PflkM+40rmJN/g7msq0Pwm5n4bnyA7q/4YPR1+aei -r8qNR4s6aD/g7ANb+udXZUXLrytEBwRNMfajaecFc+k91+gwXng46RQuRtMv -ZVRA34FL7QGebFpVOHRDDOff86ijQW7NZ+NiWxdmxV0R3Mfz6m5z3emdAPwY -TEvnOm9XJSJuiLOS3BBp/svQvg5JEC5psdgtfoq9DtPI6H6+S2Su2srNId5J -UN4fr1BB7br8LI1Sl109s0+ch8Of/uJYJM0z82zUUUqfh2syD1dXPFxl+0AM -tKDxgX/KVPDRAYee1PVzBw452+dXirrkXxn1yb8cmXg4XG6GiWeB2XfHOdj5 -A1a+TSwH7ygohb0LcLnt23KB4eKLLBf/aLgYOhiOsiECZeH3UrAx9DK8pszA -5ij8AeYIiLfNuNZF3ICPp9zg8bE1BgMfQ9yNesTM/+0NIad1d90NIBEPTzYH -u7hbLbf307IxRdycEVjJwvfdmJqLW9MKHDJBQCacI2u2OLYR7dcMmbhOB9Kc -ahTHxCO4aeEF/BzANZeX8aZKq6yL1pbbGn12VW60/NpCzAEIFy+otw/zAKD5 -wNBocoOf8Pk8tslRNEWMuJuk4WFtaIgEOhAMkiArAHoQGiRAIu5Jn5B+wsgs -EweMnA5v7rQLfEyOozLGDVA51uqxKMiOmZ2KbE55e/ZVtKO/dHjCg67MvGwt -FuCwIGgmp/FZQsz4d3m9JsmW30QYLkEwXOqcqMqWoexUILcCOBUqZg9MB7uC -+V9RybKuNS6AASL7MTBFoM6LWi8YK7IfSYfbBkJwIPhmW8E3HcSYNNF8+yD0 -ku6bpP12V/ovGBy6GPAFG29HPOsEv23RpAfnvqkFYDA8NDMA3NgAcEOsi26V -dxtGV9uwJgxXG8SqO+ZdG3Uy34eu+ZnRffmXogniUXPNPVl4Hn4rxplvBVx3 -M811B9ZfgOEPd5aMVpnvxSYDw7k7/xbthBFSDo2QvjPfi0NryDgFoyP4PmDU -Fb4JHHZDK/CpGCMEiNvwpoG7xGSvgZgNEbc3jsHwQYFhG2RT2rDSha37wWnC -YOvtljYIPYqh7yEsUUACTvA9YI2sZ+993Bz3AXjk95V/UvkfDAlDwUKZYVyy -AB4IR8JfGRL+MnOSIeEXUBr+ImOaIeFZ6JMqzHgVyUFoeDvS8KfR5szV0cbM -jdHaslnRqqtYIq5IUyTwT71W9+to7s3fRtNkiqSIeEh7ejrDZ6F/UCN4H/sq -4fx24IZ5m3zXm4Oed17K4qj4rvm7Y16JJm/siq008CptbR7IX2OlVTLniczW -fkinkGkmfjtkYiQBv1opSLghEEtA/VQGwqFCpsXhEIaFDsLG2VQwrAsXXJqt -Bja4jGCr1hCeYIkVgsVh82GCVBuJw/fhl+ZOSLbltMMvClp/8xohELdBha16 -1CkPgDgz6pVPdqln+Lk8m1W25UUwXDbPZAPF/8kmO+UPq/6FxPDroiui382T -+MRzFc3tXB1P+U+tAIqbmxPY0RylPtE+81DcfcXoaFfpqVFh5nxzAy6NtmSu -jNZeAe3KuTgMXWJuPrAGv1KfH3Uw6YDuIVCCBIw70g2HP+NedKP1VeHtXv1d -Kk7iLR0S4ZgUF7syR8Ox/MQ1HONPfT+tU5ytO0oC4ViWZXEtWRO3LD7WsxcT -jfWieBSN8+UYsFXi7zEyvlFn4KxXSB0FKNLLTQ3Frts25ovwN5SGR+CDmAvI -OoDXJ3Q06IJl62rncmUPiEfyzAOGs0/wehzyRdTnkCa6gc0FSXOOxjgUpfT2 -DQaKyxko/reB4gvwoptgLroZOOc4B991n8gQVBQfcAibN97e7edZMAavxEED -xj+sutRceP92fgkWjcEzAUNSLM19uyz1PTAc/0/gGLwTLB7/KeLxdBaPX2IB -mXsgwENxQjwUDMi/CSCrTgjPSTxMCs5qqD0O5J9wcFzbh2PpfgDR+P46FoyP -WjCuS13xsgoIOh1aSCGuuZwbSQq9getwOCUofphdwZJ+e4YXKU5GDYhi3OCR -WMJiMTv/ypDm8/H1BMbweXjTgPFrdfeh7gMzJfBLTLnjh2jSnT9hMyHCMXwu -mh/HtzQMSOGzAXoQvKnRPdyVEnMoILOLGEVkgWTnpUgHSjYnUXFyGoHy2QTK -j9EXJ4Bl858xuGz++xQwm/+awWXDm/BV6jrIMyCb/2SIzCAxt5e/YYjM5h9t -8R/Dj5/BGvPldf+iacJgcbWtg0BCSUfgPRN9dGJtMH/pyLxn4+GrYWAXRJaa -OX25ZgHBF2Ivgr4lrd1BLA9wWsHJBIm1W/CvByTbc7qn7Q+0X7FAdFI2CEHg -NozBLVEHbmYwGCwR4OdzWvCd+Cwma4TowYDCNVATBotEx/wKUef8suaCyzA4 -fBlOQR8xSDzA4PAQ1oYh0v2i+VLMNjj8mrnwFpuvBejDn5mvBVx82fCMNl+M -L/POMV8L0IgvxOwstMDAWAkvwqXkpMJnMteU/TH1Bk7IVUlOyEkHBJw+OHlt -5NRBgwo9RX8MNOJD3s65u9XeOdKG3eZ6hcN1Yjgc+ID9mNu3CTG3mAcYFWHn -AyZF2Nkj4qow8fCeMs8QD2eO4mKH8egLdlaJqViWS+qw2CUW4MrVXGTipVhK -lgVMnPG5YeL10frMrdHqctnoFYZMgX5KQ67Auat+Qi7GQsOW2n8ZZI760bG2 -Xaahf1i2L01wijGCw0unxsa2JCJ1CW/MRKymyH4zhDIPezDgczHKYzQx3pxG -O82X+mB8SjSgW5tS5d4TZDK1gkHn3xwQK9cky2Ynr3cYZ6nBZeDYLcF12lLz -ALMp2shCVYV3WMeE9MHcRVkCrNOuh27LjlhVWC663zyh4fkM3wrIxcEMCb4R -lDUqEW0334ei/HOiPVnnYWUhPJN/gUaohVdGJ8ytf2JcJXMj1zDnvB6B8R13 -mfPYAX324J3fc+WI6D+ln492oIvi7Sgrc3m0ocw6/D3DG49inl9FC26k3zH0 -8IIcNJ5/x8+EcNzdZcH7sqMClGPJgXcf6Bd0wmVDYkyCq+LZH7n1THaNh4DM -a4wlTzeLms8S83Rx5Tjuqng7sdQvvvIk7UA4OinmLGTbYgg5C7S0OEkuFhdR -UgdE4BZeo8/AsijWXLY+IReX2Fw2y2U9t+iVI88qN9DwqApupXuSJx/gAnqI -pWJ65DXEDcOS7Wzo/O5oE4Tf8WXmcXdBNJR/xy97s9BSLP+UoLvO/O0qKBV9 -Batjt52HrWeWjtcwHa8kSwX2RqB0bAgZpGNxFS/mDgktH0u2ThMySsgBJWuX -saXkSilk5BQdEx4h11QZOyLkn4WQH1U9Eryn9ljvuJ0CXcTteJPSPUoyRukK -yPgOv5jX7hdLcA5LXu66fqgf4Tqi0lAYPwbvwS/APZwxC/MEUnAE992mTJiI -bo4+v2J7tALuuut3ODqutRunovPZTSzS8QsNfowmKkKGBzU4i59pcRy1oaEs -ISMli4zMNguUktlqAa2J8F0RUobvi3YeAyynw9fGoCfisofMZ1pkRn25v0FZ -8zEy/1F4r4NbEZjZkPMT8B/trmXmJ38+A+7gdPhgnYHAfJbvyyBvRhq7ljug -axku68tvbHwakvEZlo1rbh1Aeq8BWwmtickB/Ep18O9BVFkAf9PhGJr/rBge -HAALBPcMIPgIQvBtCoLJBNEZtWAKvHXAESaoNo3RF0yGCIHhuzgE1zwJiEEb -RoPELeYyS4DivIqoEXfOv8p8GMqYS+7fUY/8i1En7msuugFomjgPIwbjd4BW -/E+sQ3zFwPHCIoobfGTgeFVRCYwcZJsLsKCwpOe9gj0Th9Zcgh8JNFHAhbiE -Ywav8c6J6dfSfpkJld2mWgDkoCQNn6id2cLfOjRR8MHTpSziYbolFRyHWnEx -u2OtW4LCcd9pkbjyg9T/EFolDBTvD0RitEpYkZi7IMoNxp0w5B1OAmMIzD3r -2SacWDwTW3p3oHXiNQzXgt+KBOMPMW4A+2rBU7w+c3O0pvT26LMrc9F/BWob -jKEX1yDFbX79b6JZt3yHdamTFSDrcTQ8mWGe1E/K/nUHlYyhh1B4tu0zqj0t -qE3V3RKxEH7QL3GXrJ0vbifa0h1BoVQoHtOyKHEanyIgq/4IlMpozW2pxARR -7ViCiIt6ZxXTwJ9KK9a1EClWR2yaHvkbygLjhFcNMQI3RolxorKOzVmt+EFu -8aboHJknOuDzG740zXHqBBOnW/FLggYK8/XoYp7UvQxSQJnMoIJzo7EGKaay -iWKxQQoQ2zaaL0RB0dnRf3L/Hu3bcr5Bh0tQUIOWtN8MBpwwV/1vYypHvzxe -01y1Bo9bEh7D6YQOQXhW7rlqWPRl6QnRzswZ+ATcnvFBtDnz82hd6axo5VUU -pFnCQRq4+kAYgmsPRKHxOC09nojIcJ2J6VibK3o9rso/B7l1nfDLTjRYaPMx -/soPGUQ+aKN30rJ2D29Va5FssFCYHF9tkVA3IScgjZa1HFGIfPIxSt1gjGLx -eKVbsxvH4w3F4HFSuW8xaJxUGVEMGtdhNK4Nv/WtehsfxUKrbKdZCDz7pPpE -DPIkGndBa6GLgzbgRvoa5pl3Hf6WAY8fM3g8BCek50Yv7iCX8SLzW37P3Hif -7iTxGOyC+Tv/FhXhjff3aHciIl+CqRrnriARGSLlv2iHhcXksuSyWMRissHk -/yEmX0klwnBbihMZpq3TyY38e4LbAre1TUyoYhsbYjI5LX4dxpisXciyue3x -Wj4iP+xq1jxE7lqPt0K5BBCWATdjR8WdImPdyXjcVJX/6to0rpMwNy2MX1Fe -wvJf2FsxFEeotJn9BUzTUEvSQtzWSWNSSdFsMYicbRA5HxEZwggwJgXjIKRp -FqCI/K259b7HcSl8L2DeBJs9YeY0tvGxaDQE8My3A5wW8P0AVAa3xdB27Ebu -SIE8ROWujMvdaTaFuMwBPRi3PqZxmZA5Ha5RA6JixABuTgduNnSL2T3O77F5 -2fy73sDMvZCZxZah1WZDzsjN5i8NLc3pgM7mX4hPw3zM0hREo+ocZfwFRj6r -VKmobla/NBR/zwD4TQf2hZ5xdjyYP5hZ5fSEXVFEviC7MP2eHdCvk4Eb5EAz -l+HftFxHwLmagH0KppTc3YqCm1qHBJAwpOZA0oHVSCQP18NGT6iQCWm4U155 -lIm75mcYIv5XdF/+RdED+Reg9CNE/Ax/G2A2CgEaqEh61XwjIDoOBWrL0VJM -Qdxsc+/tgKrg/FLspDgv+nYTJRJ+XKVk4/fcBkYYHuHGGwjawlmGYZCtD2Yy -foQ3XPS8kSpeJHXXkmoLqVQtdPY3Jmf/rVQPfFBTMbooxEERysVuN1qSVIwy -cdJuNJGJbwgSdCwT6xQdErGSick2MZRtE89gsoASdUTEXzERk32C5OJdYCbO -FLn4FbRQUMLuTY+Kt2UsN1T8mXlGr0VjMVgpPr8ih74T1xVi7aLYKeApDak7 -4QlLxmDUbEHPZtmHM5CNmjrA1CuI7tuV35zEsxUUE0Ra8xcK3DPdSWp3J7Wv -vRbuxNjlaihWWwU5qYIiVMz+D2Ac5O1SKWXaRmF3Vzgs9pokFgfZulT2ibm+ -fWLTqdonRnqNaRj+xeCD+IkfwUd+XTRykYUCGyWgQTxXsLgFmrHuQZVNFGPA -4huirnnlou7m8/GgQYknzWcDpkuT0ZxpUIJbxGEZFgRxiyBzZx7MYKeAfD4o -aThbhtydudp/G10l+qW/QeNu5uHbEiZAhMZw9L657rFo71VDo69KP4tzVJiQ -wGRka+YKnJ9+fuX2aPl1BdH7Vb7AGuzXb9yLc1NQhWBmOsFcdWMagxJkfs4t -eU7aka4z7MfndqVHHvDbRHvpNU9Paf8xd+JDNHzUMacijxMVWdksYiqy70GO -2yz2qBWGAR4vVBaLt3bhvmFz6ygBmQspSoqAnLwR5iO1HSNtvz0OxZFxDds2 -EZLxau0v5gdi6CYSa71+Gcqr0LdR+CWBga/Ys85PUDuqR9iylErZZKWA4I7k -7chffC8OXp2dogmWKdmfc/61qA8BGfczP+enC8/FQvwpO+jnDEOQd6wWVNJp -QTtKRDuhMDCP9CBHxxdaOj4kdMwWCxKRtc1CPMi+kPznIqqp+B/WVJRzXmRr -t6jgxORpgZgslDw57kn2ittGBys4uK7CErLYLB5nEZmzeceZjo/1cb5jvKG7 -srWivROPDzdn3yOWQd1mvcaOjFvwWox2vBajK96+lozhZoWto+b2hFsT9tjv -Lg160eRoFw5PZ7OR8E2O1HzMetD6aF1mFpbTkIBciHm8d6vtihYbOoZXtIjI -UNI4UxEyRBWgmA18yOMaHY3GNDmGRTYjAkpGQbktUbK2Xnik3E1ZMAwpP94r -RsvmFBteNv/0BeYkT4Y4mNMRlc+yMrOyMpPSbK0ZAz1YfsIwMcFy6TqNTtNT -4Zj45qyHzf8ww76Evmdp9wNOHQF+0+E2SYezlxZZ9hX1V2JwcNGQFcLnX2iH -EP5tyvxLtojmyhrRFI1RpAY3xCA4WSR8Dm6DHAyqcE0cdxIL38Du4auQhbvk -X25Y+LKop7nQ7i+4OHqIncQDzcX2dAHxMOg+YJ+YYlgYzFbQjA/rMhbziBRq -1lar0VIB1K0VMBObLwNYKWChDqZ0V1INuV2oA5WMMDICO8VMKhzGk2vetP8d -WTX61ZzMX55Sm9/68JbirvWUy5jfoY1uDhzG2krR3MXsJGJX12diappQ9gmt -Eoc2Ym2bCNuBwyDd1b5t4mvVFOx4eBi2S+zFQN1IrFMLefg/Hg+/ZKBgumHi -mRiwAwsFjJIoZAdM/A5WrZFSvBzfzRC2A3BYV3orBu4+K5+HLcPLKhZh6O6d -GpxMYAPWjFuVzhZycQe2G6sqV62x6c0FuBtuKFdaaWuFDvQzG7fUy7ZYObZs -PF+vhlXbCfRoOWwlTqkaq1Gy1K+uoEC+BmOtkdVQI+TU6XsLxWlpr6cAgnCK -vMQHg4CL62w42YKMeN9EPGMnUvFw3pU1GDMTsvixepCzu8lb+NiZsnZ24WNT -4mKUi+vhMxs+KzBs6mGe1pDKfdx8QoZxSc00fkLDUAna16CREdqGQVrbKwvL -V12Ke+AwkDSvfHTCXOa/jUrFxj3Nk7OfYeOn0WcIk9RC8/OnNacfR5sy1kZr -ym3FjgrpYHqz9m78Wc+BIDq4hCCTBzKQ7mAS+biLyMeuewn3R51kDap0V7RV -zW34U5eMXtBf0Ur6K150/RXyJIwt6hBGlvY23VLobUaOD1A4l8prE/9IqCrU -NYUJLiOG4xrFwLFfSCHTk7B7TedOg8416y9+22vR9rdozFXN2dNUa/bkIHRH -m7XFKVRZtWU7ybgHjUG8tuzGuBAGRiDt0R10DcJxbxyBXBANMtch9KpM3kGe -41fZOg9hvBXsO95i4Din6GwsqoCGQWgnBkAGaQi69lE+NlchdO5jOI9dFhLQ -QwlZOS3Qi5wAySgjL6QuNwjsgZQMfW7/e8V1ujkpGYJ717ngnldsUYmlZHFc -VOZutyrkSbZ+5Gr+jjqRkCWkFwDycQHkHtxS3IWv63badyyNxCJjNaTrGppR -0XPciq5o9Bx3gcr+wHP8GHuOB2M7IzWQUkEFrY+Sgv3F7K6AgSm4CTdgCRPJ -x3kYzKMrcBc6CkEaEgkZ5KE5NydAcoPD0QTz/RhvIHlsY+pyG9XsOH5LPDmZ -/cngvoCEDry/i4NlKy33NH+9uPxCeZdRYtauDAFnhmfEZhSZEZnTzgxV5nQg -53R445+BzPw3rTSjmlWG+Ph0UniAxrdn9eHeB6/7IR0IGFYCOf0XHBAkAZfy -TBCNctrinovG7ApWGJwOF4+h6buYhKksQjwRRMItUBFugN4IeOPC9QQGqSQa -bo85uqrm2qqExfgd866JOuUBEZfDTB34JbrnX2yo+IKoj7nKwDPR3xx/kHuG -FJyLvgl4J08sJCqGK24OZO1YAlrK5uIVPFHaDIt5iqC/pmT0RT51s+Gb2XwS -oJ8NDFfwVoZ3MinGqpACpkSQw7VeCmU2NscR8rI4wRGzsfVSqClNU94y3uA2 -u37jkJ3SyIIbKaBoTeZir4Otc0DGYcAuYWdGrFC4H0paem/GN1ohhq1wHhUP -9cJ1ViUunUolZu9E5jTzJ0rxXK6eeA0ny2C0Csl4C5LxavwsrM/cgrGEVVea -t/PVPFmq5E+WoMoRQnggs0294wc0Xnl03JrexCiz8RS6X5Bi6hkYj8WgaZXj -sS7Wj8ZMFcyz0+YZbp8XTJnDeisbzFuUKpi3wxa4pjIeIw7Y5QQ+Hdf47FRQ -YM0p6GSpF2up+om0tOcDf3Gq1XFsotic4C3emuAttr3Dw9Rq9CeQGqpgvzmb -KHIeZFnNkTFYuRolkrEoxjVx+ASP7a750FX+LxxAw8N6LLe7zuEBNKjGMFja -aj4b+QXnYGoJbFZ2/+SHGUgAJ14hOv6vuZ3h5gVTRZyOHzWPzMF4VOBYwDGg -HVQfop9+XdlN0ary2TaS7mxC+3FgijYh9hPCzYZR9FYuhv5k15MTsrdhdRD/ -zGWzqjJZtBkT3+thG1rgUahV5GALs+s3Viry/NhScb2BmQGZyyt+Tipu8U5D -ovWYfRWxPF4Mjjeak6BPBL8V41s4/Eei90DU6+XcwzAOxrR+o474huyAZGLM -S2EbKbKfsJnSWL02/LRtvTZZ5+FGhdkq3Jwd8682cFwa/UEQtXnK/KRH8o34 -MmtEb/BNCA1uYD3eYOAYg3kGkIuwyQ3CedBawYAMCvKmCx0ggw35cwZkpSJ7 -Yb33VGBP2izeUpCsgnsEyWrtxxzdbuHUZILkFH4LbH+r7Af3RqhFzlpFfqKm -BWQsOH6Ek/IP0go7KJdBQL63rvNWtAnmvI1guTLHg25j9TiwHR8Q27Fa04Hr -6MwN+7W5VfeWG0rtbZnjUENC63HmLLwZKXLzDnqucANV5upoQ+kN0VoAZIjb -iEZkHtIwaAIfFqjIENRbeONejN6AVgQPa4TkWwmSoekN5qnitxjX6BgOo0YL -KGtF2Ty+h7dm70VbBuUOxcMy+jC6H0+HBp20EiQw93Qi82MiMhMzp8MnKh2I -2XwGFDOT2ByzND+CluZH4b9m0bkf/NcIoPvDvyhXu+FflpHvzOoJI6uczoDB -nQwdWz8w9kO0T4ejZ3DXmSJaq7qIUBi+O7AJg0HCYbEYJRCL825XIjHUStRn -6zChcVsUigWNK+O0s2PeddhKDnUTnc1rGATjrvmXMB5fGPUxiPywwWPoYQPR -eJD5CIDyM5JrKDQiz2ZEfmMH5e/eZ0MFjJbWs/EqB3N4nE0QTDYfBqiogI8C -5BLgtQwfAax4hDrjtyiTh3uZzav3dzRX3EBjn1GBueJR31yBY5u2LCJjVYVk -8u7wRWR8lSpjRT3BZBGQfUOFzd7pDgosYgsQObQVX9vPtq/tC7J2aKKQFXNl -nyYTBdiKFSLvjiGy5O4mo6mKEPllEo8zZsYMFWAzhl4KMFzlICYvUwLyasri -ld4crS27DY0VkMf7xL6gk3MK03giPRF3/lCCF1B5mKCybGxXhk1rsujvOMKb -ROsOi3HOhmx3I2gbss3qfeNPnOfvjpov8NW0eFaPUTlRTA5Q2SpnqVDZW17A -YBDm8Wj9ctr80HIcFLbp4uJgRUdMQFMlbRvnBhPm6f5qDo3JWQmmiu0Uwauc -/RQW7ZCp4jFmCMJk8RrTavauCZisjRX1GZMrm89MBfRm9ciHlMIF0ZOFVNw2 -idMJC1hE/oQ/Fxhj4kQCvKQPms8DrPUB8Qw4AFF5ikJliefd3hxFpe+q+qgM -VS1wHOBOpAanldGGMuuj1Vdsw9fgMqxi2YU/bwipQ3vTDH4FwowEJqajGZeH -CS53ivuR7Tqrvik8yfIqtD/1nz1R2cNl+MlPKr4U+Z6kUuRYITKVHDYLC9/c -XAV8e+8Upf1gj8Pt5jjcligmhx0WSay81e62kSOhu1tqycvRsnJSJE+JyLGS -ikXqmfiq+u3LWpqgpGKLXkczRpVzD0ZPvS4j9Iu577UxU/LSN6VVNLh2sQ7V -lipW7mtY+Qmcq8IKxnPRTw/XIhRYvG1+2x+wD3kNNzVB9DQfeLmQZqrIy9mK -lzdeiAoSeA4PMi9Drelhie4tp0ZkdF584JhZvMnIzLwaT/zJtiUZmdn8hQ4M -w8x/6kY4LL24HqPw3tq8ic6ffEL5k/870kX4YL5r43uwHGQgtyI/pnmZm97g -yu7Biz/U6i0rJjfmtNAdEtMjp8VBcFrUb+07Lao7pwXWPqHT4gkqsChDYjKt -snoBFSWYsRZgVO9NG0/HyiZzJW4AQVlmqldRAucj9iS/WxVEZVKPFtUh5wUo -SCQsEzODkvTSbYfwO/KC4ubnrMBsuLnJcXRiIDdztA9MXVZkbmNF5nS4U83R -VUk/c3TNRygd6DntbCc2szvDCs49gXENPhsitYKzBWi0a7C1GUk63TZoONX5 -DFSdz4iuqHW6dHympePGWfc6CD4rcAajLGz+VxsMNoDcLNEhoV0STaxTooUF -YXFL3M79agTDrRGG6zEQ11EOYnFOVCL3RP61eKLJQVHOnOzM6F4Dxd3N6b7P -gHGv/IuiPub2eqiAnMWPi25sTju4KWCE9Ky50eBWm2rB+B/RfMzenYNh3XdR -P6ax0mrO4G0ropfzDs7hERz7fY/4WuZF7z/zonfscYOXsDnJNpM3ldc663Jj -8DzBqZMutx4ciu1Q32by5EX6I7xIG4iOHDosWtJpq6cLKgK3sXVV3OdcxqIf -J+xbDkso9sXcFLKnY7BzF4dgnCk7OwiMv1JgTCVt4qqYhq4K8FcVZcy1zgoq -bFuE46Rc8wUAQiA4/sTBccZ6zOKtLZNlqCHbfA3y8GtgdeSqu9CquUhqblBw -o+IKOPlg2RwXAnJ7V2ABJzVVXWz3JxQ54CiaqWHU0eQVCqEbeUbybhCdWNKN -V6ikhXry0h0ndVucHiCHhRWrHCBHyesNQjiO5fSTNORwb90stbfuJRdM2uL2 -1rn9zLqwbSjvzxTHcX/lOJaNHglwnNsuAY6pzZhWAVXBEVXX/LJY9/gABhbA -YUE7L6HXZi6PnqgaFkZOBhxgCg21sOYNDaAAE2eAgl/ez8BJ8u/mQodPAbqQ -zcWr244P1O6Ib1YwMgEgw+gFxiyQWYd3Im28+jTaVHqteQtuxZ867nqtQnoy -jErmq61X9PM+Qj9vjp7Cz3uIbL3SO197J0OytCLb8rfAmax35rUVSFbtyG1C -SMa1OMEbESH5a+u8KAaSVWhvlw7sUefhkuIF5TCyKktxTgrItsPFZVT97pbl -asuNEpJTVRpvTFVpPI232k2x1mPbV5ElfRVcUJg90AnJXg9LdxU2bY2RdrIg -N4ha594cADJ1+j8MvU3muoTf9jhs7Sa3xXyek7xLgnJa2mwrG6HpAlzJ5me/ -0/zk/8O3I7QhCyeDAR+MRuhOBhlJmy9W0OTVGjA+zFCsTAaM/ypWPhFm+bA1 -uZxj5Vj1xTXFbhc5ISYMtV3ErpdWhXA2wycbRFR2D40XD/CmkJ6c2ZNKC15N -cLi5ngTrrF4zu/oZb23cBtLFlbxV6YO3MXoaQVOGrB4sAMD243F4i8LtCfkc -Knt7jTdfLXXVplzjtK705mhNWTdhhcJ0eFeDtgxva/AgigFDWPkVNmHAaAre -2y/f5jRmqHsCxzJ8WmCpnvAyjK1GN3O87GnNipnFnGGdzKw5D+5s4TkdhGcD -ok/40jN8rQyImis5HeiZwPmsUHlGbk4Xm7NzbYAO7frnrqx1Z2pcxgXRpfDf -RQjJfzOQ3CyrExskUkvC4hdujiTcNMEtcSc7JsQ1cRu5JnK1PAx5Ok3ENZOp -GPN1QsVX4HHuimT8b8zadc+/0JDxBVGf/POjh/NBLj4fTYJPss94WAFJxjAW -fc4c+clc1zYdnRVCx2S4WsrvZJGO1/F1R29l9Q3I+YeX20X5mOdJ8C7G9fDo -tODWCsjkwjuXt+JZCRnerMOrsYRc0zZXHAeDE+fzDrfVPuRblKnpTuW08An5 -YN02yn/se4+/D33HSVVtQsfWbxyvaEtJx6XBWfFMSjoW2fhLRcdfMB2Du2Kn -wQDyHZN0XGgdFpLHE0L+SBHy59GmjHXmvbwJEwqr8TuQ630HSEKGfN5XOJKW -lnR4J8MbmYybhpK5JV0oWWPEAK5A1gihpeR7eV20oEPbFFJy61glsqrCmvWN -y/fPc95kpGS1Thcze7JGV9dd2aSSoWQI7H1osGBZnjdjRkr+1CIBEG9KUI41 -u60K4vopZLMYIYcLwF4LwkkJm523vMStVrzrbsvEgJBH8WIwqjQWSa3y9oG8 -dJNktdq4XegB3hR/nyLkjh4hU11FI973cRNTRDWcVMGDvLv55EADJHxiINI7 -hvN5M1BCpkCTWyp2Nk6boL4Ci9ANIsiyTLj+kZLnlccYPox9f32qhrlmYTs0 -HOuW2IUKRxOMTY6SJ+NkBa5B6jz9GMeq60tvwVCOLH6EUeqb3Or9CkvJL97+ -A8VQGzlvMlZbtKHrCftNT4GUez3uPMqalDsMTfAoj417lNtoBwY/Ej1SnuFI -+e45fhMcHoMFQQvcov/4DXDBo/FUbPrycDwpJa8WSnZnofYabT4Kc6phQC/F -3udAQq6jXocui/ocO4tGexIy7ScY4PbZZIubyGVPqb6C67s9SsYXYFraAg+U -HzSg/DjqSvQTBz3pZX4Ivl5EDS0fsOVeNKQs7P6n1DqskJZbkkj5fNSSgJTF -pnyQbcowdPVUZeXEIFrOtLT8K9JyGacse7RMtuX/8Q4+r0p5blAUx7tG/lBu -jBPPByVx41XrxciqMVLG5JAm5Udqu4pkbrdAezK7Lw7H0kTgl2Q1GXeENKcE -EQ5/26tGix7kgUQ1mXeEoJo8GFM90GpBJaiTaU9s5ky2J2tSVoWnGeusjgQ2 -ZXRhsI4Enw6kZXNLwkP7TaRlUZbJsgw35uxbvsNPCtiWX7r9YDQ1JOaGR9CZ -gcQM7owmvjtjpCHmES1i1Gxg1nyMDJQOZUvzkA74aUoHdE47Fy9hMWzA4EtM -G092C+n5Z9x+NICdG/1FfE6Hj5ph4n5OgTb/Qkwc5l9cVbOBheWSFpCL05YF -m0tGzbPaxoTi5p5Q7LsmrKHYOidute4JMhbXV+biOmww1sJxVVzYAS5AMhpf -a/4Ak8sjJpOjIsOg8qXm72Jzd12Eror7DSo/YJ0VVE9hUZndFWPMnQYtj5N4 -2cdLGM/7OxmRWUwGXF7CuAx33krzt6aIGo+zeBEIfAx28scgrLpBG5baK4/I -LK4L6EZfCIf4KrJSoUEZhOWK9IQdURWFZVjq87ON79Wx8T0Slm8ig3ITWiLt -nqWNPHOyxPZcuVsHtQqvq6uvYLfFtxqZA6fFN7F4XlKjseAyuCyGMC7HxeTd -iWKyMiNnvIw1NuK0cIKyuC2cKZkqLD7AjnQtKm/KXMexPTYng+uCbVkf3QBj -pi/QoAzC8psS7q3/DT6ZZ3BD5PPecqHjcWzmlQvgn+rXRzkwHnV5p24xgZmX -TgeRvtYpBOZYGdxcxQy8TcQKzNqwbPeLpRaY/xI2h5jwOaMCi2lrixOWw1XR -QWTPtlzNi/wai+meqBzG9TQyV+dmtypqC4iIytUVMtfNEWTuyYJb3HFBDs7G -+ClrjaWxN2IGoiOYk/OuNJ+gy7ANpy9XWjzDr3AUls2n5A1uyFqBLs4SGHHa -WVgCVTaLzav+ha9q+Dyg+8Lc62DEOvFsJXRFwoqCw+0bmmPdBpUleMnCi/Xr -q57GaSth8wyctdBe2WWYZ4fX4uqy1PQEP/f3uO5CC8y0W5YNRuY+G9WEVB/M -qrdNQOdep+DEUBtG8KWoZyoq3pfcEHfQa4ij+ov93BDH6OwZl/faVZPeWmrd -/JKEzikF5tzgSGTzvGX7X8DmFcqh/JGrdln7nnIox4XlYleDbPE7Leh3L0Xf -w7HtrQoLy9JrIVtvXDRVfudS8N3c/cZzb2JsrpyW9oHFZtgN8IDB5se47Env -BYC6iwUsML3LlRcri1x8ZzuXwu3AZB9VXwg672V0/mazQ2cxZGANBgrNl5LQ -LEk/NmVYsVmn/ZYEib+3yrAxoyyZmV9nQ/OrlPrz8dk3ZrjyOGVkfk4n/bSJ -mTuVMeFXw6LzL7Jh5CFVDNeDb/FOLtVnR8QNVQgfxK9Yoq8jJ/pIYP4OPJEw -7pUyOHML43q9ss+wl/E5vFVBgIJa1ELcF/B6gM5UhwrpXxSYSmdFq8tkewLT -RzcUoSnjvaokMi1msVmMGSA2wc1JhmYnOCNCo+j8g0XoyeZTIyYNEJ7B4CxG -jdFNjzuTM7zg72bDRioBOhCh0fiszM+DuoCfw9C0+adKCxosZgs0/IvHuZGO -XBzwz7Ns3wb8la9xB2LzqQX7HDLfk9Uy8FBA4wQs5SAfRSo09v0UNwYKcvVk -PM6/Dg9rR4PHZDguZ/5KoyuQlORLox75/4p6Flwc9TYHmXwW50ePmD841BaT -C2mANAIxmTqO4aA/rzwXcODnsiFZUHmpReVSmN2DVguZMGWzE0vMyRDt9Z1Y -cVw+9hG1QWLThfVhcJ7PvHF/h96ayUktcE5lhhht3KhMrYs/qDzfD9akfLdq -uQgV5iRc7qXye6IuJ3gvvCYLyux9LZk9Vpa/LpMis+cpyxOUsqxze9BpM42X -gvjqMryTodkCum7EnEwZvgRczmBchiwfmpTp/fypfT8X2Q/A27WII8CLMc8c -engzTzcHHZxYKMOlQGZZ3yshqH5iWn44eRFDZ9WdrJcwtBmnc34JanNCzs/z -ZYTG5RgjFDmnZojM1rRs+EAG0MQHyci8IQGZk2J9KVTmcJt0YvPb6eEytVuM -se0WpLYRLsM2UJlLVxcPRo7gsu/BaODh8j0Wl6FYpxVWrNdlk3Il81m62nyO -SpvP0CXow3icrV0wuHpemgF45eaynbR/frN5cefxZwPr083nAhgBZDWNzL+b -l/TvsE9kUkVzH1c1n4Ha0ZGOjaKDt7RHqxQMfWCfyN4rh7BhWZD5VdwrAvfg -FixI3WBeituiz8rn4r0Hdx783KEFg9RmKj18SV6Gd1IhKm7WauFn/aQtDqxH -cJ3048a4U/ZmhNg8qvhWDGyOm+ofAc+bMUfZkxQ2NwsaMcLjgFvag6BfzJOh -CmFiyJxwHnxkDp6PITJ70dawHDkwKntTFml30YG+Z1UNnGs6rOKVfz/CVXB9 -OLNKXgw0KzMy0++8EcblW+fWd8j8JiNzWfMzh3VaF2K8ZxDfqM9iFxT91Ofx -7fkO35yfFNFPPrw1iyw2/x1XjuzJPheFpn1bHTY7H7NWnS+zBRmeR0Oa5EB5 -fk+1yUHfskJnX3kGdL7CobPyNMfLMq53jXJadRZ/xljVs8wNclKM8aunOPNS -vj4UOdK+DJwZt6TY0Y9NeGbMarPMi63ajDk/c4PX7OqrzXYj9UC8iSnrN4LX -0E5g//LLvHo2xOYPbAJ+E3ZDbSCRiaM9n+GtKbPZHYzOu1h5/sqiM+QA4QYV -XzOqz+ZVrvH5ZfOpEXx+4Y6frMd5QkPn2wBr2NgmCUq0+DcIoQ3dGohOOwcz -FsNUbhAV6WSMNv89BqTT0Qt9pgsS0l86fOCQpQ0yiyEa/ir8RWRuldVM1VDc -xrvr4qpxWw+Na3t4LIVtDo8piwc+wI55FbCuohMqyGUxXECIfBmbLS5GOQcM -F/fnnx89yBUWYrqAAMIgrLIgNdliMm/UnMwH/GUufIOAwjwMKZAU9HYCKkN2 -ff1OMmLAVDWXy5FFWRZDFmTaoRAOJCOHy5daXMZiOLEtv8kNN/Mo14fv2Rfi -FRgYtEXrMhfEyb49WCfSSsK0ypRxuypMlirG+jrT116tDRFc7hHgspgx2Kp8 -fVCEDEYM2BtdXowYp1hvkTnGqspfplKVwYiRgMqwKoRK4CTHR8oy2ZXf44Lk -j/mt7OMyVF+sLb0NQ78QYtCmDFCY32VzFjAEBX+/sQXrekvneHOgxxhkHnnX -cc/jmYjMYmN+hEK2tlA5YXeZ7QsY65fHtQmVZrWbr8UsrTQHyxdEXktSmpVB -I5xGe6EmqI89FWQOy5PDUiyDCOuS14vVSdihkCrT5O2YtrsUJvMCXpdtosqL -OC5XwsqLJFzuHcNl6K6EVAZtr2+OAzRQBeBTR9UXtdjaea01ZdxfcBEmIp5i -joByuBe5OWchflLOwS5J3MHAPZLw6YDPBjACeDd/1MgMd7x5Tf8x6xr8LMAn -4ddBtcxLubG5NztF31XpjccS7sa9VwzFO1EjM63d+sC8HFfgTgHIvK+6Ktt2 -IsJPHnI78HMHWWg2l8dh3q/BYby34L7yfuoq80fY/LOHzQ9LC4x6JfYYEMdm -MmowNo8oBps9o4bfENNSl8mplX3UMR5gM5s0/KaYomKc/exX0jZmQeYVUhin -+zA0MusG5XD1JOdc130Y6Q4MQua3T6E1Wef6dGuy/Padwkz7RJ5w2b6cvqrm -RXowyL7cOKeNehoyMqM9vxaZMz7kvjhA5n/joq1HQIwyP3XYUz1uB70OX2Y7 -4wK1UkCKolbvdCYNsjM7bAaxCW/QEJs3XeTZmhGbIQK46jJXm8GGjWO6Xw7R -mYqm/vtupq86v83o/Jbbff0/QeekCo1g/7Wuz/AV5yqkOCM2V3XY/LTqk3uc -u+T6ssXyfipZPtZNlVpBGKmFWj3CItih28nKfNDWY4iVuTPd4Ghlvh/rWvGm -tpG/wWiJpLnuOL5xpxA2Z2psfhP9XNsz3sdbFD4b4OsCW7MozmJthlQ8CU5y -gzp0hlv07Zq7cVYrNmfompufCp9vNfh8G+HzVMDnBs7E4SvQToUmhD7uI7SP -0S4+6KvR6fANS/uba+FQruhBnY47kBZftK3kMH9XV7/9LxFzm6yGxYjG4qmA -4rYatryN7MeOjjsIHeeTv4IE5LIoIHc2dAxDzq6Gju9VPove+SQiQ5e/EHJ/ -brIAIXmw+RvKwb2RmpJ3CCWT72K6FpTNuX7d/L3JW6bhjH9ozvjHfM7hebyO -47101v9mz7oIy1KqLoas76QSxxOXKdKraRmrlGEiBP2P05wf4zeuU8bzBpMd -OGfwNH2AY7X31qUnaRs6Wz821dUz0oJBfoyDOuTHtPy9puUa3di+zF4MaL1A -H8YDXJUMHoxH/JUhVz+euFV6r9oqvTdsucB65LFqu7Qrg/MCfUzKyR6M1zDY -51ovyIch4T6i5U9xpYjQ8kagZfNMXltmGw6mYeneigrKj1GJzjo0YbzNUQZ4 -Hs/nyO8Mjvu+wOuI4Ok7Rnk9E4n5vp+94J8UyekuWjustkv6kmzNPzpvZzGF -cjoAKLbmcJt1DBGUpflWsTT/FWJWNbJrpAHAEYK1Ma8LRbUlibsVXFNWsHUM -F1DHt+zVim3ZEysnBZ6sfTkW8HvINgM4Wpb65A5Myy0xnUElPQ1xdtZGWZhh -BgafrS4GIXrmX8JhqPPNJ4gK454vpCaM+ap6dqX5pECz1jbol4THdp4jZtlE -JPs50ZP5+pXR73Ouxmsa1Kz/Dq0ZHenSJDpQvyse0W+u7Yeznb3lhmO6B44T -EfP8YFHt2mhdmc24hgQei/DTf1+3iHMzlAjNuKQW/IaNj+LVNEJql9twxpV/ -7k90D8TmB4OffJLYPFgXw8TFZu3RaB1Qc2t8OPIxmB6sKBFqnrc3FgL0NvfF -AoA7Apd/XGgWYq7jreM5HWLWtv7g9Shhvw1veZ6MGzeEr8YZKRrjng1++1yO -mC2V4VyMmPNwrA2DmuPaq8BfM/MydG0vlpiXMjHT47B3Pq2mHYg37nnRGEPM -E9mbMZN/8m+g0HyO7Y36jP0Zm6y12b9JxaNhb9Ot59swoO/TSBCchZzFqwG3 -7bIMv0SDyfm/76gijbfj5XO2oRmckvPKc0Oz9mpwO7OEAvUu7PGVY8UZv2pq -HsgNzI8yNUsI8D4ulrP+DEPMd99M/Va4JOEONTa+izUwvySDGpd7eQHAfXBT -X0UrSah1eZT5TIznEOAUKzYXWnvzInOjUuUqjGq34m26Cj8f3k1aTm7SfLxJ -Y+Rc7T/Yzozlc1p4DnwbUM06m4s1rPhsbtuXgJ5RgP7B0DOVbHgE3UiJ0EjQ -7OOA0g1H0WnpwNBpZ8f8HFhgR2I0WKTbgiVDBGlzm6dJK0dMlAZnR5fj0TXV -bvtL5Nwu67ZAOxZCrqYIuZI5axXN3/VcXwGUXAHDeqAhd2JK7oKU/G/WkS/F -LVf3Qc9bwUXWbiFa8qPmnAIpDyikVy4Mh4Zw9xuIO6N4JvosWy9EU36Jy5xm -sbUQgn2wZugN5VZ+j4kZzviKoiRidlaMLwJ9OWxal0WdidT8DmvMC3nNELqY -r6F6DHMGf9PhP4gTDOTXaVi1bM7XTy2dLQNjAw0a+OfL2jKK05idJUOoeb8X -9ksR9Atcy3s55AdFNrJgz++FixPzLhvy434461rWVoz5WILjnMsS9qOuOBDT -IPC3DfviVjhqztQaswT/clSsoTD60IaAVcGkLPI05Ez77smDBa9f7BJodOzU -ybmPCgM+6mME6s1hIHCESkeNPxxUZxz0nc3T42uuPb3Z65bjQGCxtRnxzX2J -5CwOzo0pyFl3zKYghXVBd5w3jk7S13R3lq4HCB3MQA4jOfQ3NKBm0ttqKGqu -51kyNDW35VCUCv4FLmayZVTAMRg87vvkX4gPeRhxWZ25kMZYsLdIttt/zp8U -7JErpIc3YMJ+u8PzsujoJ4qcF14R/fFKeXpYTzKfhxHVoyNdDTnX60YLCa7p -jyMesC3ClUg7bIWc37RLCDZlronWl9mEvXJwBLRFQ/ZSit4MP/mpHAp8rqHY -NCiZgx1zbWkXj3U3d1fBwD60ICB8MPYMuubso3FossM5pVWjmK652FFIfEjG -d/fYje/FkHNyGPAUyFm7mdcsT7Ap6QBg8TpzbdnOJ07mrawze7vZn+FlO4Nw -Q19ltYCyTo6uyWA3c25H7lxuZV+JieT8CY9VrjC39OXmoXhR9FA+OZHA+Ag6 -1bOYCaKbdi4u8nPR+WUcCoSJ7To9sTV/hTCxTUHPYPRHevbsGk53Bm0qpWXj -Y193ttXNS0sr24bUN6squoW0KvtPXd/8SlDdPF3Vaky5nmL7kytauwYu/rP0 -XN3XnJmef3mUq+YeqOP2lsiKMW3TaCz7SvxlfrqO+Xu0aahQYOUH2KbRT1Uy -D+GaOQkG+vQs4UDQpfR6W93EusnqzltRd4ZsMU5uWYsCs6MQ9PtVduE4C13P -Nb9E46Poz2TfoKoN0KhgqjtHadAzbzmAFXUSJKTqDaqqQye0DRQ6LVqTtLN0 -sB4d2DowZOg0abB3tDqejlnDM6xFGoCalOmznL/D/F1T9dbTx+aSJaIOWfWU -iFyJd0SLxQK6LK7BO0X6LMiJXAbb3rpiBfJl5q75lzmAF2O3RY/8C3BbSG8M -7p2PrmTCZHAmU4BPbBfiTtai8ji8p+jJ+7xF5X84VOYn8AIO9pG47GwYH7H3 -CiILqy0u07PYOpfV4XYic2DJWAd7+kg1grgCDI7g6fuLQubfGZn/J41y7GTG -Q/dcZVwepLeT/PJY7XirHG8n0daMH3kJkO6kOaSdzCEy1/BtGRL42+85mB+l -zSPXJgT9LC5TyG8PNsY9w/6pUYTLpUlg9reN6E4MwmUK+Wk7RigyEy47kVlb -MgCZP2FkXpWAzCQ0S/DPerI4zoAdkzVIbF5Uh57EMEyay/YMnFkrhgBsHp2E -zbDaAZafdUvG5gcCbO6mbBrtZfe13vGX4G5u5XVp+KscrE1j/m6XhAptGsF+ -vzD9FDJCDJsl+BSWzPmrGU6OCakENlW1LPvKEpHZ7a52O/oImV3NMjXJQc1y -5ez+UVVG5lrcJAfIHN/TBwXybbEaSAf/IMcMtT7kZK5F7e64/PcKXHZ0H2eN -4TM1tIBe7pNZbJ7HzXLv8idmDTe158J+6wJ6ecOaT5hFw4zKYXMG3uuw9h6b -2eEz8cL1mDg60q1p9H3dHmzPGICznt1lR0Rfln6W9ndhyudVxub38N6j1QQb -ozXlsrBtDlrHP6xIP//FvJxnQV1XFwOqzxSZsTSkZbbeT147nKU2Rm008RzO -ai+23mqi0bntsLCmWR+BHww6H/J3/72oHUuB0X/OXlXRrF+Rqk8joXXOX+ij -amV4DnPa2LwmxOakMCydhTrq+Zi0nqT2ZjkHsqtSdWZsHce1yyN5R+XT3m8f -LEm1svt69eK3Sdg1t6N6Jgo23xnH5k2YL4L5yr35GWiQhJv6MX4pPlNACwvg -Z/8S37rzOfu6mPOvH0knK+dgt3DrVN7Ov0WF5s9D51xGZxalwLKxX1s2eKRr -xedUto2PfduGDQt66wGlmY7xWVqcF1KTszie//Qcz9d4PRue+KzRGQOCCp0H -a3Sm9rmfxeHcSzmcO9RX23kBnd04+ZBunbupld86B0IYbjIJ+jQqSPPcELyl -ad3feM+uAZ8NEqpApHoDb1u6aSUnROLzZhSfN2DHBjRRQaweHc9sfBR8hsCg -9G1o+wZ8btD9rCwc4oBGhGYbB4x7IVM081YRo6m9DtueWYwmO4cvSIMrGtuf -JVjI21MQo1XAEHJJuuFOo/RwHTYUi4cKHV77F8j5bEPOnbJqUI1FvhByeVz9 -2lmZkUVI7oK1FpcwJV/EpuQLo/sLLrBi8sOc3+vHgrJYL4iUz/XsF6N5G/Wz -vJEaOm9e4Ezfy4qW57BhecEOR8tvB+Ky2DFWYdu6mJeTiLmk9xzWrZLfBtYM -7MjhoZGtyniXziduJRKhGfJ/5un6u7TMiaGZu5ihMoMsGrXZosGt57zx2rNo -yKISOVPwHL05rMtw1OwtJqnaK/ouzP3xIhKvHkOI+SoSmdGWUYZFZrZl7LYi -c6oWuWQDszTJpbZlvGVF5mxrzfhQ5f6EmtdEmzLWW2peVwbsWNk2yeBCwNSh -A+s+l6jaDHgGL6i3zzazU9PkIWtsRvUNyLnZMezGAfsUJA+KJWeVCbS1XIHy -JkvRCB3iucDQrtEyqNO4R290kBl1Yi5wp6ewnS4520XYegm2v6ghngPUpECm -ZrvMbENxW34T8n/eooYJKgNlqCFrpMpBETlAuxwIbpKFovqABy0138LNW5KJ -gg2kOv+HhubcOzm7Idmoaug0g08eZAChi7kPR48HsfIGYvNU2ydAnx3ZEAyf -mG3Yy1wy2inknKXI+TPKQcA1j5HhN8vh+s8/ZlfAB/Zv46tER7obcr6xJ9s0 -njAP2CHR7jKj8Kg5chbB+V3ciL0ZazQ2YE/zqityo0+vLsCrTTbeSmvMPBCd -MQJ7IHrxDi06H8WfPe4BVJYNz+gM9NzrOFo2Ems1krZmDw72+cT6m4MH5GTK -B4bOpUS//yt7Eo9D09DknNLz7+/2OTVyDl+SKxPIOVzu5+cA3VnQtRkzUgxc -2KYhhv7tssNSzPxk06id7Rbz3KKNzZacWwYmfiFn+M1XTUv7DEfHtMYyA29y -6HF9lPtbobt1DEeHpnJkaG4Ric5vqgIqsWysSaJnLTzzOBfKqLBew1o3Loxb -N9ZyzUaSfcMcpSPmJtZNdcc/0G11p7AHhQVo7HZG8fmqeF5QxGem59+V4dku -0n6GF2hjfzMl/MW2ofOBsiwb9LEjrWV7r15JxiPlW++ylRrOstHFNzrD2Fh2 -m9h8IOhcIT2/QOl73Jo715ZT0XjX35YL4jMs0Qb7hr1pwfhcJpsF6Dy0cID5 -WW5bZ+P4worQZII2FG0+PSFFLwCKVmZoqOJAigZLB+9LkUzhS7eLMVpVcwBJ -N2CSvjMQpc0NPq6xq+oYxXtVlDANFo/mx9NKOLN0C5+mr6tyS/e/AM5dsm6w -fmSQkWmTnu+2gFT5fQUXRT0LLox6G0C+3xwxSJk/ZCGZbpvHQ1A2RxB0m+Ge -++Kf0XjzB0VOJCv/A/3KL3IBhiT75ipYfp1b1gmWSfP5gMelnyg3xlp1hLex -IyOP997v5FVF1pWR7Y4wyswbOa+gnRmf/tvOiBCauTADj6KF5vJ05F4KUoAj -q5Ij6qmabsMfF2dIPfORsJ5ZVmqqGY5tqFGlGTjLCd0ZHjRzr5z2M6OXeUAs -9QdHj4B5mHJljPJcGV8FwPwfDcywmCTWJUeujELlyshPkJnJmeH8zHKMQ2he -yxkGegzn2ccwrDMCrU0cGq6mfY+dHUkaENcZ3e78zXD0xjSmI6YJwjo1uiRE -pYKxtUcPyvDZTm8CDFejTXTbAFvJNsCXwzYBt+UhaXE2rQveGRtNnwycazpw -Rkj43IFzzQCcXceWSgNal0bYseUnAV3PnO9rTl2a4aDZbQN2i68rbX/CQjOA -A1bVGnCQSfUtqpYZU4A57WO+ZugHonQUOTTAkIYGtLyr8fPXzXzuehdcrMye -LDer/lppFIBR9Wfob6YCrgIwfHFNJYyjpXNHwPm4gPNbHIWYS26NExMqR0d6 -NI2+q9MbjVOkIw3DowdTHexkRdPiqxzzeRePCrwrcWsBbsPNwZvtY97shTvh -zRFYKDvhrex80Lf2S0KQ+2O8PjprUmK/cx/1009R5ww/fwvPIj2D51mnBAWe -eUOgg+cDtBjTs/1/E39HqimM1DJ6XXQpeuhufb8gCMvm2jKNUwNn7fcPq5rV -+CUhDVhs35z19+uRi1r+vl0W9zzpPRoBnOtaf7OraoY9vacEzssZnMvjMgSQ -wXrn000+EPP3dFM/W+jSgbN40BuuAvuUlx2s5ZTgVpap8pRr44tCunVxuKvg -Ocm5oQFa5GcL0AaetXvjqIHnY+Zmdg6OzFhfnSc/a/8zLxL805Ofr/blZ6jB -mqIWBz5XCRNLITx7vXS8IBDgWZYD2pQg+50PN2e/c8Pb/HVlUqxh/c50y+MN -D/AMQ+SKKiUI8HzVIHxr7y0DN/covLG/5IKNXVhdNR1vZeqmk4Hv23YRgshV -One/MSPh1r0yl4a8SoYGgCYZmiAaPj2QLnqnxleUJFRS9EKBaFXIgb5olqNn -3eK80dM9fzSDtC3pSILpIwLT6RA3TAeMTjvLujvEL21uegPRgNJn4sQN5LLr -K9/0V6C52zaY1lxq/i5J9FpAvYUAsvgt+nEtkwDyUxzkGxL4LkbzzTOeZZuJ -PP0JFeWZvKEPbqb5SlUWUH4HjynJPMv4nfspv3XFiwGFyxqWxb4cvnktLGfR -exekIZkUHZKdRSuUlfnDDPuOtZUZC6+wwPxnCMzjCJht0xxbmo8nWJoPs6X5 -J7E0MzA7S7PUZrSJDkrLHAJzFzJA4XG6T+0v4QCgbZYjX8Y+Ff4jhXkwxwso -+Ec2ZvFkiMIcejIEltUWvwy94nqOguW4wuwD8/vm70O2Mn+irMwAzGtt4Nfa -mYO3rz6270N1ht4ByvUZWmmeycdw6u0UTbDkkATNHQJo7pEamnsH0NwllNuk -UmNMMKiONdFxe60dUOvVaLtj5c2JFmcrrTE0L0uG5upJ0Kw3Za8OoDmpMiAV -JBTXsiXFtLLpL2yZUyqbqg+orGoz7B6TxNqMZEvz3crSTP4MVZ0BYUAsZ74c -G32oudbZmmEIBjYxSUfJdrTl3MazibPF8CbfxWuyv96qoHnVpbbTHReFmtsb -5S7wasy8OjoxuVJ05L5m0Xe1+9ANyMsMvsIajUlofSpiaM7ntbiU8PkM7Uvr -ymyJVpfLsRZnKF4Ur4YswJzHzn7YEB+zOauQ4DD1XpRGOm8HSpLVWVmV9BHA -VpkhxdRrJJQ5+1ZnekO2TGyki+8/kd0njazivNMVM/IQhqA5PxYOrK2guZo3 -gUkBzWuDR2RKaC5uNXYwcbHnYJxn66cz8BRWaFTR1ma1AfAW7U3K1Y/FVNBc -LS3trahDPg1ZyG15Md72D/OtPpj7yceyW3Kqsjkv4GMgIcGP+CZexZKV54hk -1bkoAGfdToc7UbBqw4UGpaXugFaeP3fKs4Xn5bwjBdVnhmetPL8bbhXkyo2Y -8qxKnnXdBqQQ2LdxAmbIFpxpJ8p/R6l9KGJ3HuCDM9Vr1I2O3atkMrn1EZyV -THabaqSrr63O0kjXywfnawmc90EwCcF5eADOz/OKhGlseRblmXpeSXmWlQgp -YvhWfd6On5pVDM8iW4UKNMhX72ofBwK07+UAgHZ+DgoWzmNbNFdzpIPOlXYR -XtzI0Lc6W0eMoXmh9yQpvGNB+rmGRz1RemyjY+ng8TA4LQ4P+LuhUv2/4NI4 -O+q1LRPr33oHTgvHyBzfY1+yRPjEcTE8wXWBYrJwsnVekFtKBOVZvIDkFW5W -hmhfyMrgWX4fXRi0lARZ2ZxMEZbX80ndquZDBcLLIi5zIQ45rNQTF/d8uvr1 -H2THp3JlwCmEE4jP1reDCKAws1TN8ZZsfIYOq84xwJqOmWOe5pv8ujnpc7Qz -GymnkaeniMzCzD2CBSYP2Pif3vMnG7C/VmUZ2MCMtXKpYn++h3mXLcngyF9m -8R7mJIEZquVyYgJz4GX2mHkr+pmdyKwDCyryq9wZdh+oEppn8xP2JQ4jwBGb -gN7mo9HoxuyKauE2QJwuN/cEo+cTzugp0EDFGtzgHCvWMMDw/CEfFkBhm568 -WdsbTQM3L1KQsHhnUKpREFt2ckrcbBjhc73QISzSSFEcgCUaLgqYXLXlhGbx -NFuBLVYgoGOAtB27shcDDLdjCzdA/ZZ2Z7Tw3BktDTvIDsB2GAWsiA3NsO0B -4hkADlZoLqBP2UQ2eM7GRkv4LNHn6NOdNJrewp+cIvjUYEziXI+b4ZMC8yqo -oIXPiC12f5WdGi9UjI70NNxc6wEqSYfLj1flEje/xEpRyM0rkZvXw4rcMtns -cS7gtZjcvqjigbLfx5ZriM+5sRKc9QIUz63xc/L+wEec1zkxJui5NaTIXNv9 -RXDWSdmgzXmGP39p8crXsT1A3vITxc3aqZG0A8imZW1zs3DzZnMmNp4aN8eq -52TJT0JT88a5wVl4kYs0uEgm61k/DmiHLcDN0k4ORRo0aKkXRgJVmUa8alG4 -GcpjqqelfcQppqt5Z8Il0X35F2K1FTwbn+Ky19G82+cFJTjPw52B8XVhOO4t -KumliVC98kRnuJHP4Rv5n3hcfNdGgu9ZhOc1OjZ4qRcbROdGEB20/OwtSkmI -DSrh2TY969KN6b7nGdl5AovOWFFXLRCdabRs2fnBOt4SFBsTtLsZbuVVZnrE -fLeKCUot3b0xdt6v2RnUMPRaDuN6urFo9KLbeyp7nmfwbT1fbeHVOxL02Dfk -583Mzy5hBDfySuXgQB80CtByMzNDswi9WJwctajSDhJIC62bg8o5xBdtxWgu -6Zh1s3N1oCBtIHqagWhqiz6UDraOtHOJpRtoPVpZpa0mfYRZ+ij61SpWqpcM -zrF12iUMLvfddjneE+Kx6I9ZmPM9j4WWkIdzhekoFd+Lychghyr08VhLydpz -8cYOF+lbwp1xDpFdtA8esmsYkTfiXeUetHnYGVnCw+Qvi8VkvzcSzcsiLSsv -xq9iYH6b7znpmJtDS0ysvAy2pyRUDuN/vPvP2pvsxiDVSHNrM68xA7cGyRIT -sTnZ9di9Y6hMh4gMzPu0gflKvQZ7GE9zRuDDlDB5rOfDcFG/0IcxQ0nLgsmh -D8OZl3OxIcOZl6Ulw3kx2MCcuQ6DCYjKpQWVsz1U1tYquwyU23FgGrQIzczU -KYkWKs7xTsf8LvkyJvErFHW2JsdT8kIiLkuNbUKTRmhspoUn4s84gq7OtuN/ -Sm7TeDHwZ4QDae3P8HroWGrG/JPDZcw//QVcXh3iclJ7xnsGk5emWGiSwszs -RQBDiXmcaqcNjMzZJK/RTJr3oClU8I3MggtaZmvK67LvwBJ6WWYi7cySh+oB -zRn8+RvEGhvkoWAwDZ8veNEv5PXZkJ9YyfUBWaylFWH33N9RO9sX4DLc6TCt -wlf3O6XpnjZ38x9zK2BVLOJyzYfwvqMNBiPxGNLSr5cZlxdYXN4uuGxelrQn -lzbJw9HAHrrKX+DdBHcSlZXvs/akaWq/j07DjkiIBupWjbDJ+VQNzh2G6IlL -aHBO1eTsRwNbJlUzzgsaNfTG+YQ2jdvei+//iZfLhLi8PsDlz4rHZf18tOuz -w6djwlngKGxNb6GPW2wCY5Yqsd65B71FPncEuExG/mJweQXj8jVR57yyGFXq -AZFAg8uPij+DPf1wDCbxUHg6x4teVdHAd/mmXq4C+OtjcvPZSm52ItaXYnTW -kvMWcEpyvAjcktqvYSVnGgb/iJIzYzNGBsXwrPwa7xcfF5SWZ+mqwxVlSnL+ -UxmdE2OCYxN8Gk+yG/Mx1+bsIXMHijIdlibnRreyKxPaZlU8sL5D5gMKmb9l -ZP42FTKXFWQew8LXRL7JX8RuK2nZcJt4QdRabAfAhM1wQ68IZGeODcLtXGZb -zLcB0rNGZ1icIuj8vofOfpQQ9iosUo0czgwt+PyNixVKtFAaOm4VfA6N0YfS -iKFLKYaWqKHWow9HlSvWBV4uZRH55DozgPPA7f/2fRaFdGxEQx6hNGQBZUzv -8eLq5wMd2fdcOHPyq7x+BG6gRfxSXcw7A97jF+syfrV+wrfTqp3Of7GBi26y -WFPOZQ9GYVEJ7xXrFa1v961T3230vRiiLR/59DLcSXCcq+V+kVwB5wlwbScc -K3iFgvVpBkxurrfJvyRotp0ZfW5UC7PrqUwAHxlZs3l7k6AzozUXoLfnRdkE -zd8raMa1m3Y5toZmWV3yBB0n7MnQK0u0thxu9pukkn5TGZjNKzVTG5fnxIzL -BdaL4ZuXnbYsiT+qloOXrBiYN9qujM2kL/Nr9nP7mg2hucgexSWqUvIN7s6w -GjOnAKfd5mrn0NDciPY+yIDaUoMG566nCc7h2rTAo+G0ZuXotEUC30WthBRm -7o8Zm5Nr6AJwXvrXwXm109SSwfkjPwW4XvcFkDejzsYEM/PmWeZPmZk3i8Yc -92VUt+knV7dVCYHBdQfUKc6XkZtUNQfLhBvwShOXAMSl2fkVsA6oO5qZL6Lu -DP70jWKBbWrgzVjKA+lVbGgGK1gBlAaYT8+XCpzhM3MwAGfMRECXBoSIzfv7 -j3nl8RNypFez6NuaD5t37WBuYx3NScAXzJGbhrYnaGzMs+C8jPYW4LqvTeZ9 -uR0TgSuuLmBjM70l0arE3v55tn3xQPRi2FvexNXR2WXbXOZsNwcGPo2kcYsE -Y7s/qQzO2qcRGpyDSro2k4NOcwPPraYlvCXF659kW1Jacyrbkl2cqcF5xamC -s1sq74HzejkTKhVrzgOC86b57hG5eSaVNW8RY//z3gNSFmhLUbmcAwDnamJo -zgkNzRqc23ng3KI4cK6E4Nwprxzm/LvnX4IrT/qyR2MQrz0Zw5KYpzWrZOA7 -nMdfxrf2ZzyCWa/KXrPNEfG9Gi5eZI3O3GRFPRvnk18DNWd1ayemBS+1aUHS -nZXmDAD9YTwtqD0bsbJn0JyhFUvV1P0Jfg2vou4U4ZlXoRzvq2rpdLdGQAJ+ -t8ZdxcLzdx48P+ImytiKBZ+SoapjQ/s1ptqeDbrF56mujbfU+gSaBmclFMFa -eUsbn8tp7dmZny1E3+BDNBVC7/IgGqQvC9J1lJejbgjS+z0/h6QLPZC+9YAB -Z3PvG3BWMM0pQ/PXwAnSVQmcT8egAeA8dPtliUaLMcpsId3Jk4L0XlxRVqBc -RCVxr3OnMlVflMKjtpSP2wd85CwsF4WwfDbCshgwcljiERMGSD1fqCMIYx/9 -ftVZAzl6hyDtt8q8WVe6YjkK5cLtloELPCVHYFN/CyhwC1NVOEK4Wej5igqa -q1lo/hXqaGLQXBehWTYGxbeaqMqMJKW5tm/KsHv/bMHcI1wu95i/wUQZMvTm -kjDt92VMZdZmDL8eg/b7aTPGQmXGSFaZtyWqzGzIsKk/qMDZakvmPk8YAVlo -rizQrBeA7rVq83x+n1IK8CCbM+i9OSEkhrt9uU1HopIWp8XkNiEGSQSG4PzM -qYCz6nBWxbWw8qH5K/5EmighCZz99do3B21bBM64P9iBM0OCB86y2WFFseCc -ui5AFc5t1sYMP/mkNzsANFPZ1hBOPz3lbTWJGzNkMN3NGTMUNNjhNBgzOAHo -VmdXpl7N/CuQGGh9NvU0P1lIk2lwdE7iivjZRf/Az9hb/L5fzoW1GyUJqMF5 -uwLndZegGxNudLjFsep9Kfu8oIjuVfM5mX4dgXONvtHeK4ZEe8rSvlxKAk7B -tyoMdWh9wVsJ4LwxWptJO3LB77/8OhrESA8j3ECv8ztyLk44uUnm9h9wdCmV -GrH3Y3gMAtU5VSldzwHFGDXCPmcYwIz7Kd4uI6ozJgPZ4DyDTBr3zDmFhUDS -4ZzQzXgLF9GdLjh7nc1rxeifYgITPiQ3ufNQW87DlmLWaGfxlpNtrnguburv -4yUBbXVMbruE1fEEzmROwhQsFNDRMbiOff0ZuK0X3o8Pca7/SfQp0RtyPB8H -T3Xm6JEYNd7nUjqJG61JUJ4xIQgDGt7Gq+GZ+p3BsHEe3d5bz8edY7A8yBme -L0pIC8YB+ogG6I9OkhZ8J1SfuVJWJwXZsPGnLqmbQgSAFRsnU55xjyDtODse -wPPh1jeR8szVGj+gjNYwiDZBtcbJzBqPYBMP3v64S3AQx5aG80wZPivPou9r -F9ZsvIifF2d4nh/b1qsBmjYpfGrjR7Q1hW/u0luceYMjSNYADbe3edOHJmj4 -TDmIdmo0bVZhI4dsWEE1eg+bOagjWuo5RJHWhg5PkUaYPhCkDP3KjuoVbzxt -a3NJQ86jDTmPUdG9Z7F65lzru5D65KnFkPIrKsonpKxl5dCD8ZGK9a3kJO5q -NkZt4MfqVo73ZXvyMj1avXMXVtuE6VxLzBIscL6Mox+T7/DnD1TsDwaq1puh -ujLg4QkhAZjYSDUznJeR1WLxP+vPEGpWuwBxOtPwFjedwQemDgO0UnsAw/gf -WJkDalbRP0vN1pvxtKJmJzOTLyOVzHwyX8arib6MPEvMUsUcWpg/xbSu68mA -xK57vGrjVBI16/51u8FIaprZo/Ea7/2EMzRbRQHhfGifBnSp46aTu1wc0CJD -x2RkSOyxDQfVrLXFceGo9Wt4i9MmH4pavXAg9faTBExIGQs8XXLeosg52Kqd -WFHrok+2dO6U+wJeDEiBKmpFYkv2aKj5tKHmOtmhR0NJbUFvht5sIhu0/cK5 -CtbTCWtLHy64gLJQbEMbr3wac/nxv5gTyZ8yFgASZCMKnI0a2pe5Qs60LOmA -JefLcICMnxh4lMODfBEX0c28NjrS25Bz9UfIp1HGrcyFx2vx5LyayTkL9R7Q -eWTB/HtVqYjuLe7TkN2Bs2/+npf+HMIgjTwiRyvLEsrOqocRZGf0bCjZWfdq -6CZzr1cjpWeDH5LBcdCejVSPyZjs/Kpf5UzRwC/csViScCxkjaYh57qnQc61 -T4mcE16Sm8LiuWnmHOjiuQlqy88ofz+gIufqTM5608nJybmJR85tkJxrAjm7 -RCxUzWZijUxP9GvQLrKB2ILlooETeLD8Et/8r/DyhDc4iOQWJ5S03Rpaet7q -uSrd8oSTejcgLqgtz+zfOGDrngP/Rop9g0mRwdC/4cnP1rvB7kykZ6qZDekZ -Q016M8qIFPT8cAI9tyd6/klLzw1UyOmWZrQhuL6udSZ6xuFzVejXuJ+WNMDQ -WdOzFxUcyVrZeJafQ8vz7MC/ERL0+8mNV5lreLnCBqd9lSEZGnYQel4Ovs21 -FG3jhOC8rPxFYIdmkvYs0SFJf007CwNvh+7pKJamDR3UuuGvkfOE7ZciJaPx -gscyU3jH5sunQMo6zCekHNeVnQljBb9KVxX5RgwK95XwaVlGPME5sw5mIeas -4vZ4uhr1w9wqJ69R8kFl4M5O0Znx7LzBMQFxNEv4D8Y0EAuAczJGnRFdmvEw -d8/cd2MyNWuDRlI9s96eLdRcvYejZr3MRKj5miD8x+YMaJZzG7JJZ94d1DDr -0F/cmDErwZjx2kmMGe97xgx3zvi1CuaMDD3y2YrC2ZrS2R41pyyMRGfzLlsW -KRuLrEkDCzT81rmpdyijRkPaQDRayLmFahM4XXJOaKGLTak9oe1IjJydyPad -W4ASOJ3vns+GjaTlJ9xXGyagTpGca3jknLDcQfTmtQn1tCnDT0GBxuZQb1ak -kCVBQNKbK2WHW7T74j7Autl9UpRnSBBQLzeRmmZPazO4UJmXpF2J+5q6Qes8 -Vm9R3nloYbyzdh7jwRJ2OK/gui0IWeQwAsDY60tcNXqeIueLMcUENkz0gcGn -5l3ldAbDxqxrkJz3V3sUjRp7ePEXHEkShWbYpV+pyBk2FcAVhWszORgIQs4S -7pZ5o7YYNjgcCJu3zXWBDeYNVBGjFGsUO36hOmd9FJIysnoEIw/JDviQPJ5c -riF1zvYxKeWM3yvzf9AzI1XOCYYNJOe3iy9nxAX0WN/8/4ucw2Un2rjkn4da -sh9wyyS1H1M5nLl5Ds5CRUXOtbIlEKiX/CTPXZxZgzbKq7lLWtpaXnEGq83K -o2fpXtz3czEeB2vY4HDgKH5MTmL/0jTPtEE3/2LWxj6QJQpeLulsj55zeVSz -g/Xn0LwhZRtWf84K9OdTCg3SozXVvsGUdc+qcAP05/+J/izOZ6Hn6a5oAy2b -k6jeWej5N0XPvyjjhp1E96ob0HN9pGecRjd0CxzEuHHQ9tCyfZNLNr6v3t1W -O38r9Hyda6ijog1yPktL3e7S4zgwOEm5n6cFBo5X1UbfVAT9SXyCrIwc8GkS -N7RQ9Cpzs39mKTo/oOiimB4NFA310KE72pK0LunwijokZEhlHWjwuMkaPNIB -pQ0YQ2NA7Rvq/CVwnpr9L09OJofy323bhZgv5rP5QoOy7B7RoOxk5ZJxWZlb -MDQsb0khLaMZI/BEfaUfpdvlUXq+7bD5LgDmQ/wQtS0Zn8DxoccnjG48YwbY -DxeVo4a5BeRxwjWcaM5QjRnjeXE2jGWGqGpmhmYnNdezBg0axwRBgARo1q5m -iQJ+rw0a4GuqpBszHov2qRigNMzR1j9py2BzRmZSU4auYS7emFGoWjKoPV1a -MrQxA/pswqYMaZdbo9oyfHMGVAJIx41tmgsMGhqaxdn8lpKbF/ARmQva2i3g -bv6e5WZt1DhiawRGN6M1naHOdjrg7DVqBKvT7O6T2MLt1NZOf3OgWviQKiJ4 -EnC+qXhwrsHg7G9FO3lrgAPnhCXCG8MGjRfZ3TyZ3c1qt0lCJLBidny3iYYF -aN1KHQm820UCcyUSGJebu3BVM1YI5NN0GgIewznEMcm2aJBR403lcF7JkzH4 -bOVAIrmISi7h07Q3BOfV1ACP4LyMwxNLuMLZ3Mh/zLk6OnK/Aeeq/XhxwRhM -51I0EJYWzGRwfj0FOG/AI7S63PZo5VW0b54igjvxmIBWs4j3n9DRoBrnaWrx -tuyaH62rnPUeFLVFkGTn4yllZz2BOfkmQV4HFOygb5PUSpe0B+WVBNk5PBaL -UxQ0Cjgv/7+Cc5Lrf1FCfbkGZ7ch0IEzj1/shsAhCpzdrpPwLCSDcysfnFVl -OW/ITEtbxsdBGjXK8e6TS7BX6yHe6/sExgQp5zSW+7FAWnuR35RzWD57nUng -HfYzSVxwRQrpeTuXN4aymAfPCTe9b+C4iOSxFPFB38Bxmd04GIsPhgaOdxwN -/B7Iz7RlUDXV6djg81wSALtREuBZpOdfEJ5rW9fzUemkbV+PdgYDPOvSgDtE -elau57ptPHi2w+gqvJC74sOqpW6A3Qcsi7n3lBmhdqSI/DyFumVtr6x2QIcS -9NKYPBb2yMLiBTtQLs2RQrzpDUSXzTEQnasMHaErunhTx7sGoqHlbkkNqIn+ -Mi0dMDrtPNfSoSRpjBvW9UE6dErfeH3t03U5lzLgPCP7Ett5MUepya8q70Uc -lN3uEQHlZaoHY0XgwZDKuI28wC9UlmEvSUEKWNb9GLjYj/0YcoQ8/9NaUZhD -YHZ9GT/zUZFjIhOaP1llpslMBexXtd4MdjTbacxwWJ1d3YUAZMFm0J9xWAIA -zWQKkwDNN6WGZq8/Q0GzjgHuu3ogdVhdKdDMlczszdgDEUDuzEh2M7+k1mPr -vSWn0peRtLuE/FDuLepU5g2ZvjfD1swJNJePQ7NWmt8VaK6hoXmv2m9CavNM -UZt5iSf6NFSZwJgmx32Hs1TQtfdLBf4SOD/lSMED55jEllDjLHV0M/bHwXl+ -QkQwEZwLmRACcF7xfwRnWat9Mp9G2KWh95tod7OeS0tV8xN2KVo13m2iXZ03 -e7tNXFWzVpvvxlXad0atckO1uQq7m6/ERQ89wNbJ1XMDcI8pFQmAVQ1qgdCn -Yf4gB/U2E8HHOCyjTxmu1y4GnL9X4CwVznZtkljBzGeGwPkxet9mjrULC2jw -MwuPIYHz2/g+1eC8UcDZHCEY0mC3Bu8+sU5nr1+D3pQYE1Trf+AtOVZM/ydT -nU+x0jlUne0QJiE12yZsaJQ9KFO+V3tQ1HtSAgDzEgIANjlL4HwngPPS5IZG -6NYoHpzXJoDzcjeFiYFzeCbCCnO/ijF0OFcPqsspIhssyLRr5RMqGHP15EWc -/gzOtrq8dlraEgZnigh25iMBTmfYMv8At2wNYPvScKU6T8RGc5pFz2RZTTue -JS7owfNO3/UsLkyAZ9mNksq7Aeqzrqsr1r8RxgeFAjRAa/W5uPig7d4oY6OD -/3tdO585NjjjGr9zY1JFD55xJi31zp7ruRh4bh74NjQxeJFBgGeaS39Xoxsv -cujtWrY85/NAJoSnKTqI7/RR2BTvujcIoL/gXSkkn81NAdCLbbHsduWETswx -eVIZ3/yhEs23v0QLydNRGC03EP3xDTvSAQPSSgYcvYttHbuUreMr5ui/J1o7 -XG3H13b9Sr2/xs2vGG4WTn4twaMsgrL2KX+gONkTlTHZR6fEF5VLxD3LbBAs -Ur5lnfSzp8Y7Mb4bA7cLrVM1GejIEF6+LODlDG8uIys4UWSGxfWvXkErN2er -rrkp10cntJ95lDBzDW9x9s8P8kqTVJ1zsdBs02AzUFu1A1Azs6tmtsx8na7O -IGbex37mkJlBZN59UpF5eoLIPD9I/+nTcjJXxgrlykg6OfrpmZ2y0SZWpq6Z -GbvnyMBJzLwPR9FzOWDrqjSc2Oy5NNjUGXLz02rvw/+Zm63P2d82jAvTvBrn -uLp2jyrhAkDwOmtx14Nw8y4bhdLL0QgQUnDzqtPk5vhE+sYNSV5OxQibZyTU -B0xSVRqpvM0gNvc3nNAvkRNiYnOOLzY3V2KzrDhxYrPbCwhj6Z4FlyAguFTg -ec6lYf5mSA+d4ebFvOMBk4FF9FnLwuc+PfOL42YwXdoNSh+QKcxuT5pXPjrS -565of5X+3EM3ziYDYfZTlDkbn66wPcjn5k/xeFFB1Fa8gLCT7up8VGtgPqN9 -zjDGlIpGqnL+Pnr5dnFr/GRt/2JekhnMsLZuFcqgLqn76R4ObP+61Ry9zolH -4phn/W97slUogf3/7jmnaP9fEu6g9xtnTs7Nfs1MnbWpa2b8t2SQlN2UvFi7 -RhZz87bRMX9zZTt8kYTsQ5yQTeFYYm5uarhZErItkJvv8Ll5ObRr8JG4Fpdt -d8kvjS3m3WHRWQGtQOnPR2MIW//HcsXGZE48TWPv5iu8f/4NJAYihffY2ITs -vNNn5408r3Gpwb+Rc0PEZ6WaxbzPqdwbSelBvTKF+VnEZ8vPHwXuDRGfl/ji -szg3kBQW6JpnpoVpajE3szOqbODnHJnAzo/57GyXobUP6jYaJvg6ua32ACx2 -uLGd8nR2s1uDbQoKRtPauVH+SauuuWVno5GfKT040dVvZLzMuwZnpXRw5MW6 -AIoZN2f6JACfrnWZ27AZiFKFiqGv8okgSYxeVnFnCNLpYOkwwEsrC9EezRxd -Qhk7aJmwtHbUv67WX+HmhdkXJ3Ly0hgnk3fJcvJOt4JEDBjreW3fJl7dl7XT -tWHksa9JXpealXepE0L5WtaW2bnstOULg9Ohlwld6hzMPJLB3J+0OWpThgg+ -4F9aIDVz0NqoNWYevYwP3MyD1TbN8NXYxR+5+Gvotc7MlXP1XHuG7M3+LoGZ -qaNZmjOSM4D0iuSTkCnGjGSN+eTZv1Qu5qROZn1K9EtTaubgchfPk2/MsG7m -8v6oBs0ZkhiwzPwfnDwDM4ur+XXVovGK3dZJvc0vc2ZWZwJpRSDV0IFJw7qb -VRWd5uaBSdz8oIGEh2nvQyputvnAIafAzXbJ8OkAQgpuXnpybq6mudlfi3Zq -7QEhN4f7tPVqwBcTtOagPcBqa+BsHsBuzkeds7k4rTlH1885ZzM5OkVrrhkL -QgEg9OIKuv7cvSXdzZM4ACVLhd/gz6HkAlcX0cW/7XS4+VPXrIGfoXf4Mjbc -fNhw8zdVBqjJ6SS+tKbjZSXcDL6obNyxrbl5PR6rNWW34WVDzRrMzdblzC2N -ddnHxOMY6XSGclNbNANrUIKimaHK9P+U1pyV07nYejqdE7QbgU7W1MhbgTzz -f4qa85OY/+Mu51TcjEcjti4wrGdM4uZ4VlY3zIhxqbi92mPdXu1iV/4Ux80d -DDe3TcnN6h0JdXSw+QcN/3AsMCoLfc6Xoem/VwGZNfpxp/PgAq050wpByQmK -YWMeq26ytGxJou5cIiiXdY0bXp5pRwlfe1bO57hNk7TncN0gTZ8vjg6uuSTI -DgbmjVh20NGCr7DBU7cMFdPayjrnev6TM4O/Mzuj2jahMq0WNPQgDQL/lbWC -A4Wdqaou3rbh2zut6sarUQ6K6maXonV0jRts3PiuKtPDDf+PufMMt6pI2va+ -DsGcw4xKNINKRkBFDIiIEUmCWVRMmEBFzDmLAQEzJmBMiDrqoJgQlHxI5pwT -4ATD/PnO11VdVV3V3WuffQDf953r2gySRNhrr3tVP3U/ENwYGpxb7NtqHmbP -enfQNzncrGiBpmuNx6l9J56q2XIzoIN5pgzYTtOMGQBPn4mhm6gT6CY0UWse -MTQaOor3C0V353cMHTA/3fa90to2HY17UWHXcJIjiT3rDs7rOHB+fN6m1M3n -gxd6nY/lF7mVvjipzNq42REsS2JZ+ZZTWF5fYNk/TqarfuFyyJscf44DGc82 -so1B8vbXoQyyNWJeKR0yi58ZhswXdTBpZh/y74z188uOpRZNrJ/fg3oA4S2/ -t4dmLDfpqTzNvUk5p7uzjw6PjATNX7QK0Gz7/86T3JK0BDVVbmYJZuSEGbU7 -mfN6udpCGfHqXzxknhWGzFEwg5cE/q6h2YQz3lergP558VFp6vxc+rTvpjah -u7DwxFdx8krgDdQRyCENSTfrtcAjoqLhSsFZuZz1yXQhOGeKUPpQWdrhoyOx -xr1p60NwOYfGh9UEzpUcSIur1iQ5+UA6VtDdWrwCRcPmVnNZHnCu+GpD2Uku -2TxQhs1BP9fLQbMWaeyhhs3U9lDtpbXHVm+K0tqh0vbgLZzXL/YyjdE0SYMz -OE43w8fhP5RQY44GZ1q+SMD5tb/4jWRW0j3bSOpHMUL5oAPnUxw4tx1Od63r -/Wlpozto0nMvdQU+hpdheXAmJV2LRbIe+JRUz38sWjpfhvKVbM1yWON61b19 -BanpLtX5peh58lxcEyxfilL2HAa9zrYfKLksCjNMn2P39iHcHzj+4/Lh/ycL -tmYBnF8kcJ66MuAcS2biVVlVfFIWnK/OgPP5cgDD/dr+QbIu4NxLgfNe7mES -Xc4g1eDskg/970CXxlbumXKzmsHu0uCwxrkU/uek89XU4nDzYh3Y8LVmnHYO -8BwSz6HtN9DDdEUNZrymRmsMzyktxOGNoNIKcoBN5Tg6ALQaPkvnoO0bxPCG -dHXzuM3nq+LU8x869axXBm9jeIbRG8NzOK5meEZxLfUK2iPrSCjQsxvBc3eB -51TDxTVpR9vhMyrr4uHzMEsQzZggrlQAfWMC0J4crDAgPpbOD6HzQlpbfRZo -QcIcmhjUaiEEOgqH0UQQMoxWsg6/ZhiSHUAVe7XsWOfdwHXWaljzzNxNCkmZ -Y8rTaD32DRNVtmNl/+DYMBy8sLLRvP9rCy/x+z/tq4/d5/rB8ecsMTcOshl+ -zz+mOunp/c56Ri9npjEzSTP+c3kQNP/zvBBnxuZsljRD93zSnwm6ubgSULVn -G2o+kt7rx6UHLbvQw6KmZrUD+GkczcBDFx3NKBozp7GMRUksQ8syclJmfRjz -SkEsg1ZrzZg5PYhJ5DSonMtT84TOFGsmFHiApM33kKkWfFuj9w7j5lu6+5JN -E9OgLKfZC2RyVrM1dnCdc5Iy2BaQc+LhErEGVQjKfC1fISjVDwViDUPOj6Rn -0quVnPOEYGW1cVXgvQVH0reqncBr3Osq2YFqo+QBraJxc77t5GjVdtIfx82J -SCMSN/uawJ1oJxAUdH+pOZ5ynLAAdX6mVngMoQDM0CbRQOF5OmV7nWZl8JFX -TS75LDm/yeTsZc5wa5aY85N0Gx4P5HywI+fzROCMe4GN7iRH1H11IuepVEQP -l9EUtR84kbV0EtfwaSaUOsMlIg+W/vK4sjbXDI2dzylqRdHlQMPSB0rjm0mi -zj9I+r9vXCd4l60STFJMEnNWNYIqxcTp/z1xP1Cvza4MOef0jJqcH8yQ852B -nCW6pPcCY3IepuQyQ2s6Ezl3RYnzYFQy5sn5MFudCS1ASM54DFMqvUyXRjsq -nPfJfziNORprBDeTuMZZpKa7cFEQbFxL/We3knrLSzYo7uwul9roeaoiCt4Z -1NGN+Zm9wezSkx4/z94ojT+7y/DL6V5IK4FOWICKQ51a/szj52cz4+cnVMBz -ooo9Y93gdn4xinYGmZ5RXCsH120UPYNVgA6vz4lbuZWOy1HFj0AVB3Ylqtg7 -tHLTzmAQbvR1BD2Axs9HBWWdSDdU9BlVXOrgmsXPmqAbXyMk8X6GoJfIYXXR -3O1vapcwnrm9qHrQdK1DoOjpEueYhdotiHRAmTAfVrNJQI+jX0xJuuTz0Wsq -kuYWcE/Te7foUPeRc8OaFxw4v0hJJK7r47c15y+mq7e3zirPI1DGt/lSlcGI -3urvL1gHRac6hwGw/AmtyjIsfxmnlUzSP3qrZ1JKZsT8hDIzqnSSSfbrB0RM -JtH+35VKmjEifnt3MQ2a/HAoJyvm7d1Dvb25eJ5PV8jICNDcgaGZdwCtbc6L -M3gHUJnmmsaNJnFntsoyq92/IiezzmXMV8DsT15yTmb7lje7f5zLSE5eFqCf -GbrOYkezf2v79dmnImhOdwH94bPfB6xt3LwMqcBb6Gy++dLMXmBt4JwjhJUG -52g/0C9C2dnaofcrsYYC5wNWNzhXCAjT6wAIsYFuds5Ve54SaZxJbSdF3doD -MyKNAyNAkJo0H+QUAx0sQHlzwOlKucWy2psovDmOVFuPxnuBNDuYyWXCFDdD -34/7aEONPIDzDP/xhWvKr5DL+QWvjJf9QFg+emi7mh9PPbjms7bn4/Mul+N6 -cB5H1QQP1QLOM93dZBbeSdBIR2KNKaoJhXPOD1Os6d6uKq8hjZo+yoRj5wNt -I8rF8WlMkde5QNRYJNiwssafkxhT3yjGFJ/GZGNMtTxT7vUMizUsOHdcreCc -25Wl+szYZz4rEmrMvshdFyMMOMvD5Fx2OIfaQJ1dYhtdDM6H4XXBu7K7lUq7 -gFtDRf+hCcVb6Y6mGkHQm59SzWPnjWouoKzzZTJ2tpmNMWQXuJ9Oaiw8h8yz -6TpbonPPadeZT3cKVTgAckxRGmMaHOSwem46frP5DU54bib55+9f5fHzFrVT -hRo/e7IgeJ7QPDSmPOgbH+QAWwk3frvJO2x/1ZtSRBf/Os/Cs2i5jqGDbBjJ -9XZ0cbCjiwMyI7luB5qRHNPFN4V0cVoYP2N+Q5cMX0gFw1rHFR9gx6O4mCpy -AF3Ui6Yh2iY9fdODzkS/jXKON5oQRMMoDgQdOI6eb/YL/UjOH2TjjqEezUXZ -jn13zIMz9m+vrXF5zYY1L8/bGBuwXqMhCqcugvYiniWHcxQTU848DaaI7EXL -5euANpM6oO8cIn9Pb2ijYiz7NKgUjNKduU2Ql+s0hnsz/8rFf/QkmA3wn0Ux -Zp3IOFJpF5WYOXuOIuJy/SQYB5HK6DJUjPkTCiR5KbOuMcklMsJsOS9ifiRZ -+Qvx5fTJcFaBIiM+X4EuhteaUH1QM3+2ksrPFyUVQmKaUVFmg8q0Asi+ufv1 -jFlQOegzWLKFM2ZUaCyXdIaONf+ZuIw5zstyOU4y1hbsP1WKyz0nRaWBK4vL -MRbEdSdxSeAjFWFBaNUuN08bbuZptZ1E94zqHVgZcDh65/yMuR/yQFtVcwKr -gFuJoZbTGdDtcAVlNm/RsWaUNq+L4TVeB3yFPh7fpo/ChYW4vInH5dd99YkI -nKFxG+PN/mPqPw9vW/PDqYc4XL5AYs3whAu7OEsajaNL9SG8PD0uT8Z7jH9a -nYabA3DJQc/AtK3noocOLi24L3BxICzHYDMQtW6zi+5uctGxUuPmyGuuj2Eu -UTUoRauBWZmzKqIXrcaFOvVPl4Zxm/9U3L6NRzD+0jiUUxqo1Mjg8oRMK5Bc -Gh6X98BLY57oGSvH5bgGKJaZ83URUkthRdZdF+/cYjQaHaQ08xJp2G49x1f/ -BP/cGVj9E1eeFONyeIwEXO6NuIzXhk9odKKnSYo3L9ieUhpQHgizZvCa+6fK -szniTJuzV6j1wJup6mE0xZx5RdAjcxp15mqUF5cEzYZObPBQLpEHZHQb5TOe -+dSGnjmnqQ3V2C26DVqOmpIO44zo+dEo88mrgqNbRKuC0UDu4vQUW6Rcgx1l -HLu7yn52DY66/eOFKU8a37HN1iQ2yGarZs5fkKtOsp9qIPeZWpbKYXOa3LiT -5M9jM+uDcaVwPHvOL0kl6NzYZz7fwvlzGMhJHJqSHHYG7YnDD+dUmoOyoJzo -2G/H9pjQWFsYudygeSMk53UdOb8xbyNDynxWMjsmZRwmm+QFPfrV07Tsvu3D -+eu534Ct/tmwCt7I7o4dP/35t/Lm9q0MTdiJOYaBeStfITAlbf3BuTL7MfCt -HIAZRcxjW9T8ZnSLuyQ9mfz0909++qNAhjSZuKe/n9Vb+Sf39PcjPP3tv3dw -le/T0yb3pe2HOgA70eZrh+OVdhHaTBiaefePY8xlAhniysg0mDTKPQWWD2ME -YI5T/VNTEbM8BSrPeRNqMJHOzVBcHwIZC81WrNEzynzZl9ZPzEHz7hE0k3Nu -NHUDZiPN3HSiwxl6H1D750jcbIZpnOGsFJz1QA0XoNzryuXF4FzmJPrQ+yw4 -S4aTl58y0oAy4BxR8+sRHbwYUbM2NodMs6cDVXMiAo07MnSA0jkEdgaEORYQ -0m7Ak6MZ89HKn8G7gNwN2LOmd9oojEtPR8AQjWQBIGw+sXpTFDafpeqEMZwB -7jmJNa9XM57u+k9ST+CLBM7sn5tXCM4bEThvGsB5qvVpcLz5P494cP60zQV0 -CcPR0O142foK3Aeo8WQiXp6+hvN5vBzfxrrbN/AUE8G5+Vw8woHhivg0VPtJ -Gm8OpUCsm7lhP07/hyrNy3rbWbPdDfzF+OjKyZyzHYKFu4HpymzyTKkrNcu5 -zVc7OOf6M2sB5+ylYdvm5ewFG7b5ujh3NYBzT2mcPxzB2ftlgpdxZ4z9+4QG -BJhoMVDJ6M6la2WkWg7klMYt1BDkyXndQM5LYnJ23DGRmlGiaoela9Hozs6c -rVGgoRgFisd0Nvb5aQagLXXombMjjmlqZ7DAt+FnzlHP4KSmCp6bk+RZWQZA -8nznTiGwoW22OgJa0I5ibANmTGcDGyEGeqh46r6lMR3OnKElpYOPPH9JMdDQ -n5Yf06W2gbotTvmjsuDgSM1cTyoz15RsBJRDHG8nFRB8qj0z0Edjf7KtTV0I -0SbMwZ47H+josUP7OkSbNxBwnunAOV3ma4gPegvVQp9/v66FqFwF71j366qg -kfs2h8ru1hh2XWmxz7gWNxHXYqDl2LVY23kJ2+QaJy0/f8j71713x28bqksi -xyK3+ySOxaQbs1N+17VviDH/SImMH0yMGc5MgmXu291Uyw+4FsmY8WXGmBFa -s+NzE6ZmXWOid1/V+1c9AC4uu/SniTm2ZKTv3eI0hn3w4zMTG2GuzjTVq+U/ -7tfs8EE6aiZ5+UPUpK3jzDaZ4bsBRzkM8ONmv+2EmU0qRYvTGfFCoKk8qYWc -BQ8ick6OoleZnGMT3eog56Da8ngQSgIDHnDXSaps7hJlmjvNHANk7PvQfDqj -IxFCh+wy4IU1rakbsK0eN88L5rk9M4banvPCuDksA0InGiwDxua5XYgKtsaN -p+PA2LxwE2PRuFR1BN5GseZ7qUaYFwKfJZPGNJqLvcOlZ3UhZzJq4EM/1Z8E -ch5BBV630OU7hm47lZDzDEfO76AGFQ3OZKKTxUB3ST1OtZq+HYgrNTne/K3U -abJVA03OvaKURtFy4OAVydgZyPnU3M5sdjlwhUlpGHJWyX/fH2itGkkZ/UPx -zqw1alhy9kaN1UvO0UMlG+iQnEcTOY8Scu5QSM4XCDlzamn1kHM3WpbtTOTc -lq4Rr6KDiAYoNY5x5Awa5yHuOvEzZ59vHkGdmpfTKsB1dN3c6osES6XHC0bP -Ia3xBG0KJC3CS4Nt4/VM5Dmb2qg19hyNoIusG8paZ3Og1rjB4+dfnkl9tr+K -bkCZ6swhN7dzh2XB/5CnKzFtDLOmDZMHpbizLFHBzK6Ho499c+qBiD46D6r5 -Jho/i+1Zl6m1gDK1c4O1rmgE3eSahEDeL1QPFGVCazvsDrO7WWoUrSnaJzl0 -HJqTHJ5KXm9KkWhOc2wzrwo+Kx3AApz03L5dHcB5PQHnufM2lKlydUH+4t1F -a7u35joOjt2b090dC9+eOpk/08KyfZv+hbIY+WYfk87XxfIZOYzfb6WeP3Na -soMUyrMc5rdkv9W9ZS9tb/dbOWR0WiZkxLYMrjLp6fv/ftAPfbrZZ/fM7p95 -6Ds5PPTtHCX1C9+yV5m0frL3VzBmzqmYU62cz2XMxlxGCsyxPCaclui0vg0Z -2TrNVDEX7OWqRnNXO2p+RFnKGZoxzrzn12EPkKFZ6ZpZPYcH0NwmfJAdNzMR -ZBV0qwrORXSg1AF9cnTA4FxEB6sdnKdF4KzbtZ8K4Dx9AsCx2Qck/VynmWkf -miib36F+wFlXJLUOrfW4eR7vAnr1XLeCmpPcLmDvBXwWzeo5ymngITQAgV92 -GkIWjWGLfNXJ5TQ+u0mVa8Md/2Gycj5Nd/iXSCLE6mb4yFxUAM5YXTp9U5wL -IDiTim7Z37cy9Sf/fnTbmu9PO9SB84WyDwh3naVKQecrT8qD8xtNfWcgzFXg -GRUuNQbnp9v505tJ8V6gijdjXqN7sDWKVeOgNK+R7AaCVYM6BOPdwOzlUYcu -ep38P1xXauLYOeoOjC2Nj6XgzEaNblMcOD+XgnP75DSmNnAu6M/Uz5Qz7w4V -QKxlfOdmeaBsLxVAl0XqZg3OQ+UopjJw7l0LOHdRS4GUba7eXpQaR7nr5Dga -O59qx86gfh5J+4FX6Jiz8LPfERxXAM+TCJ6fyjQL/2NJENuygYDtA7b8wS9T -qdizF9p6SlHaurhzcEM7fs4BdMbTlYyfTUKUVc9+fIeqOi24ZXim8V048N4l -2AeuCSTy74syJBLFneXAuzdXpMSyW0cimN2Ix88piXyt3F1hfKcAukB4+4n0 -DnqA/ihZqiqX47i/kEoWZFqILURzavQfcgjuIfpV31PYWO8UzjAg/Xpk6MDK -FUcsB2zXtvKdwAYIzus5cF7o3lb0/ObAGAIYW6YxInyt52B5/byBXFL4Pk7E -NZj6LRmmy3/lt2UVvC/djTi8M9mJsZW0YPowvnp3KpscZ5ghkP/fuEKeeVkd -kEgs4wobypeEEXdls4z8+NyQuSs95ikh+T46ZaQ2W7v0Dwclux5D4fyQZ0Zm -3jn4MuQxj4fMzcvVmISCHz+lGq1KfrQnwxb9LIiscrYb23pi3uZ3o3qke1Nv -uLIjo9m8pPRHYhktFpZpzvStmWwpl9r5TsFK/khkm0NNM5w1Z9wZt5GP9ub9 -fqy5scdPNdfvH2IaV8YODcXNOqaxqtxc0VStNm6udaoWVQZWxM1zCrj5tYib -cxKNEG7u/NajiXrOQ3NBF9o70URtjj+L3sXvAQKHCxtkhs12FbB/Qad2dzTP -hVXADj68Wc0xDZAEbIa92qcs3Bi9tOct8sOzK6gH7Rbq1sZ1QOo/+5t4NLyB -7lW6kQduXsvdsGHS5d2yOW6GTztQOP/0UuTTeDpw8ydtRso64HuNvUdjcZab -nynm5mYpN2NtYLvMWuDuIecc4hrUu03JJlHOHBLqA7OrgWSkO7vISFfBamA/ -NtJl6gP73PQdxjUOz8U1JOccSxrVWiBKGuO+7WCig8rAlePmTO985iym08y7 -RWceDmL4efI6fJ5MD2IuoNx/ys3xmmx5bj5IdW37Axm4RvwOQCd0OHPIeWD1 -DhLXgGfMY+l6gX2A08nlPEztBnJk4xrW0i2C/cD1RK4xDg9u1I4gqdABnB8P -LWql0sPIz89p14a7xOLhs14ZjPl5EVemyJG452eJb/CEr2yBGlcOqviGLh2W -4fOW1lY3pXGmTK1p1lT3O5vq7mpJk7xdwtE3hkXbWRFBYu9KN6xMRUpP2LCC -6EbR8NmXEtv4BlEJVQ1+BRav1qfWfGkmecTPUDlo+PkimeYFe11cssalxLpk -zfpvF2c2rqoThs6FSPXmFU/5pqmVQuDoN9Wkb0aY9kmodHZNr23rwM2bCjcv -mb1RUCqblh7/yJbE7tVbT519VMH7z90EAypvFpVeqgR+/BRHxyBGPE68bNyJ -2r4s9pdtbRr/LkrjawMML7EWFV9qh6KeMR+ZmTHvb1UZIZhxSKjM3i2uzIZ3 -pz0a+XIXW/8nfrlt0mDGx1GNyfsSzLg92v+zRyJ5MfmTJpQRGuY9L8u7r3Hw -Kr4lT27v5EMZEiCqTkIZqMnQM+bWdsYc7wAKM6taE2FmGpRxrFkCGnvbfcBk -1nzA8sKQBsJAETefAP0O1hegF6A0N3M/2kpzswzVIm6Oh2pF3JycRhdxs+9E -y3PzSyk3R10nnd+KO4QBDFA854Maug7txpQN5oSdwFaRYwsqtcvVOnjvnN0J -PJRqhG1IgxUaIaRxTPWmOD87jZadLliUuufY2vwgcfPjpNF4nnb/X1uqKk80 -Ny8ox81+W4N1Gsvp+AyPzh7bxnNz24vwkvY1gaOVRmM83kj88dCTipv/keXm -UH0SPHRWp/GxaqSHy+hrcdFJWEPagVZYpUZsnIkuk7hRs9YdQZ45G6WG5uaf -MoLzfDOQ5JgyFme/H0h120/7yyPmZqw+WSVu5gzTo46ZH/bcPCPm5tw5TBE3 -231ZsZnXkZv13ixbzWEFIHAzPF+2ddy8C+pmfFijGV0v4Grc1ESdoT/wPOpB -gWfOyyTujCsCpdJk3BUcRW66OLTxIB7j+EtrYlSwFmbPqoA44ufpZcMbxc46 -vT/4cWy9TQwFeva8eWb2vEUaH41rBrGiu5ma7BGpUOw5OQWnncHaqrnNRK8g -NsrBje/3s8tWPNELvRFhd1DCG4ZQrNArV7iGO4RbjzTqjY8pQqpLit+XEMeo -hFjKBTk8ueg5tA1zzJFINJ+S64oVHeh4NemU0LPoA7dtU4ekRo2A83vvbBLF -670Fw5qVyYSR2JX9LSIdLG9eHFvGfhKu6cmrXszzG4vkVPw+1MTbup7flTPx -Nz4Hoffjf/T7Ec9C7PIfRvEH6/ek1r/QlHl/PWUGa4ZKZmjBXBctmMuUZpND -8QveZwULeZlkxofGo8jnIOoZrnHemJHG8JmY/ZR5Vi2VmG/p0FBMzHWoxBRi -1lHm9iGZMQkymLtyl7yfND9sqJllcxE1m2mzXwi8OYo2AwKAeC7pOulDTcI8 -RlNGjfPJqCHkfPLKk/MRSdk2kzOgwY8Zcv66buRcmONMybnDVGwT9laNHDxP -VfCsYpxR34mUa9uEc6bXQaU0ZoeURhtafmodLz/NSyVb+yIZDHJkMEBSGqEg -kE+hRbBlUhoDyabhzVqb1JzkKOAMOnW+UBm1biQ1wF0Ubx4vRYHeqPF3qnx6 -nTpPAjmvifOGOpGzWhD814Rtar47/TBHzhfT4ZFXoy4pJGe4Vfy9VnLWBufJ -bNYwC4Kf4UMoC8/vop3aW1UfPV82VyiT88WRydkUCMZCujosCcZ2jb5i1/jR -2zWMpzFTHpjbAFAPlrF0Bg3Oz/nLQ8j5H46cX1495KwLNO1hTBE5X1F79B/J -+ZRkabaInA/MkjOmmcCq4R8yO6vLxQY2vMl5Cxo8Q/n2RvjQeabaFWSjMyee -r1WmjRw9g90Z3I4Pqb1BPYCenIs+Z9IbeYLm3og1KrI+e7rZMOP4Ukfluenz -y/np8y/PNi52ezG5SHIjWJ65aS1kSJXbCzKkF8UFg6ojBed7uytVgZ/v/XAg -T58dqXQHvxepcLGq+GA1feb0xkDVIRHHnz1Bf6kJesccQV9IE2jVwGYWCW8w -y4Tvm3Py2joleClrAh2+xfXFk5Os6SyzoDVVOia0pYOn0QfViZxDVOODGZvR -e2n9UhWwcqm+OdH47O2NqmCu7H4ZdbDhfqhDZXcHDsn6zQtiy0oqrmp5pBNe -S14AlvVbjtP27EdUwPy7ijDL2+/WAMxmxIxvwdSWkabvOUDk27J/UnU9OGbm -EJF6kEPVXFdSzXXJqebSKhN8CxbtsDa9WFkz/OHHB1kjs3u7Nc6Pmavxbjsh -kb/MyYjHizvklYW5cWxhnlcGmDPdlxLLeA9bzJ7IjZk5zqyiGeDO8vEMD83Q -dMbQPKYAmtNxc7QTWCbeDHM0raJbVXAepMFZ9AGVgDN5t8axd6sInMN5dDlw -Dq1os8qMnImamQzemKJaAkPXSee3RNkMAM4aOh6rdZrpx82h6uTabFKDwbmt -tAh7Y/MeGNM4kXYCdUEg7wTGxmZfhqZ3nvzxs7VpwLHzkGp/50cNHcU0rhVr -c6g7eYiKAo1Rw73Y3DyHaoEXEzjDHfmjLDhvZsGZzRq0H2jB+VYCZzZq3C/m -ZgDnebWA82sEzlMVOItZwz2n/q0DPJvSfqDUn0QxZ9HS/Yz2Rjypiewa/Jw5 -IikQJDXdELsjCO1AHNeodUeQ7Bp9TVwjBedgcXavezKXRwzO9FxZCM4vRuD8 -ysqDc2cDzkUpJv1QeRWB86V1AOfBUqBZCTiLkk7WAOKYM9hndnLXzA41gxZs -QysBW2J74HGOmqF6G8bOZ9Ce4DBoEVzkAOI5Sm14Rd1VtC1wgyQ3/GU1muzO -d6tWbpBu8Ph5gho/P10wfobdwZeVfcMD9BplANpHOIoA+oNsfENbDDb1FoN4 -/DzNJ6909NkfmDuaUePncFiu4BlrUmjsd3+IPcshOUc3xPMF0Q2KPWvL85nB -urEiGvXJ+BlrUvbEQOn33VVL994h+izj5937CbnYUKkCaKaXnTVAnx0Amg/L -m4fDci/M1d0ScYxDO8ByUY77DEQvFIguF4meLKNBu1v4oqk21uPog7dpXQdw -rifg/NH0vzgKdu8edxu0GQw6wkjccZkCv0wDfDjWsB45DGOIS66xIebf/qYi -QiJh5oU/OOrYzj+sSclfyySUISPmKMicSJl1MENiQ+6ljRmsTNxPjZnJ+vKt -No2za65TCGd81d665hJBcxTO+ESHM9TO6gfGmsG2cX5/6cJLvauql//K1WMr -U4bE6mdYu0uRKYOsLhURc2bMDAuAk2TMHMwZQs061LznVzX3ZKgZ+k1ud7d7 -DmnAbR9Om6/ffxmNm4mcWd6sgxoROQsKaImzOoXW5Hya8tEVknPUfdJPoUE/ -NVPrc2NmA0olOQ05S5JzdZDzdPeSwhOg4F1fU/D8Rjl4TkW1ng7ItwXkbHxb -6fJTmzla3nwGyZuHFNcIJzaNA7I2jf6y8MRBjcZo0xgMi07aQ0cHzRhwpvmY -LAZKxfY6eAuvMznP9PvQ37wRSgPFScdmjWfcLXaiI+czDqv5uO0lshj4LjZx -xeT8N3r4jcn5dXzIhc0XIGfpPmnBMmdPzmjW6OBPdXxt0GdK6PyVCTrDfkBo -pacOlKgiaGTGruEDGysksGEKBHWvZi6wgW46LZ5Zlnrpbv0u76WLHyzHR8WB -k94Tcu6uyJkvj8rJuUg4Q+QcP1gWkrPSNMbkzMcxsjSbt82sPDlDmSYHnbtQ -+QkGnUul1/0pDQg2sAPFS52hX/NYkmxA3pnHzpzbGLEwZJ55WVBGz0DPSlc3 -JloafHBxGD8/RgGOx0n6XETQ3Jfy6pJ4BL1mhQaOtVVvStrEFgh6E+Wv0/Jn -PxtMx89qJqirJWRxUM0BqbK40Fmnhc85Z52mmGjut0wvDnL4ef9uPvyMcz8Y -P/cM42cmaK0xMBFT6kyRA3NL0N4Bpgn6/EA0xmUXDLrxGPr9yAn2HhK0nwv6 -w3TWHPCBem4UHQId1nH3jAp1PG/G0bxgeMjWreKQc0OE5PpHnnTSSZ+4b5jn -Xl2GDBlSD795jVeIp690//+Fe/3XvZ5xP3Qn9yrVL2TuNYS5P319C3lOo6xz -KQ47yzsu4uxUppHh7OdUyclkG3yW2ktxN6s4Prtg7qfyeGnwCaz9a4a1/QGJ -0pLTu/SfZ0eiDf2cxyXbvW1MXybU+9h36rd7HqbKUGys4yuOdZBww1djqnfo -jucY3v7ExDr4XVmwPIh+GG2oC3ZF7gq0Pue4WNu6nGeYcvkQu5c4R1PN2lEd -ZibOYZcGM5GOaEINjQ4+1hH8zjylhgk1izfiQLTwtp5UO96+ycECA0M8qTaF -KVTMbcLRCiLk7FonPyPmPkUz9znE3MOLmPsXy9zROK4i5s5tT60W5vZQgcD9 -+osM3DiJfoO4Qsq5JyjmZv1zXMytC4gLDHZzzs96n2PZAHufdcTDizgOdCzh -Ix6HL9Cl3LsqOxcsSnnBwPHVm2PEgw12F+hibjQJrEelKX6U9shif/78lJJx -THOvN1VxSl2Y+/tXgs1OSzmAub8V5qalwsbaYpcy9+wsc7/tLtfZwtyhQEXZ -7Mxy4aeyXHhPZLS7RRvtuJoz7rOPdekS9YjEHO5SOfWMaP92eBkfdCTm6Hdd -GpI2zK17B+Mee8XcWSkHXx4vhMuj/csQkn7nT2LuO8ow9+WGuVutEnP3JeY+ -lJib+wb3Q+b2y4U2JB2umZYosBlUvU2p9ArtGG6BQ+vjyc8xRO0ZQtwjcPcG -hdzNoo47aedwLGnW70sm12n0w7L3Woa9X1Zl3+XYu9qwt/h4a96rXruwBRnY -Gxy86eR6s2hyHUk7dK3bM2rpUJQIcdmKFna0QN/u77eH2Md/pNxbnbnrcu8z -I6LR08M+dN5+UAipmmo3iX4ckol+HCHeXTl3b39CoJrW2h89VHoLgwGPHbx6 -CfES9/Ls/TFGQPgs3k6w88uILPSoZIqt+w2fIP5+OlpMDJPsQ7beJWbuBgjJ -DXdzEP2H+4ZD3P9f4Jh7hfv6pu5Vhd+95snum+Arfd3/7+K+eQP3esS9vnOv -jQcPHlyvEL839Pi9RsOaz1/dSkIgX5rEdGj4iXcMyyg5EuJ+RoX3o/C0KZtP -8iDb43sSA9RjWhgPdHbCfbkSyrAPGqJJ53Syi7Ec7udcCHnN/fuUcyF7qVwI -h6kPpCn3YdSnaRtVvsL36PGo7fjSaDv0lDsqpZdnQp0NyXdqLi2Yclcnirvi -Qvogj3lNqe38hPvNbDO3yoRsXxyiRuqWIDVlQpi623+A4zZD3Z0CdUs2RDmi -daBa50MCeduMyC1A3jojEk+79VqizonkAtYrS998EF7k/Cp0F8SH4f9b9P0P -ou/nHVI8S+j9FKF36CtU6O2zIndTuzfovm5PWoyLNHigLGhXRucBORFwR+83 -f2DSYHxwpPPoiwHrzqpVYidVXbxFzQkLQYO3sdfg0XoVt3vfSDqCu/Rq4mI4 -vF6bVHg+6flqRN9wGL1kpel7K9Th/3Pi1kTfl+KhqW/4ZqXHAxT7moAP1HBZ -z6mYvpVL2l2ST7X1l+GkjlBh+IlqYvlC6JuD1qNU0PpauoRE79HbatdHKL3H -MNZ7KAOOaf4+m6be+mBI6z0K21h+8A+oMX3Hrd9YYfiJuzw+NiVF/vIooO/n -iuh7Zh3o+4kC+jabuwX0TadBszhHFWsiV5a++SmV2757KvrmFUWdsm5HbUU7 -0UmRX1M8Ekfef5Wk9Umkx6PMCGRFhqnAdTr5Xh+NHxDFuoX2GO6ow/S7NgqX -EHaWwnMViJEChJ0MaoXR6PNmR9PvGaT/0NWHtag/mIC4JVxLyViy8F/Sfvxx -L9HOXS1DduQmr/2Q2eJl0VyRG1vOiGRkMP0eaCsPZfrdI+qKk/yIpfBvMhPw -r8wE/KQMhZ8lTS6hCQOC2Fq6cLHKkdjy5Q+TMLZuFWdxWVDrVUbiLDOLSdzP -Jg/19J3D7wXuHy4mxob/TXH/fI/75zU8V6953HHH/e6+6WD4IcWsvSay9vqO -tb98uZGPjdjawSqA69I9iNfxRJv5+udooq2z1pqvs/o7nSBRohmM+3PmekxL -zFz/fnukjr6e+1aCDg/FM/Fk+0xeoO2iVgBUxXffPWp+pIVFmySx0+1vsX/F -Sxx5uv01569hul3YwcLd9aqDJUov5XTSnFjitFLoX7HdQXq6HWukveJDK6Q1 -Y7+Z6qMTtYdm7FyKZLFNkbQpSJEwY0cLi56xZWmxCiDbsZsPk4Q6Fi4y9Jgd -WsBjzMZQSY9lYYeRh9zamqcNIHEkW6P2cWFy9z+F2n0ztS2h1c2jNre65TRh -hagtC1sOtV+2qN1xGrHEa8Wo3eXNJ4weLF5k7Mx57Nicl8tjz73AcYRVHJTV -f8y3leAmVTI/TpWw/qMFqcAa49H44IWbojZP8tgLvW76GtJN30Y3fllkXOxv -8KwAYc6eXkfOxm0oKoEQ9fQLxNlwA54EnN2bOPs22uwZp9R5lXM2+FThsuW2 -Q1FPswqEFxo7c29LQS5b6ae9Rm+5cPZl2jiZsbTzY2kl3S26MlxWFy79BR7d -ipuNvjdnQb4xnHYak5Vf2wcKqK0tejFqd6kzasdS9syTaFnUhuDVdSp4ZVcW -YiM7hq/oMlkl1KYVhj5SethZAibwiBrqW2CpcWsqP4R49uYYzx6sFhuHklFH -WJsCW8zavOB4PZUi8pJjPPHmrHZ53vYrEpq3/dLj2oVLj3lldTT1Ltv3kkmb -RMuOvK+cm3gbEXCuXjzb77J93ftdQFFNIjNdL44Tb1KFSF72YEc4qKnuJsuO -rNozqmqd10bd3hE2N6t5O9aGkNzMb51xXzNvnrEYmM/2dW/zlaVSUxl+f6hM -1raQTmE3DR+XFmD3IoPdjwl2z4+i3Ic137kItSFZMlSh9kMnnXTSJPdtPkHS -cEf31V7uu7cdPHjw8+7rn7rX+kOHDkXqbgi/0pr4A2uEtb/+R+Mwv+YlRplf -+7j/jwqwl0kHy1YokTLWcxXP1oDNby8A7N/pLcaAnURHxpLREYJNt+/sFxth -NUD7pq9iM3p4zPNR7Y41v+g1AQ46nWDffj+xFcT0tajHvb33pyVHFdnePQyz -cdExeuuZYTY/5rVgO0jU26IOWEI9eLnI9j3JMDu/5JirA9dxbW0E8eZzmIhx -R4uJjyT+vDLxERlkf5BCth5kqyXHhzKR7VyMZIxYQkIBopA2x7f386Qdhtor -0qG2toZQpESKK4AcYto+YTXSdjkpL52b983JxcayIuFTUy9+oMOJXo+sNG0H -e0htwB0VwCFwsznkfgJuWoB8+06Jcac0oXx7c4Nvj0mi87xTTbcLW0P2E+Au -soaESEk/pAZfijioekekBe8P26zmxIWbiG+P68SvoMnbzarfhRcgJ9BGFptD -plJ2lMsR51UA3F9mgBv2wRG4ySDyCwH3R20v85c8VTT5Z+oHRQDkz6uepmfo -YuB+lYA7OKuDe48rxidS3ygDd+qtpppx8e/ZssRLY/9eEi1Jq8Z5X5j9e4lF -pILOF94Vjr3Vvke0FtqelKFtcu/t/gJ1veDlMduRdm20zRVIk1eCtkel18ds -ou05Yc0BaLsVuapXH23TA+r8vcEeYnchGbjZIsL6atbw+fk2qCuPh51I6k7k -WHcRdLNV5BramGCzyK2ZIXcC3mIZWQfBe4IB7zDoXhnbSHBdR612OfCOoyZ6 -SXJ6ZklSR7yTbpjcgqTqheGR4/25XpidVS8MLEg6AtJD7vPiITeNF4/3hhGM -eMN4UVeTR1ETYxHm5g1eVtPkIyNGDd52WVKswnikHxlHIFBLR/sSOWl2SXD3 -oWXYjx85YPshDrt1h4wib/zY1OSdi548ZMhbx797N98JaXtNYWSh7fHuH6ao -STUPtj1tN4A8yfvum+52L/ihTR11l8ly1yfoblDz7QtNALSr4P1SuiWs1UrP -IXG2WhDAd9CzjZXl0ZeE/1vFs7Wb+neWhzxCjUNQGI7DbDbu7RACI2SAlJg2 -HKVAF6J7p/3biEQ6hIE2NxGdqYrEKdy07LhQ5ylHK1ooolZzZT3SBEco4IR9 -Lz7g9I0x8fnwyBfxUBtYO1ssHtZxP5RFAh0e8VKRd9Fho6UiD0VD7cfJRpAP -jsRDbSgRfzMqEceoNve7JCKRRZm1yLjfBW/mpSogbQdyei3ShkbigfZnQSrC -XYldM1PtKEASeDs4rYG3ERBwur0shEhoup1bmwRTX8LcR9WNuU+tgLmPHKmK -MHJC3+sqZW4r9GXmDiO8ypi7I+6HeajomAXuF9yL9yYnqwKMiQq4w96kB4q7 -HEjcYTR9HaWF3O+F6VPyXRC4VYZ73qmiIbOlMIMcRAxAiDgwKoWBXbDDRdPH -BoU2eBo+iDR9R6FvbJOakxZyhpsF1xvi3uT1VAxzBx1r30+B0ol0V5+igPu1 -JV5z8A6pDRbVAbi/I1UBCpjoDowHgX9zwD00Bu67I+CeuNLAbZV9qpm8c7w/ -aYXXkuUGbd/+yhGvSxbTshh4jhtOzH1OpIbP9cUcN5yY+wJl7rs4t/Gwksyt -pJaeuZc65vbykb3dJSLM/fdVZO4368rctlexXZa5h/9JzM2Xje5Y7ISdSgzc -eodyEKr7mqCE5Giccm+KAp+TyH99uuxSbmig+6KFQeV3pcp262m3B+/1knQJ -rFOYiTf1nD6aAe+nMpq/FyqwlBSVzMSGkqD38+CdlDO+VUuxDPnWtGvNjybt -tBsKGXna7aXYulCmRc1v0bQbtX5wtn95qvX7J2Vnf2EJ8Ym7eQQ6SjWa07jx -h0y6RCqiNXjvoSwRMPHuwuAtO5alUhsZegt7tz5ViMjGvYmMtj/PGP+Av9Gb -3eRS96LIt3FnxyuXmr/voCnlXRL9DvzN8dsHFH+H9cvezVuWiXLDbiSw9SXu -/znKrZD7AxhjH3fccfBqAD+3GLnXFOT+/vmmap69Jc+zqwCy3efocr0PQFWJ -YaQdMtn/eTw4+n4ryozQSPt3M9KmE5Q4m82oDScpV6q93chAgu8xfrg7tXPN -cj5VIdTWD3hBwq7yI3Sy4vMj2t+nRezufdZpIInYbZERvLl4tP252pDMia8/ -5g3JxrwhyQ4/1kbGdeT8oBZKP8N25NMF25HFGW0jum4+t+aVrWNnX5wdWWJr -YbTkuh1ns/2BdR6182PtBymjfZ8KkNzjbv2I2zza5hAJ+fy4qjyH29cTbnNu -+8peasStxdiHl8lua1uJRu6TipH7lCLkpvmd6Z7TyH2VY4lryiB3ORPwSiP3 -bEJur2TwyP06IffLOeT2G5Sauh8N1D1DU3eonLNy7NTxF0Z4qjZDoYTPbh/j -qPtIVcWoMyW9qFKGD8i1468NOf62c5jQFGdzx+FBeJBjj6CQ6dW8ObnIy8hg -xvYAzdV4c3IKqRKYut+iOVnF1P0m3YqnBd8f3oIVdX+D1H05GWM1dY+viLrh -1OrNHHW3IOpulVK3bFDSkjLIgPQGJVxinOH2zr9A3ZItKahoHEbOv7OjbAlc -KpItiReNAblHeuSOM9yM3GGDMoPcJsMdrzhwxUwQZe+tKmZWGrnfUMg9vRLk -vt0i96zrSB6vj4HyyB0E8qegC7NS5Pa7DgeqGiZA7n0y9Yw6w81blDuUSi9T -PMuPuo/CUffm6JvnfAnHudliwuQ9AnWanrwvUzkTPfK+iWRBldD3AxT54i1L -zpzoippCyTYtP5fbspR8N429mb5tfcj6pjaE6RucbtYLGFWia6uJKtELm5V2 -5P27EWoHR1u+Ct2PvP+dGXn/U1FRcLPtHiod+4ZKdE/feymzSUTfnKqNHG3s -BzRkpAaRkrCVzMlQX5Xe8uxk9O0z3nrbkp0SynYCG25NNH7fFGW92Xqi8Ltx -it+LFX4f3qxlEipRypKP3TfMdXDd+cQTT2wQWBt+HL+eL8/aNcLaP05ppgLZ -lBdhwFZNn1nA5lC2yYw094u40rVo22N+j2fZLMRWuREMZzNkX6YiSwaylRz7 -1Mw8e1AIafv4Eq8L7BVJsuP8yGESX7JtoX6mLb72bFCb2kJlITIOaodOxg+T -mfZo9VR2X0ZDMsnMtL30pig/wp3lBNlNVF9589AmUxzQjiGbw9nv5RcgdTib -cyM8zxb9yBcyz7YpbZ8fAcgeG4M2p7X3CaAN/jIP2j9lQTtObidLkkXpbaUp -CbD9S4DtU8qItVcKtlkRGMH2bX86bANEQ+tGR/Rqv+692sjbL0W8nWvceCha -BtP9zkoanBVq+27n1rVqAbmFxudJDhB4sA0bfZL+xtY4mzuSes99H50/AB8q -Qm1elPSzNvCX3UXuhAcj2H6WYBvbaBRsz68rbL+qYTsoAlcgbB/uYPsKOhwd -Q7B9v7TRlIPtmRq24biqmYPtbRZQK80ikmsvNYpArSvRC5Pj9vo6LEzu+4Ps -Hl+7f9g55ktJmzXhWdVqAgm2KVdyxqnqOCgKcsOlYgTbbNK8bHnU6/iD2SnO -Vp6ahclYVxJgOygCVwNsv1kJbLNwPnoYFdi+IgvbraX/vDxs76uuldpgGxWB -8/eBTEkwloQgd38T5IZ94x3xufVIM+b+C2ZLjlPZEg3cnC85z7u38XK7mJ5v -43G3QPdiHnmvl0D3WIJuabQxeRMd9F47s1i5VqI3Kac2mesuaQ55LypYqMz1 -qducCWzC0Rbcq7lxd7AVhXC31gg2tR2QlDH5PdMB6cfdjpZ4JHlF3Lm3qxVx -n+LH3X6ZkseQu6ucierdwzEkEdLeQRcho0hZZQsjb602saf/qotPB72zekHK -mzB4NxsZNd2wqJtX3ljqlgPv2xV4j6aP1xS8D2/WIoHtYrF2CIb8PLk5/F1W -wRNUaZzaYEwNITZg3Uy51iH40cwHP+KqxXspz68n0lRS/uut5GCHv/7r2wRg -viIDzOfxRmOnsNEoU+ku6VQagXkPBczeHvJDHAKRzcaM5UYqQt1boX1ol/kq -apfJTqa3pnw/79M2LuPuk8n0vXLXDJPpos1GDoFAcbkKXDeyW43sxoYAyCsU -AHnZAfPU7dMASBL+yFUvGk/fR+Tp+zhMpLuE8Ie0ynSVsHUVpK3dO5LzH2O7 -fV2qAlp2MJcMpmnDMWHmHj+ZLIgwc0+VB6EBNU7WtEpb57BzW4/HBgBYGW4+ -bmW5WUQjBdysDr4NN9MUbr/H6zCkBmh+laD5tRw0xwaFCQGaZ8T1jbqi7iYH -BLT1ODtAc2vy+sE6l4dmCmGX8frtj16/vqrQ+UB/wL2gvNcPQthHVzeqObZ6 -c+/SJiHZeQTNZusxguZHaez1NI262KcN0DwjgWa4o65TGTS7O+dP2qsN84jH -PTR/aKD5ngqh+RWC5un4XMzQ/Mo2C6SRxjr+VBG61Dl+ruocv8Z9hzu5lQbC -2Ps5aO5BOStuQY3C2CN1GFtdM0VhbPH8pWFseJZjA71tdaSzHNFrKxeP9DZZ -boZ1BRSNiIfn3YxauzZufmsVuVntKWS5+doMN/vLhLm5XQE372kOc46qKzeT -aIS3H7sQNHdQYeydZQMynlIf7aD5GHdZcSj7ZLrETlfgfC49o55Ph0I5eL6K -LkMzsV6kg9rry4ZkMJLYLcnxpMDPZUbKermpWD0Pz2uk8IxOQMiKrOsu9/Uc -PBdnRb6SrIgPaH/P0+qpflr904tbJi0jMq3OBLT/eJjP9skHARQVT6tvoP49 -brBJ7MZqM3JIdIY/MNRA/kSraT9SXPaH7nvX/IAOCIJnoSUeL+YabfSG5LGq -1eZEGjUOQeuxD2sLPZVKzaLMSKoJtIKSy1TnumXoeGMSGPp9M7werTrY767p -02zHOBdSWwU6YPPyJ5unzYqFrByMejq9AfF7NKw/uG3G+uF91r/fQW2LJsFB -u7B8RnG5WkzExyZ1VsF/+7ScuMKkOHYLj099lY1dQtPuHYCPT90znmtKDO2u -khy5IXNbGDLTfqwMmc9CGwgHpz81wWnah20chsyJda+R980skfLPoiFzaGIM -SY44NB0vKNq68qIUR2FYuu37yMs6wWGGyxTOxLD0bkWLiZDg+EIlOEJgOh4u -j96HrXusBKEhc9TSaALUPSJo1q2NB4flRTiSzoLzQNvguLLgHJdtZKvqog2t -YnDWToQvqa6uzJZW3cFZBs4RO9sixxw7ExjMSMFgV2UM8ezMC1reia3Z2eep -h4oTO9Q66zx1UXtjz5reC7pTnXNX6tXgPDXc8Ln2fKua4xw7w839NGqg4x6a -K2jadbNqcLzXvcbTBAtuvpOVF/uVpb6LZgaFMTU7vxexM/Qpf16OnV8qZmf/ -UWDZ2e9OPFELO88kdp5LbY7cSbMIz41iduYq9NgcontpfK7aX2bakS16+YI6 -9OHHh1BUuVB1XN8UHNkrIke2DUH1uSUPznImg5fIR+YSAXDevwCc91jt4JxZ -8BVwvpUaTvWBjO6iYXAeJocynIDSi76VgfNh8rwZOmn2CwPnvaJFxk5RsSOF -PGSZkYfOW7nXX9zltRmuKwR+3gjrniw/bxiGz8rgx4kPy9BwSULgygszOfkx -Si08atMIc/R9EMpSyQ8/hF63ouTHVLq0X6XLu8jqt3BJ8Gobwwg5tWO7iB0+ -66y1NYvYCvXULPJbPHx+iC1+6fA5VKir4TNnrUd0TJccT2WHWpfQxnfE7iHx -kTC0XnTsKUf0aPQznTZAUH71zHuLdbU6TR71wiMmP06jCSQtPRJVwRD6c5xE -5owj7NqOwtdNYoi+sQCibxeI7tt0hzoMnAM5w8d3uRhGlpYpigFrhWBl/G8F -02VYYMWOItZ4XEu+dEXM/yJfnj9ooL9raoOxxJxOmJO/c+POS//OzarhbvGq -4VH0d61aQFtHU+YWZwWH+rY2//yJyj9/aKbMXhnzbib/zIKthWJkjPPPvGpY -SSzDevNiYs6pPJLpcjkftWg8Pk3yzlblEbupU2JmWr5j3+9p1dAS8y0JNS/z -8Qym5v0jao7y0BzTMJI9CnXqNcTzI3LGUuc6kPOJ5ciZ3Ab9c+QcnUOvEjk/ -6bAgV5tROTmLTMyQsyeDzkwG1JjhySBuqbsxtMnQOK3N7Iuk97yNHEFz73k6 -RuuOmWjb3shrVYeBzxrbG23v+QCMaQSf9THVW7rb+mY1J9HtXLfJ4CbiYm5w -XD+oPyJyhlHVS7TSxC2Os7EizpPz0pUkZ7hlgohrxePNa745k8mZlZr8cfAQ -kfMk/BiYWys5zyom59bvBucekXPoQs83Ot6i/NaenJeLSefSgmy0bXWMstGn -hz70omx0rg8dpXvX5bPRon9PTmUqJOdnPTnvtkrk/DiR82O1kLN/stw146Ks -OzmfIE7KupPzvik563g0xzVIA4JxjTB5BoVliGwAPW9s6Jk3FIcu9KWp8MAK -BK0n0JqgeQrNuek4xnEjxTg8RdsoR5Gvr6hfvZCglzJBr1nzuiJo9vTFrZB6 -YzGnCZEJ9Ay9rQidfH8prwihDnXp3YO89N+iCTTPJyUvrRo/lCLk12uiFsii -+MYQ5cIWC/Hu6Xl94fyxF/Za+2YaPYNUfXtKGWLbIXV2mrfLwuriF+LIPicE -YHkMLeuLF/n1xaZKH6Jaa+CM/yMMxlqK/oDWGIGi+zbdvg7kfIeQM5SCBVrO -CDgMLTcXWsYsxv2Blv9Q82WhZXCa39IqnChcF/5OTR7jIiW/G855+F3DCQMQ -80lqxuz+jn+mpyQ/Y6atVCXmKDppwDlzZJy2xKw3Bk+UMPOXRtBBYWb3NPTp -NqSAgaegJpco9UsQdLyvw8yNrHXaCjrSZhdtnOZWlzSXwZ3lcyjIzKZp3eSS -yu/KdpQTMefCyyLiYLv0BgGW2cWhfBxjEyfHt2q8/F2pCu7VVSDJdUjHG4OB -ln/Cg2MU46EcbzkmL68hYuZQs94gLJo1X6TDzauDms+qkJo1DjA1Z9KbCTXX -ZgWrOzVnkHmq9e8myPyYQ2ZbMheQOS5gDCfQWAHjkLkVJJtjZJ53Op08W1Ne -d2XKO0BMBJxs3k9KnEOymQvPwTqwjbunN3H38i1qTqjeFJvjhtLUC/abLqV7 -8/W0w+RLz9clZF6HbHkBmf+x1Eci38whM7a5+akT3DNrQ2Y9ZQJr3oontiZk -vlIhM9fAPKTOm2pHZnhSBvElSC+xAH3HhVIHM4WQGYSWj9PTL1rzlMRDChlJ -khPWCX+uubbnspqrey0vn3A+sgCZa0k4s6paITM80eFlcplSVeOxjCPnm37I -U/PYWFNdhprpEtnrmWq8RFYPNWu/TUzNdxmDO1Izn8fIAkBw3MBl8idTM53V -dFPIrBPObWngvDMOnH2n6XakrG6KiwMs9Di6ehMZOkMm6iSHypx4Dugchs/D -9fB5YQhwXKJCHHrtkOtkbmDxhxpC367wOQygQwq6OMSxTpKA5rVDLFYvg87Y -vwrnTITOxrBHZY6fzFbhjZkbm8Ezrxqyk5g9acs4v/W896LJ4FkkDao0D/Br -fChP58EzK61/05IPHjxf5tDq4g6Z8EZnJfroIsnnn42AIY666t2wHgV1Mrxy -qCtl6Ag/h85BdV0qNQnSPQpCf65n0NgyM9zLP7Y7PxC02UC8BGfQnzBBKwlI -IOgQ5ujXdLvKgxpjBJr//di2SqHRVCk0mqkNP1VveD/8jZEPkSsOk9EyB5dp -tIzHB3q03D6EMUaEykN8EMKjBFV7SMcJ+fGyMkZrd2JcgahDGV0BlrU/UcOy -3vxTI+adSbEBI+YdKMi87XlhabSpLiHnas4bkhEzOxF1kNl3ODyGacYFFGT2 -N0pvs4Oa+3cavWSKx5MQM4UypilYhpkTl43/XQUy/LYfjZfBEt0+1WlgcFmp -NB5mlYYuGc8Yoh0pVwEmlxooUbSAsoNjh8qljTwsJ5Nlz8q37Ed95DxdVqYN -sN8SL7tf6+qeK9xNNmbmKzQzF0yaa+Xm44mbT1w93HxEzM2ZmriEm3W9SxE3 -P/q+0gxkuitWiZsJCpib34q5WesFbo242W4EtqKNwDaqFi4kNmPDtIOA+Trc -fGgIN2Np+d5ZwzTOxRZsjUnMY6HSpXpTnH2dSTfskVSdiPoN4uY78Ybr51QP -04oRcPMziptfVdw8h7h5cQXcDGVZ0v1QKzeH/YaV4ubGs/BZmbkZS8xbMjcH -27TXcHwU5He4GWiLzO/gkLOqeLn6AM/NFZWZn1DeOF0Uch7IFS+XRNBs+l3Y -VPMNXB/A2EF+93l0iXzkLpEPbZPi46ubm58sw8320bIu3NxaZOzUoIinMpBn -gl2AlJv3kyXayrnZdpl3xkvJn9rooIYeN+uwRiP0Sh7l2PkYFdgYTE2LoOc4 -hWzUOX7m8AbrOi5cpBl6gyQInYyguRx9kQ9x3K7C0GH8vJ6R5xUFODgErWti -mJ9ZmMcVMXNy4ecouBHXwmhJnq+E2Vwep79nJ+bUtH7xn1MaZzQdcQG6NVNr -bzAe7N/ABXhUMn1JueBGGVdwn1AN40fP7FawB/mhHiYSmZmVMeZntUGI5dM6 -wKG3CPX4+UwZP3++47BSaRM1gT5fTaApDJ1Moa8Uk8dHTbi18fqafk22rcO0 -eRyC8wYOnH99eFtbofJocM7Z1DLpnXUOY3RLM1mGx53fZLLcJlSqXKlVzyqL -cV7QY/xT6zHgEYg7DE2CeQ+ZLv+kgblHGfNK5KNLzSuRKkPJxvGvjTb/PpPN -v/N81qb5hWHZ0z3f+EXPtNcwJJn5ENYrYfkgtjqpW0k3/3wm4zWTyXhDalZ8 -JsO76GjjbweryEiAme6kerI8kbMYsOnXJZPD2EOnlg0sy0g5ZDC+qUJUbhBi -GPtIDMNBr7s1l+qbGIZ7VQEtu58SeHkZz5jdT3HE7G6U1/Rc4UtYMnNmZOaD -bSmLSKKLuPnIAm4eXAdujliAuVkq38pys24gT/VcWW4eb424PYmb96szN79W -wM2TAzcTFMS1yik38/GzamZBbr6wptXc86VOWTez7J4sBR6llHVhKdAHm7lu -PBZFt6Xh2A54Y4dhGCwFQjPLqXRWfB5ZbC/nynEaWo2mdf0HFnuTxkQaSD1D -N1LNzW/TjZOHTuK2ynDzF4qbv81w83Li5q+Rm6/6U7j5BcXNUKQk3JwxatzL -y4GKmyXgrJpa4Bq7LA44cw350dG1UxRwPjtjjR6hrNHMzZhm+jkEnG/8ATi5 -T+5YRrj5M3eJfJpy8wRb1JLl5pccN0913PzyqnLzg4qbx0bcTM3jCTdfkuFm -JYtejdx8mOPm3oab/XKgj2kwN7dRC4Icct5ORTUCOx+NYedNkZ3BsnECRTZ4 -9hzz85nE0Oeo+AYy9CJv4PAMvUHNRe4Vxzi8jWN9nEMbhs40vwSGXtc0v+j4 -xqQMPz+v+VktEL5F/KyXB8vGNsi68WkU20g1d3b2rHeHpfsuY9ywgmlfp/i7 -qVO0mrtfY83dyIxkWguAda+dXh481AuAfQi2W5afc5NKq1zgthdaIuQAtFLf -wTH/l205voHeslLpL36XcGebg8asrCwTnh+lOLgEhgabiZTj6pr+deJmn9LY -oGGDmt+Bi8GGwQU7mpXv394fB5jhcsvgaTbD5dZKI0cFPPGmnx4uY3a5U6hH -OVWlbfivrXDA3NU99uxJrZi1eMIpw6yPDb420pTjhJe/IkH4l3xGIHJwn66R -Up5mI9VyJ1UUNvFD5vd1RWEjGDLf5e6I46KVn/Kbf37IHCcyrL/5tSZzcY1e -b/0hL++YbvyxTk5UcsTLOU8zszIPlzUr3+fusPfa+IW3YdRTNgwYLLvbbxVg -cmmNbF4ZCsBvdozsSbm+aOX8ZPnnKkBlT8prhLpCJuVAy+7nu3t5aQOfZc5N -mbV6Doj5CLUN6NeZ3K/heNndkutKzEOYmM9ZFWIuFtr+OcTsccATM+DA1AKZ -LePAo4lbKyZmXAV0xNzeEPPFRMy2FTlXreIDzUcZyXMvI3nen8ZkOtDc0Uue -q221ynEQaJYuQz/Suki6DDdA6dXtdFu9h8ZRj4p7bh0SPa+NicfXloY+w7mr -kZiXEzF/4Ih5qRDzfRUQ80tEzK8lxDxta2/JkSJxFj6zg44TV5C0MsRMK4EU -m7pNiPknXxUKxHxARMyqULyImHPSZ9mg1cFmrZ0BYpbrxBBzHpcTB50VPvdU -JzHh+lC4/ILgcqn0/1YTMd+XELPN/eceLEcqYj43IubTVoKYY5VGJcTM3uc2 -qm6lJdaEhmnz1nSZxdS8Wc0xmNjYWKbOSM7VG0ng+dQCej5b1bQMj6fQC30I -mpMc6SphTseRuuzGkcfOTp99Z6JPb4TgsyfntQw563Lyt92LyZkDW9nUxnxa -F5wTBNF26hwVkb8CrRtbWjn0syrsrHUbOrXxMKc2CtYFb9apjTYSjP0XKzey -vjr3OpEl0RE59+lK5NzVV2cgfu1lyZmTG0LOurFOyxfi1UHlr2uv0hui4Yh7 -Wyj8LPGNYWH0HK0R5lwc/ZtsU3k8495Ay+4BBafJcU6ZCXmsasfRm32mzYRc -GCRb/o+KYBjh8nDl7+YNPzoYWMHBGv4rMlPlYEfBzHIkX/5OXHIhYKN9ciGX -Dn89R0U1liq33Oq0kKRpUTBZbqrLBYGSr0mccu82vgMpWWeXucibxVJ+svxk -dtvvbZwsez+GiWLApp92Y6hNP6HkyCPHZd1MyT6r/KFyx8VZ5RC/4I2+e/VG -X0FpIAvjdO5iVHfMXTgidffgUgPJXfDrxh4/u+9zjOy+73pyY8BdmnIY7vsg -iVHf0LJ7VQEulxqG8bKNMlcBMTv+jZMZbM/IMDNOmM8/1mu0JJ1RQao5Zuai -OvDsktO1sSKgAmZ+4M9m5imVMTMvOanxWWDmoAbgYpTWBcUo8RJg7Gr2S4B5 -V3N/djVXt6ToZRPc8T++elMpRpElwIW+jhB29W8mZh5DW0TjFwf1nPE1081y -tTLzi8TMTwIz9yFmHiO6dt4JLs/MLxMzv5llZl1NqL3NT3RgZrYajfv2pD5w -stn4ZDMtAxIzS1HKIaoopV/RMmC+KKUid3PcTahsM9hNWBkzw0Q6xealhdjc -2WFzx0qnzNzaWSkzc2vnO3pXNsfMNvxvmfkUZZupjZl7rwIz+2Qz96XYdLPl -5jBt3hL7iI7GtEaYOB9PU+fAz35hcAgpN5ifz0gm0CHFwUuEF0QM7ZPQGyZJ -6Ouo++imKAGt0xv30O4vp5+ZnSeq5HPMzlMVO78p7GyXBXOJDZk4U2Ljs1l2 -UfCrTLFK7Hhe/txW1vGsKg19YoMWzlSxyh+Jqg4WBVViQ1Qb7fxCmQh+Owb9 -wmkFy4Kg2+hnp84/9Ormp86wMJjoF/T4kpPPnp2D9zlNbnzV8dioa/zEwM7Q -edjqNOWAPlMvD5ZK63p85vSGSUBfaHTQA5psncXm+vD1tRGWxwZYdkCMgHyf -B+Q0cpGRxZk2Epr2m4W+DhK7+JeKXfyiIRm63Vkc5/4ulh+jnmMUJAcVRgrJ -39cJkrnv3bZvJ0oMFi/vMMws+On4hWSWm3CxjRLJNWaR3DgHyfdG4+QJcgu0 -8Qu94JcqMSSv3GxORoexMJEt66xyESQ/GsUuHtw9jVzczZI4CSbL/DjkLJRR -eZS7xbrbLMFxfbQrq2Cy5+L6krWgvAUMkZfVIy6GOzM75DwXH7DCPe5x9CIM -lGuEj9HHnBkq52IYdYbkIcWQLJ7mYXalqRCSxaP1c+WQfI+D5Ps+iQZnGpKX -rmZIBgIIfuZYPmsgme/+7/jCBgvJFyjpLGcyQ3wZINnEl9HN3E8VEB/k7vB+ -7Q9a0IJcFjKXtPZX3QLPieGODXfq491deoiD5KHUtODX/jb0a3+L2JSxnpgy -4E75mPIzP0t3xpdpD+it1QHJ1N/Nez4Cye2uXn2QrJoEX1SQ/IxA8gc1kzpa -Y8aD9Cgcd3iP6q5izD3TgpNLo/U/42o+vtjVzA+VCMnDFCSPUJAMWsbLV6g2 -Qb/71ye9RjDGXMtsOSkTVJfIHs9bSO5YMSQ/TZD8N3mOzEPyaHeJ3K62Y5VQ -xpy/MCQPN5CMfua52s98YlIiCGcxfNn485hykNwdt2dTY0Zn0p13iIbLHGVu -IWuARaAchsybk8uZgTmG5o0cNG/kh84qssGD5wDNG4p145wMNIfos49s2MZC -Gjgv9uUpbNzQw2Zt2hjvLv2HI1jODZqnuo+CaQqWZ9BHwxzpAg+wnItnfKLj -GfBRgauBm8qQ+VsVbUanM8Ayp7c4noFGjcZ+yKycdH/oQhReDbx7RxXP2NnG -M0y82b1Geli28eZOId7sYHlZDMsY0ejqy1EOokZCsWvsE9k1GJY1oOle8P7W -9dzpKAI1nmgeL1NNjGm0GVIqbSxp5y/jnnCzLjgsijuz+/lChuS1BYzLzZYV -Lt+1k0NkmiG7P+HfOJUsjdjusUQb4jiZnJkjY9pC0sk2bbFC0sm0zjeY/xao -E/KIPcK4HxLKtKgplsD92HOSx+TvDCb3U0EZhckdaMxPjykUjklL/bZTqYvm -udTFNQ6RwzxZ2rPhZLXxOJNU9qerPE+2pX4hdaFW+zCb+KYxx9mUsldJxQ6M -Z6MCvyfbaUz2iQv2KksrNhni9Bw5ILK/kd5FO0F3qhlymXQFZiuqAhzXC0Pj -HsvcP127/3KcGMPq3nL3zzI27gkc7O7OiMZVcI+uh1i8RhgbczI5YLH7KQ6M -S2uZvMVIlVAuzFxoK4bqMBt6it/yz6HxSTEax2fJgsYr6obGFfWavZe97688 -Guv7fr6XwaJxVKw9y3b97RKhcWeDxjqhzGjMQ7BD7GbffLvZ1583+/BQeGt0 -xMI9Ge6/p9BAajjdQ02xNg2URCLnXhOMfjlF41kri8a0Bv/nofEMQuM5hMbz -PRq3WIgnSc/usjTI5OiKn0ho/LBCY7vh932oMeHuv56ExqrGhJPKRWisk8oa -jZMNvwvChl9S9ZNF42/dNfKNenx018fd/vo4xHGx7S95z/T+7fv0Yro+qmMu -LpUGrSQaU7vP9IcUGkMsaUwGjW9QaHyFiSbFaNyhFjTed6XQmOfHtaFxW7Xl -xzPkHSWxfKTB46YkmWtEmuYtsOrkKMHkzdCgcbS7LKH65NjqjauAlUsPCy3z -eJkjGkMKYhq5iIZeEtSW51yv983UkKIXAlmooYPMIYqhCXkt9M+9hJ8MXjHJ -Ao2ZUQxjIXxKZLq6ZZQ8h6zNevnvTVr+ey3sBP8wFQhZjZIphsH2X4lhqOLl -Pzg1O56X/7anEac6/78limEAq12mvXMd8Kz/l3OiBcAhqjpQe8lknLwHEnLa -F9fdu8kwDastzrkgM/FZl4FqlEnJ2I7pMiCe/cdGZ14I5D5vQuTPIkQe0KR5 -5ZGL0YLF8EcI0+Kkik/Vi/wqkQqeGLercGLcOcQq2Feiw8eMw/RH/qPutBYc -jgwXRRLl3W35jE6+fEXSbMFh3XG9kw4hk+kiWdzjMHiuggSaZEZj/XmIV+Qq -SGqRKbub4IzGb/jyW4xXvCN9BNMMDtPSHnVaP9dqiREoxwHkED7+1B+07qaD -x1+aiTGjMBy+3iXde2mViGzjyYT4pwDBbHvz23jLfGyiAY6Gr6a1PGJh9/Tm -ONh9n4SOe5nwMZFxFdyoAY/jifFqwmNpxS7AYzkuzsQsEzwuKCpJ8NjU/n6N -DX8ajw+tDY+fWFk8/kcFeDw+wmPeThpVKx63kipsbvU7TS3wxc0kA8wC38G0 -wHdYmQU+uGf7Bb6tcFxlWv0WhSrsGI/H0Yb8w4THT0R4/EqEx/Nocwfyh/+3 -8PidLB5jw59yLT9p8JgEGHtYAYZe5At4vMxdmbTId3DBIp9pKsnjcXaRz7b8 -iZY8wePrHR7fWITHX3g8xgjSx8EaVwsed43xuM+fiMexH+Zqd5mwV9GX+LSC -Ep85wRHTQRX56IKS1Y3HfQWPbcTCLvPtRF5GcMzopb6tSYoRMPlIh8lHVm/l -PlKPqt7SfZxbXP5LFTBzFWgzqhwpb1p6UEbLuUwG1AciLFeneeZsFYrKX2hI -vhZPjTaQMbLe+AuZi/Uwr8y2uYkqq/xMDMhgyFiyluSU9YbfAlVtks1aiJR5 -45rP397EZy2m03YffWZ8F1WawPh4WS3bfR6Qg9i3UMo8itbHgOKutTnldMNv -V7PhxyPk5WZ4GfIWOEKOaO377vG6mAJkWhn7TgBZj5GPsJWBattPlAsQjo0y -yzBD/nKXeN2PrBk7+DkygXGFZBwGxr+5PzevrKCHDL2Gp7UVTMOXUvYbh8Md -TY3IP8/mP1tyvXGGIgoayzqezrQcrMf0qfMtqRXhhxCdB+/Cm5RH04OHz7Lo -HvMvtPvNZCnOk/n7J7SaZ7MU1v/2vtTCjKEh8b2mYqSaGrlgSOxDx5Pdfa9A -lkwL7H5IHLIUr0ZZClMrQkT8DFeKZFbymIgfjlbxjLKCKkPG7G3DxbaJmt0U -PwXpsV+zUxK3ZXgie01PL3JDGj4ATmiXc4gYsxIN4K7bAOG3nvSIwGS4ylOw -ux+7HyPZiUDDJQwZV8GNurSuDVHUjsN5Gl4hNHyWomETnlQ6uKS2b4TVwQ3I -0fC1P4oOLqVhW+aLnWQV03B1HWn4hQpoOOzqdzYpyoiGZ2saPt/s6Od6+ng5 -r4f09PWlnXy1nGfaRrrQ/n0b3zaCy3nN3V0WdBabqp6+jXBX/iLVcQ078KPo -Lng3FX7B3W/iEq1PXou6+takrj5Fw0tWjYZ//rNouAl9GgANq75rq4MLNBxr -LbDzeq+M1qJH0Fpw+4hZ0su2j/wigeOz4iW9oaq379ywpKczR7jMqrQWWHjN -NKyvkTu/VjT8OdGwdSgf8Nh7pux636ccDU9218eUDA33Xg00/EBEw3cqGo7q -+mYFyzhm8uVSCTTcKUPDEDTeW+mTuaSnEhrubcLGloZt4DioLUKWomUgYgwe -c6bCULH7GHZc7D67IWPhh8iNkYwbwRdbIR5vSYiMmAzf/Bf85s3dazNa9iNW -HucYeVNMRp3gXpJZVtGL01Xs4hzNxlHJCe/1XU2ZZC41gU+D2xUX301HSA9S -F1GOi+F5+QXa33tZmeNsBrmhySDnykpkaAxcXLS794qKVZQrKsns7iWxCtIr -/HZnlEHGKrg2xrrMldn/IuvyL2K+UNEKVWixLB4cZ6aYltkoi6z2+CRe0TW2 -MPtdvm86h3iFuHtNkQlwHGViMza5zxUb12lQfJPg8K83tEEkxll70Z4d/PHp -XpALSF49PJOROC2XkdBR4vBHymkVmcWXNbmlaZWklRz/KI8xkW6OFH/BkeKd -h9qsBDftJVkJvXt3nVjduGbcZyXGJlmJNFYMw+EpNBy2WQk/HFadIbJ7N69m -2jZh0+alyE4xpZXCYOwJiTEYtm/4huhHRA+YGHHomZZ8hGQjEgT2eYjSGtmW -PB761pOtuXrAumvAnbUBwC2ybRWAbT0gW4eyPOnFV++QEYYso84J0zJdFdyQ -3efpShCuXqljwtXiNiZcbts9ZoTVUNSVcLkopLdu3QXCdXfwA90dvBfewb3N -Ve7g0TyrboT7XGWEO3OsGWR11MI2bqKe48942+BtO5SD4CrdXN4NGqwI90hF -uH0U4cLtuQcSbmg26EyNBq1pR5779LbA+yHcA0+nvZwLFoUm6mtpz+Y2OghF -wqUq20m4R7M2iY79vWsa3bfgsHN2lnDXdYS7XkWE+0NFhHt/nQk3PPOq510q -BdKEO5mLQmiljpdox1PgCTUUSLjfRBoK/4yKhTxQFnKQaqYuXKnzhHuuXqk7 -NfTrJSt1UTN1WqhTQLh0JmKtbVYIXifCPaSOhPtEnnDxSERvnMZeQ30sogh3 -7nmGcEV0nCHcpCBkXipsO2j+QRXIjmsj3LBWd4Sm3GqORiDpVgHqug9tGAEP -QtjdGhG3eX1gXvhaU+DeJvBF41J9HAmH9MSWwLhblMZKzviYSGhxghJa4DLe -Qt1/bdv7/MDXZ4evUKpkXrLTaQidF36AmoI00D4tQOudNKxCLsoJxykIb0Nf -r+bDcgpkvVA3LaQgzELd82qhrowC+b9GgRzJKG5TC14MtKp9D4/oRwSg5UHv -itOVyo07jY+JjuX7xEC7Z2hp4zTEvj2U9YAIrNsh+TSELNipNAQf04vaDRrc -BkfDXjiyP9UkIgY0aVaHwe4tQrL/ubqdb7S7NtCriTSwKYIeAv41PF2E8392 -XUysYZmJNVDWWnuID9qzbLShiGC/iZcTjV8tWorj9Eic9uWu6O1yXdGXKy9x -3Hx3O8Yb3pUb2b3UfFfkWSvwEku8YbrEG15rGuINr0TxBrMUpwj2iYRgwzJc -EcHqzmcWDUucAelVNXDQ4PbaYH1QA1tHsHFEAYez9YBf1wN0bQDp3XpArvXR -/NDAgOslfjYLcYW+QMIKXkueXmssvWY67uqCr0Mi7zDfkpNlt0uiTXd1FOsN -Ud/n8ZVLvO7zA6ja8XXRKuDrM+rePKkmK1MlfN21AF/bQh30nIuL66DnnpLc -i8UEMc8uuRV327UP3XY4JWqC+IomiGpvgjiXxjRcB30d5fmCPW09zO89GuHr -3xW+vlmIr2srfFWdsFFXh8bXHwvxday76u+pI76+ile6PrJ5tflcg6/WO+yP -aHL4+gDh693keeFlt1t1PTQ8Wx5A+Bp13Y3Uy27HUKIXrh8V9TmjaNktrocu -6oPkWE8OX8fk8PUjwtf3Y3wtlQ5TBLuACHZumUW3l+0lUhG+UuD97dBvZy4T -nepR+NpGiQbFBDG3dhNErt/uILx8elEYHgSEdcPXYIVoFZkhWlRBtBdxlQl2 -e/yn7daCi7EhYKyDVAJZmtw2r8Lx7Vjqymui+dVB6xbu8v0r6sJBgAgT2ZBY -8PaI06ttSoETCiNJ9sIT2GsomXCje3EygWF1HPXgPUj1PBzbfULJE413eImO -7EbOYUgkJH0d2je8kUok0EKb2n+VRIJeaEsSCU2ShbbfafL636Qm2vZ1/HqL -WrOKq6INrHZM7WkMq7nFNlipYlg9kFaq9ueVKm1Ri2BLYJWypDwy1Atu0JwW -dXl83Z7TCSeQESJKJ7Q6zUFq0zpA6q0BUi9vL3XLYfWsg1k9w7wtjVaThMEQ -Vb18vILTQRm4V6aGH82f174q6nxAzfcaTvc8LCrL6C+T6m86pXD6lUka2Ozt -55y9haTBthdItTaXAn7M2VuyNgQJsG+as+touaTB31TSAEasGQmwXkdr8nbN -601nOThV1obt06TBs6184m5yMl71toYwXqWEgVpBu6ebShioOiqvLAsVcRpM -ryMwRdUCJwg8kFZxbiA0XJRwluo+7cTd618IpvUgPrsJfa23++kOTUsNZaqa -naxWwKbHezY9lwy/Z+VsZWdEIoZzi21lvG1WJzalqGDvWgtmVzebPlXAptpS -ptn0Rvei9RnuXVaC/zaxgGHuKaZIlgUM+8uW2eEV9se1opPMrbEY9lh3c4Mj -Rt+7TAIGEuuLgGGRtpStVzM+YtMpxKZTl+gOOc+m8zWbws1IKTw/pWjcF//j -bOrPUSybLlDnJ0uC4RfZ9ANiU7aVhbiQETHwtpn0yS2XPjm9bXZxbtvs2HD9 -nJ3bNjtTPctpaYmOnavrpO/VwVbW96bv82w6bqXZdPcX5lfApi8VsOkkOX1I -2fQuZNNddReGroxJEueppaxzxlKWCBjmD3Bs2i/ThaHZtAfWydSdTdtEbIrj -VWDTFu4z2i+f4ZS1tDZ8CYC64xqw++m+033jOPfl9iZq4AO4HL61rrNjqv/i -LuLN8HyEswInUy7+9CgfUDRG5VxATKV3M5UuSan0abrwmUqnLtFNcqF8fV6k -WeAyyfclD7CByQMkLt+iJTLl8v1nzuU7KZcHIJcvtmDs6CUAt1sqlYPtK4MX -618jtZeMm5gzVKozAQP3yFOp8WHFC2UHUC7goJpvtd+36+EqF2A9ZaFuIfL8 -touamtsMYRqt29l//Zp/XdLBTEc5NmzbJghAh6rJMje0cdEe7NmJT1ed7ycT -5m7Kg8D6tv0TI8V3WSOFn5B+Q2f8X3csgNBYd6zirqahWjsRGl+FdcfWsTuK -zvnTJbDic/7UsZue888UJ8I0vkdl4q4eQtmsSd2nKA76MHvGz4tf99IqyDh2 -6FLFBEPoKAJQXvLSk1FxfSVtEQ1NQFWtamE8tT4ua9XjOSjOQuvDlw3gO9b0 -JHpZb/hH+Fr6g1dpcIqWsLDrddbJ+V2vUzKr3QmcGkUo24+gxHVZgFPWhN7i -bryRCuH/Gpx2ogNN49MnO1i7LJzyQeYZBKdDFJzqkjZdbpzuePVNdrx2QTjl -Ha/jsNx4Y9wJkXJjWorW5cZsB2M4hfbTWKGr4RRc83MycPrB/wqcvug/AHJw -2iwtbCuCU+8G9CpdOAbxT5lfpyoEL7v26dYD/K7X5arsWHa9CuA0p0IoV3Yc -DhnKwOkt3+XhFFUhFcDpIasZTh8jOA2rkJ244PhtWzvhn+GUQncOwelcvw5p -7GDzzpAu8BhO983CaS7VGsMp28FWCk4BSneCL1quxfPTlvX423Z2H7U+HwA/ -dhf3We2+hC92Lo1Vy2ItSTemgwMcj22unApb4XIYxACOxWwrTVEpz3oG7XGa -CSo9iBpOpQfS22i3M+VUf/1PUsn2Z+kB9aUkt7pG8TF/PDkVb67a5Yo+F/CY -/+XUm7si681tknhzg+xg25rflQ6MOfXXW1XRATlctb+Va8D+qTn1TFtuIBNB -Fbb8SZqP9wgVYNhBsSeKD+xel3LpdotcunzcT03IJsMqUKY4FY78O5wgIcwB -TZqsxJF+fWx5DpPRDsZEIH8GHDrVu1dSHUzH9gP1sb3aceMK4f118DRMk3X0 -4VsdPuUdrKj0gQUQX5OoS4Np4rRt4XfZ4gDqp+r4/uPGVzo4tcf3oVb4TvTa -cnlo8NryPWkiHt/Pb/RUwS7WK3Jv8rtYZCfA4/s5Iuv6x/aZAGpkJnic95J3 -DVbLAKahaPQeCp7aY3tvITCTUbVn5avM/FQ0KfwNMFqqErj01oB6YVXKs2Xf -FWsAYPqv8ji01IB/8OEUQnVwug58WQ++A8G0Cn9aTeG61Yijgrv2POWuNQaC -k+2RfqGB4FxrIDgqMhD0ixKpfa+JCx6+TQRdq49K33FUOnOVqLRTEZXO0lQa -5kBt1Dq1rw4+DaN1SXXwfE+lOol6cGERWge6Xe7sqNQXoR1NVAp3Ljj74+rg -kbRpcY2iUiPmWhI7awOVcrFDSqVrms2JlaLSpxyVnrUKVEpG62IqLSp48Ff6 -BDIQPLSbptKv8NzDGAj2CztX19RiILgwKUZbIU90Q+NitLOKDQRCpZfF5YH+ -GumrJXZJwQO5nalDmOIupdLhNfurQOo+jkr3WmkqDYmXLppKVWC700xPpbvy -SqKh0qtNaLs1tWzvEu1a7TrP9wbaJKo1D/SQh7mYSvNJ1N7zg3mAyx36YrmD -l3PlqdQc6SOF1hMeRfjciXB0Z4+uzKSt6OfCq009+MXqw69aBb88/GPb0hj8 -NwyQH5UMZz2+gu9gwXZq3NqEJNVb4pgVAgA8Yh1Cy5ZDKakq41VSElwuOgLv -60qRFZQk/nEVYuoT6MPhSVGTrC2rVjqZGusHFsWH/WrN6mMYq85Wa1Y5e+0r -1l5r16zYXtvE6wceD+XCv0u5MOkH7t8uNNliRxfJpOJk6tWhcuBfWkHAFtuz -aznwN5VpqrX2ILsmD+nKHyJk9YfYsa8rHGQbZO1yhD30Z2wlbBvQuEnlo9RR -Qqo4Lj0/YwbQFWQyNuWz+93t2b2eKmeDpTGh9jR5h2/1+b1YAvpnWuOOtfaF -mFB3HlrzRUt9hp8LmKoz/MZX4/hU2wL4DD9UlOWUshPoDL/8mlRyhq+aF7ie -DEt8W+jWhSU2YKpUshOURlYrZGF0enc0Or1TjU1vxbHpj2IDYAuAplNe8L9c -02nvTA8Czzur4PbnvoqFCP5VWhO/9N+GZQn9gVPxByOOemKFdSkm1jWLiZV/ -4QtjSUBErTlnliHW0/w+iAmhsiVgWN4SAC6g2oi1r/iANLHGNb7/14hVrT3P -vjwh1rbuNsyDodBFGlxZaAdwxNpzfsHuVJZYW+OdEm5kMHuBm9fx1Zvj7tRp -1aq6VxHrjdRPxK6s+2hl4jG1LiFVZAXEusjRKnd1IrHOW8+nzWZZYv16tRPr -FHleNcTaiImVkjzwnJpUktHVT9FyIdbO5MwqsATAc6hU+fagWrJeaZXvRYW1 -ZCvKWgLEMaeulYRYwZl1VY5Y3ZMdXyM5YiWLhhDrYUisPdwl0n21EOtTjlYz -xDqDiZUz2kysNydZmFBGUkys+nIpqu6F8GnPzAE/PPAdMr+n3Z2an7MDcB0Z -62SzxIogWiXMypPTgX5qipQK39sKWbU1bV+1EUIt1cdfuD++OsCrCvi4IUR2 -qtwXHUt3IS/Td9IPjH8XKgaL9So7UHBgaxrAboVR1mOxk3CzmsGOYk9a6N3S -py/0j7E4eCV5wMUk1dIEezMVAPNjLaTU71+yrqSBdA8D71ZxMGCaEuxpUUD1 -kkgUwCW/texViV1W71UV2GWBYP9l9qpsXFVEAfdtH+KqsWE23q3iwrKLlWU2 -ItgVeuh64m5W9KTLsnJ9DPvHGBfLnlQB8J4RwWaiq4h0dCJO5Fq3FEADh65n -dw47UEZmRTtkeqBKIQj+7+S4KZ/0/3AgnfTrorbu3S2t71UwVDXxXL1LdrRt -021rkfVLGqrmkPWzKHYqgiuHrB/Jif+NcuIPrbrvNuLCsLuxMKxY+1rJXtRb -tgWhuW9BmLptOPHnwz/fpvsutuniiX+kexVk5XIw0rzGp/0ss2LlTRio/iwD -VXSl62Eqa6dYwMrr+DAl7QfD1Ys9pXK/rRzQ1wtgORA41N0S68FX8Xvr+ZSp -+1n1BF+RUtfI8+rFilcTqVWOV6khjFuO9Nm/8OoZBbwaLYLwfbhyXmVjz1dl -95n/PF593L2o8khVH8iB5kx9I4551e/6g6KnzZxhweUuN+CTFa/SstR87v+M -l6WYV21t7gAcxrQkXm2Ctym4RUFt7ukkSAdeDbv+3u0IObXRMkjx+bQJxKuT -1e1H8+o77oWDkyV159Xv/jRedU+ujV53vDq9kFf5iTXHq8Fq9Zna+adLnS5z -5lVZmiqo0a0rr2ZrdPnZjgPcyKvBapXn1agCIcerjxKvHvgn8OrjFfDq7eYy -6WikbxyPsbzanvPbyeVyApboBV71Xlc48+9ppqv5QOrhC+Iz/91V9YHm1Xal -Kpx/1o8mrJ5Z63lmJXrFb2tdWotGpW0RdQOVdqTBbSe4cksApp3hiy5rAyqX -7pTxbgge8Ji3AwXPdQ8DS2a5gwHC6PCs2ogyrd4TO5i0AKeREuBc8DxThvVi -ygGZISspAEa7FyPqA1SL8ujidcR297QKretMgF/9t5mAava7FmQC7DZV5Hd9 -tZzfdauM35UzAU1l9f+Ph1V2FbpeHaL+gRtVLWp+v0P1u+pu16vI80qI+u/o -XFxETDqoGa2x/zxoD49uhKg/yVm4e0lIcy+fYY19pNEq+3cyaA3ZgOAmPUIw -dUDjxnk0VQ24NwiQ/nJ6l7RjILPz9LM62ff/NcGs+mMvSjrIyT5LDeL6rQNx -ZJxfzE9B9GsFol9yAZoG0Z2p0oFttbT/9Nk2CkSbXVzzcdMQPfUn/NcnC/p8 -wr+kUW4H6rGMbTWzA9VY21btCf80OeFfkLTWimlVR0+j5XzdUsuptHHdwt6T -zE73DZHTeN/pKlqjMDNT9kLRvPTisLXkPp8APRuY7lgkxEHwfY4T14IbXBWc -ydeDf3TvsBH0QwAmRxKYOmwdOWAFD1hLEZ02gDRAAZ0WdNfqDMD5JgMQTOqc -AeCzzGwy9ZzitSk27fSL10HU2hSvK/cxztXidWWmU324Geh0QeV0+rq69b5Z -hk5nVkanfMvl/eQOcrvllqFolR/ptF8Bne6TXZcKq/yNUWRzgqNT6KuVVf6F -epU/0OldlEx7gOjU333YRLW2d63SHu9bik79HadyOv22HJ0+WTmd8tlKSP8E -On2r0fQQSZfPhAWmgeA5biBo957sQvo9SOVcJTrllf47Myv93F97hVqbgtiN -Wek/KrPSf7LNzVSy0i/qCza3yTXyvb9GytDpIVG3c88Jjk4P/pPodKIcOHSB -A4cZHNyOjBdv55TEnk7bQHh77nnucjlXtMQhKuMvF0unIZG6f4WtA3ZViiep -u9MktXMChTTDxPloa4TQhjgvXT8+1Ud8DFAagLQfAmln/De4C7cK/nXuc9r/ -i7sCHrtf3P1W6sHvyX0xv1upnvz23Au/t2vpNvmthsFv+O32l8GvLkogfl2w -LSaDjsJPhxAUGEzCDw4JnENJoREJuwYjwO30TDuOsuxsadZ7V5PVWYwPB6xZ -uQmgYOcqcbC+ljpYf+ZwQMYEYNm1WcKu/yUP6x+4d+X7CX6/PcOu4tQPHQWo -YhpBRavD1Il5uWYpOin/qZ/KtUqOM0hEQ0jAmgFk0z1xs8a9BQMcszYCZq2s -j3aUwOuKIbslUVQxcB0VH/ZTHPUQ5UHFw/5u2TWypDPWmLgIWtXCvj7wD9Cq -jFICrb5m4YuWZ4eaXq7o1V5UbZWiWOqHeOjPi/u3lz30X2gO/Z+MDv3tztQM -2Znyh/5yg1I7Uy/pm9TOkVWqfTj0n0j+Ga4G4H0pnp7yrm984M+jFdyRIt3/ -1aqEXcYsh2YO+CM//8iBBePMowE/3S1vLZhq4tdKDXE5A74Xf9RRhJiDHIle -GABWpwOqMgBbJQBLkVdkaBzmwk+pMVPWEUVTVs2wteRYTynKsV6Q5lilaPbK -5ZUzbLZc/gO8R/tyeW2M1Aw7vwzDuhv0a+Tbef3v7sb8rHtNJptqXRj2erMu -0iZi2HbCsLZYdi+5IQ/C9ZBQLMsMa4tlYRzUXxjWF8v6jYxGuIFxgrs7neIY -Vlb+I4a9me5Gd1GlJNyJHiGGfTJi2GnUjqP7AlaKYV+txKjKDJvvDAgfFc9T -PgiebwPDTtcMm2nRsr0B73uGZS2VWqG0Wqpv8SAFkulh9X+ZZdhD1eq/0lJJ -jlVpqcoVzfLznjDsSKXI0PZhzbC3xgz7herV8CWzimEdwL7LAFsqHbByDPt6 -EcM+mmFYDs0ww95UNjjDDCtbVSruXdSc1UMYti89+h1GtgwI09TVpLorBW0k -DaD4tYGMU0sbxcf9aqJq4bWvh1fkzz5IrXvicPfwBXu5X9r9ZhxZwNAXXMnw -OmxB9/qwROk+sH3PF77cD+29YB+HsodT75dN4O5hUrj95L8iYK33wO5IxSJb -kxCrEQqwBGkXerUAI+1w8oVcTHGiqyKdAH+IcKzoQVXD9zeVFng+kxaY7j5I -ZhalBdyHStm0wIxiC6uUkqi86y/PeY1AodxKrWj9l/toAWmpWuB3pRL47WYl -uLpW10RF+/PnRSFQh7S/ENKivImZ8OjQT5uImw6iAaYKfwrS7tvDpgb4NN0I -r0LlwIBGjSrPtN4sKIvzVlb4H+t/u+bwnyfI7rf8k1gQVK2uiFD1b1khbLcY -YSHk0N9bEHizbNcUYa3WX3e+Dq35vMVZ1BI2rObz7QlhM2JUzq1+JAjLa/+k -948Q1stRc2v/uRBAvF31lrs/sd6f9yzCdtWLarsqDgHwaaAOATy8m262+iKP -sFEA4AZ1+H81CU/jLSoxhCt8vUjPOXP7Tsc4xHQ3uio4T6wHB/JrwtymCsDR -vb1gjIMrUcdyQevawrX4Sx3pf2n4VyAlh6FsVbys5de0+vgdr3qKcCFLu0b4 -HcfQzZNhR7x89qnzA3wGavIDWmwVke2J5fKuq5VsuRErQ7ZTypHtdHfbfl2R -7Ut/AtmOEEOPyKwSsvVmHpRZzbedsIeQzAoPPefnO2EHmk5YH20DsoXzwuF0 -VnipyKzCnGUM6cEfJLK1MiuvBE/J1p4HBrJdP5CtuvkYsn2FzgALu2HHRm1Y -DwnZLqiYbN8J/XjbeuFqlmzbKW9Ap4/llAZ2MO9Dsv1KvAG3u0+JmGyvpgfb -HNmOjMn2+BUhd1PUEaukVlmyZfkbC1fxGvleXSNfmUYszIMT2R5EZOuvDyDb -peVGs6XS3nmyhUvkdSLbN+pKthnRKm9mYcSGyXZ4UqmR28zaJyHb/kS2ejKb -E1ntQ1yYbmUxD9KoE3MDbXD/XzIDJT+b3czMZtOwQEf8tdRMFtkzYO1eyKfg -TQbSBhWIo27373O/S/fvAwo/FLO5Pd2/zz3WVsE3+e+9lfic/kvmM+V2o189 -pHZ9CqID5WQ5dNBScrFhYLsFfmiAjOAkEhGYYS1lYS93r6vU8Q5LR8aoANJ4 -UyarBVlrSRCpUDzAg1r6UIFBLYcMPpnDa59gcfYfLl9NzwTp1QfMzy/SoPb5 -Rige8IVZTYwg61fKwf5Bm1xQJPtf2uTyZEvD2jtjsrUFqD4Lq4qzLlACgnN2 -teVZTLaDd7N9A+Z4nsIGtNb0o1lr0lpSv9pkjuh5YEuZ2AGNtqqcaK8VosXf -Fi+bDYrAuzelB2gQ6y2zOsYals6+27unGcaafla9cLYbdbTCMBZIFlxepuPr -xLzenxwBn6G8SjkCYpJtelnNRxRn1QKrD7CoilMEIc5qNf/WEzCv0ZPuPjRZ -5di0SXWa7WxFk+rscGKoBrIvtLApgslt3rMCq1ycleVVSLKhx/xOli92z5As -2VKv7BXSA3jPOsySrNnatwTribS+Xdo//pcqmHhWwc1tHSBD/Bp+W2ld/CFA -jgy2QJMaaFXQAP6tVUKyYTibs2Vlt7aQvWtLwcaegZMKMgZlagPiO3P/ONln -ErDfGcdA72QbpZhgw+HpIn+Hfs7dof8eE+zbmdnsShBsUuEDBOtXUIL/fLgi -2DJuAUOwUY8rEuxeeJOyboGd0Pbob0hbYPLtRLI3nkUEO5KWMa6msptbjPEq -3Hh4nGIIdikT7BoRwcLNJhTZfLTaCfYBtb/p80fhGfg5RbDu+bfRa5Zg4dlX -eZd941VUGUC7mjHBWsdA8CqP6u4dAzdIr+sK+UTgZ9qLlWOgLMFyajwm2GHh -HKNWglVa1mKC/SRPsI8vhRRsZfkC/5BnCVZdIm88aQnWXSJdlI41p+BI3AIU -EveVx8PTlqvEdhVtakkkp4JswXw9lw2p135yUG9yBUyvpSjuWvqrYtjArwNM -rqCzjGT7ErsibbrfgTccILfiGiYzK/xu4YJ3v/MqAHD34Q2CBPiPcS/3m4Av -7yRpAr8OpHW0XvQf2xN/SfilDyP3bFB82eJaP4huT0/CrWgBbEdK0jeXRIJf -+vLteadWM+BCknYjWfa6nD5frifAHaUssHD+cz+naCWJsHZi1oLe6KymoHDJ -K0oivB3bXzdPzoXg88ePbVUnQaFZy6doZdHroW084N5nS7QEcMGuhRWnrbEg -ygKuWvYa0VEAV3QFUmkalKdig1WVpkn+NJqFBtOWTiTA/r5tiyWwrZBsrwtk -C6negXuYpSyxfulowX7dpAfgh31jou0VFVUdqhazINkbls++1s211JngzbQn -+oIvKfeyRAvWKybaT9Ep4HOxnzSLFrSgelXlYj/QuVjoBsD5bJqLXZj1CuS1 -rBwxyC5pZbWsFDFonTdf6Vzs+CgXy1Wrd+5d22w2Q7S9KSfXR8UK9Ll9iBLA -PJZRtgTMCngr6n6v76+CQ/x6cMdrCMPOEn4VR5/u5X6Sux2WNsTAKvwEuEFC -AAAgc7iC3vMZehX4ygQ3Yd4GtfgJjiA/QYFRS+96mVlt5HjVNi2TpCXKjQ1B -2Mp+OZ+u/lTTr8CkhZR7l6dc7nXNU+67CeXuSZTbpdDtWp5ywy1c64FsnytS -7iy6fQvlskk9NmhpH4Gm3IHU6qN9BL2Cj2C+7nINlDtIKBf2O7z78TRqzYG1 -45hyb5VVY+92HL84GLSeUvsb/1iqSwfWoNKBMFLxfa7r+Nwbj1PUISGPUiqn -3DGrQLk+qKTr79LyATJptX1XUe6H4st7SLwEPpTEz8B3RN2ukJ7XlAunN+Il -6G/3vODTgCm3YpOWvk6i8gEs6Vh1yvUJhF51oNxp7hKZ6i6PFzOU+zf3otKB -t2zpgD3KIMqdFfkIZlOCdo4P6pj9LiocgBltV5U+kATtfG3PKi4bOMz4XHMe -go4qedBW9biiz6phsGJtqfiW2bZ9xLZdVNygm5/JyjxWM20vglQG2UOQ0OG/ -wT3krgnIXroNn3f9Nx9CHV9hBB24lkB+gV5h0/+ZnVTato0a2nIcoQk+Ix9L -+SXPsxsLz56/KOi3ruC+rcXrY5aJt0XHqlOfh412i6UFa4mXL0gL1kikBbVa -Yt+xMQQ9rJVPGYwhbGHbDJR2KyRrm2a2wpobccEfGfWW51n3ukG1GnDXVk5e -oEtOVY+U8KwouHYThf/PpPAPCduuJmErO1Xdu5sNse8pjjCg0ZYrEzmAf+3P -3K16aCZW0CMSte7Lotae6azYyFotvkrXKroFKFrQjt0C6UD2iwy+ohJrW8bX -OCF7VTYh+z7GC+5U8YK7M/GCuHt1MsULrLx1BhaKe4WjXe2aS0vGPgKXyltD -tZXuXfWicb/SYda6lF9gtLoh3UorXUHvuCzB18sPDatcOIbpZ5f7eRxzfnak -GY01OXo6xCGsI78qOHKsB/eyejC2qYKv4beVNsWb3Jn0gp8DsdWYaOHfE49x -zQj3iCiLUJ9zCH50C0CLtlnjna3IGMs9Bnh/FveWTdma8tfTazHFxmxrcgh+ -OtUPvew/ipednVvCtsoSe/D9RWy7NGHbPVaCbbu8Gd+4V45tdyG2DXHB2LUF -4ygqenVs24vY9mBiW94O82yri15b0x1qO9pf/itWm8Od6XR1V7qIJiywpwz+ -8lHEttz6+BCxLR8bih3W3X0824Yg3AJ3B9LTFGHbueuTn9zfeb5ktn1jM6/K -cXedH1Yr2/69gG3ftmy7XRHbvueD97t6h4H+SLlffZzctZdlW+MwyLDtxRHb -BoeBvWb4ekmcW8OVJfZC2qa85JdwjWBWR7Ptdyqn49h2jGJbdtLl2RbAtlTq -sdrYtrO7RDqXY9t3LNtKtcfsi5JOZLlU5taSrBXdR9/y09sF+1IfXTecp+an -t+315NajbD3VNAAWWPiykaolaIUH/PDT+stqGCdrA+LC0Lj3fEbc/fC3Bb+9 -gxFvPdoGrO2NyjB4woVYhcP2NcHNUGqABO+TFn2Q5AF+q+AnlW4gog8tDYkT -dwE7cXXywo5wvb9rBzLQNsGSL427WoRwPlXMXkqx/WupHOFW1SR9N6Vux6v4 -fpxN8AKENQsFCPpBOjbMfiJxfp9N8IbZzTzuvpHJJry0ReLo+uWZAtydaBfJ -/su4e+92fpFsbAvv6ULcpXIEGt/+R+UT/qPyCf/mIq/zVUHAmbsi7v5CLivI -J6wg3LULZSEE8GPvyNklC2V7hepUdlk57hyw1RZ1GNfeKpyLkVk1mpXyMBM2 -6G4Mt9+Z5bVDqSWLF9f6Kl3BQFVp6/i2w7FWWdDmpFBlm+HbzzXfsrag2UXZ -+KwvJgjx2fd1a1YjPjZMywmqTX0ry3Oeo9DBS1F9qwodyJryXArCeVW5Vr9O -UVtgudCBLiYo5tuw9gFDF7++7Pn2ql7L8+NZFTYwsJdtU5VxbFipoiNICZ/y -8f3pDmtPO+OXNQAB68HXHODCd8APghf8BAZdgOSzDejase0FZca1VJlAgFuq -Erp138FOhsvwn6RkYQ1bKavHuQOj2O3R+k+g/EJZrOzK1g9dWIC6Inv3izJ9 -uL9dLcpovezB7lZ+0IMadd8vj7ovEupOXZ2o609hdzXzqcvdfTxsxwT30JmY -H2TvUMgOkghhnj919ajrl8gOZRHCgn3oPr07Juk86gYRApSk+50QXzV5BqHu -BXSYeAWh7k3kPB9NIoT71e7H48pzjuk4OjycTsOWOZWg7jsVoK46LFw11FWf -No3eNPEmU4iQ0cvqgP5E8+nyucSZPOr6g59adV2HEer2o2WyQbEQIY+6Rtel -jjwS1OVQD8fS64y6H+RQtxznQux25VDXXyKdZ4yNUJc0XbOudZfJVWmLnUql -d+Cgwlx+Kjwpr5TNFiAw8PG5fXe8fPLn9Z1ymKurCEoNAQEd3w40XQetKGrb -lsa4HSRiC792nm95dHuQjGzh9wzQikw7rx/GhmEpzn0UVMGxTj34r6yCFVP4 -x0Gl20hMdoSX6YKgDILG7mf2nNeX/hT8MDuWlaVyXfenMX9PFdHtRINsxt6d -qDdsa/pw4e6/zdwHzCaCvcPgQwbc1QuDnvY6+qAZtUhHcssnFsSdsDTvTihM -LMwvo6XNJhb+KsUK4v2iSK7trG1q1bS0bGaxV+tpVcGCYG8rTC0Yh8Kl2qEQ -9WKdlXbYrog9CkcqCYFW1R68ZzpuVcmFAVv9tfKp7m2eduvX93qGXvEuW254 -nOrFhHLjLlhDubYeV1fjxpT7BVOuWGLPC5RremGJcptcnXTDchDBT3LTCq5F -2SBC3hY7Uya5b0oZjw8icIe51kVaW6wOIljXwce41CxHilxukPEcIOWS8vx6 -XgOhEcyVFVDuiEFhnHl+VMl6DoXrYsY7I5BtkAdwrQBx38ln/dIQZp3w1jrL -f5N7OaR0P6j0V/wp8FOFg0/18HwmD3tz/MuRBT3g7U/4GrbMfFQBa20vO8wX -1zqGFb9D5HgQ7o2EYLmgrqb+s06OqhXOSJfCjSo+DuleyiFdrYu3zIv39Du/ -rjlcmPfzbKWCMC/c05/8n2VeX/6lNsKxZ1P7NklNqxKHxcx7uGXeBfvhgaS/ -YTPzsvxrR5zGQJjuGAzS+e6fM2gKcwEdOF5Jt6KbiXmN/GvxuqKezDHvW4XM -u3YB824SJi1sQs8x7/NFzOvj/cG1opl3snz2WOYNlmosVmk6N1HUPg+K2l2W -qKdq/qwhRW0Xz7wPdFWKWmTeb+Uz5ib6fEH7NEn/YgmYFijg8yIfi2jmjSVg -EfPyOmbKvMss896SMq+9Pj5m5oUa2kLsfW51jXfHR8zrLpGZfAKSc+SFOtrW -JsceM28q/uqhGpz9sDOOLcTDzW5mCcvWz9rq2ag9Foa8O0JxQotSY/q2ndSM -l2MMzL6dcHjaB9fKfCxXcy/MdHmeq5kXUkug2QWqBZ6H/0Zg+33nHV2qDx8S -9eAgqAq+VhqFA27/vUeSBm2Q8HDQoflyifLCXq5D60b7q7vJeprwb7VfTeOU -A6R2j6veHIULQ+gsibcBvD9MJ3b9h86oxaGa4V5lHUxFC2sZ0cIb0QeQdd6u -RQmHdR3/qi2BKOHwFSccWLTwKo98/+oTDvCB9OJWmcQuraQ9QfxLsoU/JjSr -+S/7wx4MsgXmX+zD5XqGW2kt7YaYf9uJQ4xTuzj2hQauc8mBK/ybEXHpTlhO -y5LFwCYd9pS4AXFvheB7o4CvuHTNSFfJvOLEbWRDsB2zA42F1hsRjg97ZBJb -cMDbygHvLhp4uRYhJ/a6yAHvJUHsZYDXGmltdMHbehY3epCiC49mzAiTjRfd -nCtidIHtk1F0YVubnUv3yTzwYnShk40ujN8jE11wN6LRkRVBgLdHMNGy1efy -SoC3wJB1VhHsKkvWEJ+7qyLAxRmnP9IvrYlf1kf+g2+D73M/qgrpdzP82cK+ -xL04+6WAA4QmmHnjUAMHGoR3w6C39woc8dYH1K/y2Hupx96wpBYMCxn/bTLi -PcH2d56pdtJOi/1H8S1coa6/jUeoe00B6t4BfZ61oO5j/xOom1sTrxR1h2ZQ -VznC6A7lUfcQnFHhHQlRd2/x3HpHWFu6J+9IE5jGuDNyAqGuOMIWeUfYVequ -wweN4ggj1IUay2fUAeMrUZYOPbeCumsR6voJy0c6QxdPV+qEuuOiTdZH5FE7 -bLHWhrqzAupua3P+FnXfl4AU5/vx8KhrxhW2b+wKozz/gdR5Ww518ZMkNJnA -9ZKgbuy7rQvqxn23edRNdtGeXF2oO8m9HqsVdTs61O0QCUdkD01Q9xwjHbF7 -aCHFsJ+gbppiYAFJms7lBEOXKLbKqdxW2P+lEJcurx3c5/ig6u1LzbyLAAWy -O9L3t0Qs9ojcRhK7eszrk7pgTuAIQ4y6fbBFgjGXEReegOG/F56G/z9z7wHd -ZZH9/+fgruvq6iq2VQlNwE6HJEhREZSqIFWkiIAoYgNB6R0RUcGCBcGODXVt -rNJ7S0ISgq4iihWVat8953/O/OfeuXfmzjzzfBJYd7+/PSduCKF9Ps8zz2vu -vEsL/Oj/J1gzsh7ASXcLnHZfiysIfBvooczku3eEfblULTkFv8JKHi6lNO2L -SOwRm/nCP7eW6rWVZ77MvHrV0SvOTewNoAyXsdT/MpVdsGL1eTQic3hF9Bba -ngfhUFsn570kc7Dz3uJjaDWSDjWzIn3OMoe1JwfdMLQqsao3pUzXdT3wzLcq -xTBUU/+mGAZm3t/kzJf7HkjZ+2vEqSalDj/SzPeHCPPaajKh7t0n6slY3btH -ZB74FWXND23Ge69DXTsmbiVUuW1EPlmgytWIa1QLVBeRa2a6HuKCaqHhtVaZ -y8qFz7GoFma6QxKIu0siLsUlfBoxl8n2L5dfS+rc7Ic15s71ZirJBjAoXlgk -iheMLWRTRL2wmuRzK8uRYeurF5w6F4sX8kxY5dMZ1QvfWnVuGJfgzV1EZm0C -cXulIG5gvUrF21tEXJao3Oo/XHPstfCffnccPBKeYfhZVjY+0iAoCDDwOibf -W83vhMh7k8FGibu3DqQR77X+eDcNdbHTrBPVS1wB2Ksx/wg4eD0CPkPslYPe -IMg3Sr293eGsbKyX3bk3RXKRytLvei0P5E1j381VnMAgiTf2RJfEu5CJt5SI -t9gj3pz/AvE2KoN4a4tAJGm0SaTiFrhUXJcddhlkZRLxNsOpkZcdhv1D1bF3 -iIl3cNEJLjtMP2tMdpgrceczRnaRPKc/XiTitZ60YLayURAvz1W87LAU4v2a -iBefLUsyEe9kTbwPJw6YJPFy+4u0CLj+MSbe9Y54qyWJ1zY8WOL9iIiXEhgu -NBktkCHmJTBQUPa9YqGBwyNLvFcEC0w5M8Tgdoc98kCRISbTcRPEO3mfyNnz -9e2dZcZecH+kEe9rh0O8b+vb4+/64zX98Yog3meIeM0tkrvuEU/eDtlhacRr -bpNhtk6a7ZvJ3LA+WCndSmwQjY2zU+pg120Ww+ABPzuWB7pXYy0ChBDUQln8 -1UU1NBH00v/NpkbamvhzcOsZ6j2Phrx1KUOMifdCGu5eTFkLrUmw2x6R0w51 -NY4y6cJS4Ci3P5C+Xr31K5D1ByR/eD0gerBJ/uAKKg/+oz/TCAxfh5+HD+Ph -G4A+PoPGDotZDMKtGK5j2Ekhoq/jVrlraCKssbzxPseGNvTGc6a/YQXiAIwy -dIlkUvGbJn2QYQ1cG/GqqER8VyxPK4XearMI7g7Pnz4ixW+69OEkvVTJEG+x -XIlWXpA+oOJXGtwWSYMbKX5tYAOgsEgkk/URc6TJrbYd/3qhDTD+HatReHQS -hX+4NScR3HBAGt1C5a+QQHB4Q/dKh4DATtTrhMExwW5HkjK4WN6vQ0NagL5f -oZzhOjfdJdGun6kQ6RoT011G350yV6EyG9N89P0gVbi7QEgaFto5S6y+wRTg -wpNHShr46SOyb2sWBpIGOGJ0kgae8L7USHaO7aQnkWlv5/mLlDQ8QJ1D9166 -x7qlEz1jHU1KGE93x5SFvhGqi3UfSOy9TmRs9Rvu0mKB9OAp1vtOzb4a+fQl -dc1d5qGmP/SqBv+tgl8DIIQRD3w7/DL45fDbICsPMw9Gy8hDiY9vNGPWW1gC -AT63fkL6e7UJWkiwMXGx5uCsP8MLpEEYvXtXROrYrhLKhyBYzRsDB9Fk4a7B -Kxq9NUOwrgXig0Hc0h4CYhHWMCd44HPhaADEl0sgfm1bBIi3HDoQr2EgXnAI -QMyzLc6+v8UCsXvKm+PblhymW2CiyMzTXUSRoROHo8gYiOsSENeip1AlO4yB -yKBbKA9zFBUXTSEgvq8kEkWmPxIhDQKIXRTZn1QxA3GJA2JXrMkDFz/JfbcE -4vdiQNxZfVSvvED8mqhDDCrPskXlWWVRF1HLB2KOJPNCdRGId3qhhX4kmTxg -EjtvNrTxwZKMJLuaNpJ9xSYyiCS7ISWSDIH4zjB8WhRXU/B0KhA/HgAx3h+m -7kyekhAQNz9cIF79ii3iNUDsbpFcukVQEERGtoYYRcZAPE7fJqNt5nRtagRk -IbwPxP29VsBWIkTXgVxS2duZhPFu/JsJhs8lED6LQZhsXdURiKtlVcbzfvia -+TmG4nNJ+VDHlp15QFx4iVA6tMPTnbYIwzz2vRohlUEY4B8UzQaAB8PrUAHm -4fovAS8LePz0fkETMvz3Afwx1HvDxDwXp+Y34JabGdn5AM3I2Odik+ZmmFju -La6wVtorqKjGrULheLhuwMRVKdqbQsyof+JmOpQaSXVqoRyCfQd+4MMx1nuw -qDRI593u0nmdA+5IP52XHXAU+MCx317gw/pQDiGr1P4mAsyMHGK/lQNXMnJg -dMFVycDEZ/pMLPsn5gTBD/cEwQ+SiVkSrJn4x+GNLBP/QExs3XBpsmAvRKyZ -6pF9CCzsatB2X5JsC8Y8MVY3yJTcPJOSG+/ZJQbGYIZBVtLrBzMYBv4MFA5Q -XUa9D5+ljX+zXTiDM69xOAMxcDb37j4h8sXASpKp/yFUOZj+B6tyyN6IEjvZ -vcsGNk/lUNupHF5NCWgIK8xsK3yYL9aazGvc+9BOdD5cmRz/jgoZOJxsCnVD -OPr1xr63uzN9j33vdF1gV/MZv5l6Zv1Jddf/rYTTHYA++DmYiPZiGB5ppkAW -gI00Ah+M8HeAvw/qf4PBsNVA9HTgywPh8ZDdANR7hJrY0bw0+kOvmvppXQE+ -rSBp+Er3oiU0wGWMhof1jwf0shhi8M0RMUQ0Nv9gEMckKVg85RPBvLL49+M4 -Bb8hKbjgMCjYPOLz1qbl5d/vmkyRgmneFaHgRgEFJ6LKCrqJ51BIwS28QF4T -53Cefe6ADeVaTcHovC6C40gzgxlNz5upFOcAFMzSOzyGLA2jyvxQoXJTsHBX -exSMc5YMFPxKWRScXprGFLwhQcGwKG0RwbymkMaj4LomsgwpuBE5aUMKxkWI -I8u+FZFlewQF709QcDTW4VpfPJSILIsF8x4qBYtIvxQKdmPhtr8fBa8hCl5b -Xgo2qSfG48aJfnd4pyeNo2G8fUWvYA+R7Fe+kTCXLXChbw/CN1Mldg6dsNQk -yq2Om0t9a/0RusWyquJdBl8yP10TawrNRLg2RTr48NsJ4ZcnwR0Q1NugtJfB -tzfugVsw9OZfjxLnHCJdeBlgQwC6EP2yVACRSAW9ntx2hPlMfy1rFua6NcQ5 -+lD8VbC+5AoOdk3J1wkG7o1SYZ4Ly0yM9raksa0tarS2uEz8S00VvfU6ZFIg -KqpBmnuHkDRieDmkEY+J+MTnhDRChveyFS4a3ht4cJl/2ZMg5cCfSy8urlMn -e/zrSSMWJwN8f7B2OOheoxDfhVU8/v03h/jKmfDcCP/OhJlwHY9/QR7xM/Hv -T6O5rQJ62Br74WdDZb1wbrSflyUSPSqnSH6xCxhbgbNmOty9WHZUBCJeUSr8 -VRidG2DuF6hyGOTyGWqH+QwCc8G5ZlUOd7lRb5Uk5n6cltFg5XTc1MvuEYm5 -8XIIM+6V5RAuKGglRekuIwdb2VG6H6lXGn6sXmosxr1NPg3Gva7m7KGLk+FB -LLmb2ka41xhzOzkJa3TUm5JKEAZwhakEMcS1eDvKYCylFEDzzljgTHg6dRu3 -X3Ubvz/rj/Ccyqqsuk3Yj2G08HPd4WPsAQzfhF8PmAxPN/i94c+Bw1D4s+Ep -CH8nQHA584WZq8Rea3PrYpEXn8D6tUHC1X8leKlgOq4/9F9zUtsDR+CnylXE -iZq4sghYzoFHeHJgl3dhhRE3BvUUQhiRoF/9WnTFQ9/9Rgpsy9X0k52lwPf7 -SQ8e/c539NuW6Peyl7arVpp+W2r6vfh/Sr9TA/o11vU6wsbDCU3NYvQbCeo1 -CQ+GfnmI5YWZIf1WQUFe/6KTNf3qJ06RO4EcQ08bTni4X5TWP6nJ92lLvyzA -c/SbDOo19FuqnzAsvPPp14+Ft4I7Mlh7EZqUKcT0+3G9SfaYKoz/jtPvW6LM -hs0HtFZlE/1WLYgG9jr6/dA6bGUNo1mbZNIDH0MJk0FIvx2D9SgSanZ7/zLo -NxLYWyb92iSUctFveWfADf8j+jVhZrmY8MD06zaIkPDQIKgJro1lai7POicI -MrvI5v6F6Q7yIJ9DzGj+W8gBZk2Fj6tRMPuV5FuDqLcq3lK9irKxUPeaojPg -QK/o9Cwz3ISvge/LfF91mgWfg3Ng+H1BWmxcbxfinw/wCERu5r9XkOy3G/47 -AERhtg3/RiB9wF8gf4O+t6BIpB7uCobr1+oOjcBbRvxR/2ckfpZ1H3xRfwzX -680w+sZbcacN3Gxb6fJvpGSZ673U40RkRkGPqK66g5wEU7Cisw42FuvReThA -70UOXOhr43OpwSQSDpURk+TaVOLXWBg37jF2dy5jf8P24bURZcS2II3G1pqj -O5fWK6w21yS8NtbTJkMYU6J/35DBEPrjZUPCv73o56FB/K8k4d8eS06CvXCI -u+uoX6CNeIom4Un6YwKLhRtaEk7EAHtiYa62aCLEwk1UjypIwEdb6C2fCgJa -fVHkm+JjcyLfa3yhbxoC20nvzRaBd8UQ2LZIjNH4G8aUTYsgMPnZAIGzfQSO -9aO5Y0U/PMgMVaSnba07Xgw8be+dtTUex1seT1szCnGAbPgWQYhDS1+DB4+b -SdTlOVHE8I4NGiRCL5uMJitvBi3gqAwpSODvGOhaEIEFE0izNwmHmBXA0lUB -YA7+s4c/m7wvq4qZccK3Ah0DNY8xNA2/NQyS4Y8EUoSnIdDjDcEQGEm4n0/C -QKpW8WAo2Ex9GYFh59AGoHhyG83Hk/A/bZGF20Uqk2lvMU6KhWOaiJicZFBQ -OxXa4kR5akIgDC8oc/DkveI5H088g3Bfj4M53Fdy8CuOg1u8aTi4Sbk52Dzk -88RBb0YO3hjj4NERDoak0pTCisKQg9vjQz1MOuvqJZ0BB9fAh3If/czpj0FE -+nlDHDxScrDm35kiYv4x4mCOl385hYMhdMiZrv+kSgQH20j5fMfBYWFFkoNF -76d+lhzQHPx1lIPniRocyFgMj6iSHLxOcnD2lozhvm+kcnAy/QETz4QcixPP -pkFpY1tyIaQknvnhvgc87VBCN0SnJeXi4OkBBz/wVXKfGJ8CZ2W1P0QOXqE5 -eKm+Rd7DYmGfg1/Wt4fpdAlDfXMp6SxHJJ1F5UJYyTaMrHA329vEcPCAQEPf -y24ZnQ4iE65JMSunPHDCw3k0wKxFDFyN+LcS8e5p2APTu+jUI/R/TskCCf6p -+CVIvDU4XMVMhVErfC5NhOuj3MK44VqgLhj+WnBHA10CvsM/oSUhMKwE8E8F -9IedMqwUEIBhepZHoFQEXiZYUeAlq7dlbAUIU8x6EOfosJsAJ4L5qdGYHQe7 -C/PK6h3GlhG0yzDS6/qoNjHCrMYFN5F8Qs7b3bjYxSdL4yHH0nQIZu6XiF1H -nt1x2IWqqBb1vVWCF/OwZBLsoFuwLR4ULB10YVBwvl64pIPOl0m4zTxLhz8L -pMNfedLhv3lOB7exr0SAnJ3serPJEVVMmTH1Y/zbSiVqGUDGQuOU9IgIIP80 -IXTTNUq66W7WgMwS4sEajjlF4loLxuXURMx2ZAzlxQntb0DEFGX2lU128In4 -c0/7cHN6ugNEmlVzRBwG9+7IlPAAR4vZc1Mag9P61UL9Q8QCR/oHdqH4Ab6u -zf5Na4EL9A85of4hZoGLpTzso9ghg3cT2vv6BynGY2jzswzc0f3NkaGwR8Ri -INxHilhlMwPRMAx9DQlTrgEMNKfSUBOS6OGBBVA34/usP8Ihv15OYdiJX4Of -g++DuFv49TRABtIG6oYHIfz5MCSCvxdqJIaYvzuAJwyYhtOQGP7NrIsAkEUh -cEcfieG1m3z5AWyemnLZ/grwgmYdCZ/il+CnJtHLq39JBfi1IJqQI2OJyTHp -MI2L7wjGxVJtcqPwBnljsEi2P+45pD+IG1o1AnSxCOC6qzgUuOMTYbdbBkR+ -+z9DZDsHk6H+G+ZEEHmi1UEaRHYzsMYJRHa9F61sBWtn6r0IEbm5GM3UFwK9 -M3GmBYaV/phVZBD5dsopGkvjmOmihYlj6eeXGkGePJDkMcwS/bEiQOStEUT2 -/dkZEHmpfKKkI7Ix80pEdgFphUEo8KYwNcJDZOdgcKHAxR4ivyYQmY+ybEBa -Uz81QoYwzrQBjC41ggPSxl0VpEZ4ocAHEgFpZYYCC0TuRmKiLlOEx/Teb939 -UT5EDvk4K+uyJCIvzYTIb+nb4w39sSgdkdfLW4TLvf1dZF0NdXWoz60OhapY -qXD+DZGkCNK3Fsi24uSYOC6QaCw62wiPi862wggz9s0m9v0bQlwffTv1KTox -6w+qr/5vdSwG740fJxMnn2EZGRojTHJEXfLPOT6Gv1d7kgiDSgKg07HxYNT6 -wtYAtggw9YVlo56GXPAWwlIC2wlYVuB109uLI0BpkjVHfzoFdxvmQ7+kuPJM -IFviWGNNxMo8w8pQLWJY2QyUOXeZX+70ipGrbepEmwI5RJa6lEspYa25UGU3 -8u11RTU9KcW1npTi+ETKxDQh7cqUKhyz1i0V1rr1QuZVFJFSfCTKNJCRN6V0 -xyWkxKe5Fe3dCCN79rrKNl04UarxNHfIiU5ka7E7N5k2oRn5Z8vI9W2X3I9B -ypqTFLt+5IM3uqS1HtVOK79c+B6Lxt80uypFCnwNaSRcuq+tGEYkHuwh8S6h -k8A0iLNCSxzoJEaVicR+IsQci8ShLc6vHE7rbHtXIPGyRNrvyqB2OJn2u81q -JV73rHEfC2tcqJXwrXGys81pJcisDd6UdkkkZki7KzRt94urWYdEkHhABIkZ -0nqO4uHwATerIVgzKLzHqFvv/r4C0u8fzTE/5B8Av83CHAT46n3fZFU1FjD4 -Gjy79Pdh+hE8z6DXV9N1N/1bg7Si5+gDKKfoy3RMMgrgS/ingPAQ/okYJUGK -YXg5xpFsAsa/8GwGIgZFNbyEU4GIp+F/Wu/DzzT8Yp0zWQ956zFRWBAnhGDc -Vaghe7oiZF+GnRzOy8YMLnNN1VAEha4WikWhq4Xih0Io3ulDMRjnI1Dc7L8K -xfDEvzsCxSP1ky4ogxNPey8tuNClBbOCzzRktMK4Ux+KRYTa1uo46OqLAfYn -WQf3MAHFk6madNY2UOodR+eTx6oFpX6AEUMxRqjpD3MueZTtZzJQbKpIpTLv -E4Liz0IoTmnIiEPxRKpQd00Zrn8yBsWRKDV70LXBQrGfGmy289iUUcePUlvo -Ram5sEar7QqgOC1KzVoXImvUMBEsEY1Suy0ZpSYLjx0U7y0Dij+nc5VPJRRj -YnCYNBibGy9hKF6nb5HVFopzCIpzy4TixzUUz00ESjTyAiVEO4YtgnM207z8 -ZKi2E9l3zzwzxgCEFgmxaw8JxFvPJkqrLmbFp1kY7qfhF6itv95fXld0whFq -QNEJWVXgU/wSdNH01d8G3+7YuAbJKGqThCKPVMSGi8E6B4NXOCGCfw6MwwFE -gYmNVGIYrhg4H0YWnojACy9Zw43TsyrArkITC3bq4cs5E782M2s2fW2G2Xfg -yzyNXuop9HJPtLBs+vcMKKP6wg6UQXVBkFxwI/K60R5HevgYkkUsG2/jGZLd -vuTCQG98vpdzblQWJ2NFO69et7P3IVK98cBhRA8vD+Jx+BSMvXalJSZ6+EOh -suAMdB4iY1SOjB8OCpYRkt9zK1ysfsNrnZMVHM9X85QWDMm/Pe4GydEcChok -G0iuq34KBsk/pfjuEJKH5qLumOD4EAfHf1RfX9jNhERoKv6aDXKN+1BzsYsA -ls3FxiQ3JJ2KwSRXM1QPJ7svMlPxQySfcFTs4oB9BXFhIjDCKYjBk2JOI6V8 -QkYCF5YvEhiGxQ3ThsVhmzHJJy4h+cSlssmYepmCrDR5UjkqjAIWY8tbw7Hl -TY6KB8aoeGSk0wxDb8WAmHvN8GlEY0xNbDjKBPS972tMQLhqNgV9QhLCnC81 -HutnVQX4oV5UITMMJLKYmKt/If4Gd3+P+WLwh3TXf2APmh4DOsIjEh6X8OiE -x+jtAwQbk6x4LE+MmYvbmikxPLLhxQT5492t9+lLfAawMXyq8Cdg/2EZmQUr -bYXGItAaR7UVnsY42JPc4Osm5XM/pp3sEbLxlICNbegasXEYuvaUa8kybPyB -YeNF/ws2lg9+aI+baB/8STYeEn3oJ9lYxAsX+mFrXb2wtbPxIPgaGsEMKD7R -HlGyk2VcwMZziI2fIDaWYxcvXjhg43xiYxO2dpTXW+qHrbnOUtdXmoGNX9Zs -PDRkYxkzHGPj10WbT5yNV2k2XlE1HjPMq5frqSQ2zglC1zBjgvfyu23GBKxY -NnSNe9cjoWtlsfHQsth4pGyRAzY+gOKtQ2Jj0t4jG3c4fDZeYdg4N8HGLn7F -ixeOsDG46hp4t8goArThFs6SumJfT9HaGlBdLqE/LA6hLFec7teh28YEIVxN -3RBGP3GqZuKTkYnBFKZRGOVJ12skrgDIVgHurKzqSG9wKDPQQDNSch8k5NNx -HGrUFefjHwh/ONy3MME2dHwFZglfWmgmxvAPBB8dyBzqoT74LqTX+jgdnoqU -Cy8cNlTC1B3jzOEFnXMELD5Zc+j1nU0/dT992yw6w7qHhvTTBSiHkGwG9rWp -yYTzPfB9KOBsSFiuBpQJyM7d2Mb2dMhACi6+7G473lllcQYO4a/Vrz2oLG5M -dHM4M949h5lTLM14LqfYqSySYRR/cRPkMKCNl7fVpLKgJe5bKUMWJZnWkCf6 -6RJ5xWjIMx0d/w4AGabI//KmyE5p8YtQWpiK5np+Vwca84QcebgvR+5R/RCm -xoKLm/QUTrq+Li+4QdgBN5gqMZI87Nx0wyk0grvgfB7eYXk4zVE3Wz81HtRP -Deeo8+sxno02H8c64Zw6bxW1H1N4RBX3NEHxRDBtsa66upFeuJwdqa66MD84 -UZEB4onLHZ1lFE+kyImtk0U8baKZB0JG7HhYToiF4pXpDMa9nH/AZRDEwJ0e -JFqDJ9IjoIQF18vnejm98tFdFQDg8DMNx/AzwHTwnfAMg98BiVr/rkjb075H -LgRRAQyR4W8Jf2P4FwBjAu3fNoAUx9eQ2pgHxx3NbgJeRdhdTNevKMhS4Pmt -X2VN6TP1fxW+4jNo5mViO4iRhXyFu6c9Pu7i70z4nXDPfJMFF2oph8Q0x8Mi -muMxQQ0H7kYcG3fxKgZigcQZ2Ph1YGPTIPu/ZWN68As2ziE2bhqr3ij0g4g7 -cBAxPuwvIp+LCyKG568pf6qKz2VmY36w3EHelvEk3Zuhmfi+bUHuGrFxNHdN -f5jEiaOsq7soxsYFPhsbD4tf7JRgY+FdSbLxwxkrODiQON+ThFHyRLar4FiV -zR7hMvLXiI15Vy9jIHlH7865RPKEDCQO2NjLXysnG8vkiVQ2Hl9ONp4LDcse -G2dlXUF6it+DjV31RhobcxGj4TaOJmQ2dp47UMMyG4chxL4kvzf57WQZ45Ve -OIvVGQvVa1fMWWsQBCRILj4dRcQwBIY58YBic8IPCHwjWcWGFgMf34xofAtt -PYeSCgC2ovD9/TXXwbwZZs+IyEVnI4vD7Qq3LuMxiD8gbw1uexjIwr+1od4T -1EERxSjkVlhGYDkBO6/h3TlUY/IIVpro5ecP4HnQaIyybvjS+rkY8mEk3g9R -ofVscgXPohVKYjKXW4+n2PRRtuC6TojIsFURq1ZcaNE1cAy386TfSSFyvSCv -ogrW1/XViNxfVHncxgmSKdXNoUuP84tDl967gUsvkV/MIgvrKBYiC1tfZ1x6 -0bw2mCGHQmTp1PMyK0SOMSHyry/5lR7/SiCyxuPHz4rntt2v8XhWbZtdEa2z -4zxjROSG5NhrpHqceQho/KBD47xrHBLLHOF6ckRMFRqBu04GTCSQuGognAAk -rhxmqQkk9hrj5GEj1yRLJI7lqRnxxEbb4bSSkHidqEpOiicyuuwauKpkL9CT -q5JRPPFlPFc4rNRom3lMLAeVNvE+BLHIIX6i1jQwgHlIPEmEIdiWiAgOIwpD -LBhjsAa0x0jVB6A2D2DNAFvH+Tv1FdZh/s4K8MOsbPxJ+EZ4aiEpAyXPIUKe -udv8wZP3qe7j9qteow7gXxq4Hp6cQ5mM+5oXAyUVMDYmKobp1TQiYkhNhVNf -/QTPOhJeck3Fs+iFh6/Dz+MbQKF2PKtPkHGk20TWD1iH0bXJxI9oXUfQMetk -xgdEDQH3MNOehPKmrkrUEHwWryH4P6ZiabOvSzGrDZmKbT1HWVRMOWyCinn8 -BTrGnkXn0cilKj6K4TxyIFExZB/xWeQEHrUQFT8oxixPlx4T5LAZKsbzR/1h -kiiOcgI9cnjjc8OeO8qMoyCJQlIx5bB5tU9vhlQ8R1Dx40EqcTKRIqTi9TKP -LVssaMGZl6TiRbS3l2ddT4tECj7nejhIyrmntctj43tGLlyhNdjrLpc1HbE8 -tqDAke8PluGnUvGc/y0Vr06j4lhnY9i7TNUcQMX6FqnP9eQFfgabOVTpa2s5 -QKKbPi1Oi8ytR5lrplHNEfFpOKnsp2kWAhMGEg0PIeq9BbEMa8w1FQ9DKh6O -QiXzcRt9z1D6dUDUEL7QG+15Z+ItCoNj+LsAGgK9ty3opP8dPfS/q68m/0Gq -MaRObBlGRDwBXyMzJH6A8FbvLrDgb555bUGtsnbBH+DFrgCfZT1ACpYnaWl6 -3Lz+WAj4ML0Hs4mufTxuYJUtbpdSFy1/EHAB78ltETQeGMgr/LqUmEcvOcXP -ETuV8zAJpBf2alZy1R4Z/Hkc4xb6857I4M972/rz0mOMYdNfkhpg4VwUdplb -d5J1Uny1KpRWBB49GWLxZjLEAibHv4RoDHHGFo1rWjS2GuSHNRo/qNF49vnq -F0RjinVDn17QemfRmGLd7myoetY4BCSe45A4p4+11Nk2OYHCX9B0+PNzg6CJ -WjJoglA4sNXtCFBYToc/jJYnP6afErJeQxYov5RSoPyuV7Hh/NsucGJllS2R -EmWYq5Sk2+tgtsJ+7oyKiaS9bqamMTjgn3a5b6+z7XKBYuKukL76xwugbgxR -ONSzShQem0RhSWHG7y2SP+35PWEwIfAV8yz6GjKD8/ynjd613bMfV4DyiAp4 -glkFM8Tw6/oDvg/mm/BrYd4JhId0DPNjEFnc/b3qNmmv6qH/nteMNPNV+LcB -9t92nX7C9jHbBND/wjwXnshAxPCUBtIlGsbXXD/F9ZI+u+W3moqhfGs2fcDT -HX7+fv19hpa/t7QMVD2dSHlKm2CKL7csZU7yDyQNeYEXX2ZWWN0xmfFkWZct -tLuHFCweJcfMRvp9IEq+HDBAU/KlISW/+3tTshRUmsFYfS+MaoSgZOiiHZIo -sbOdHbZN6kohorzMPvq7eGnFkpKroCsIHvWD9KNlCD2+Ma8toGSX10Z2FpGK -zweR70YoebNQ6IWPEJis2MdHaF2x2UfmsSGnKQf0IwMeFwdfAkrupHbUm2AU -Y6I8ns14PAYII9U3oxkvoORKzoyH4TrcpCli1PkUTOa2WUqm3DbQHXu5bWKb -n8hta3MgNbftziC1eBhT8iDhiYhQcj/KinT3R0DJ08Q2PtDk6zUrK6tLCMr/ -ASW72yMXbo8EJT+vP/y0Yhnl0jgWabh5jO3rcJQc3iLXeV0doKm4PBJraJ1g -W8MhZWOhpzA0ZnyrZmYMOoq+mo5BHnE9zYqH0ibzdhpajiBCu7P4+D+ATkmv -7HfSl+HYH9pxhuD4GCTKf0PQg7sS/ki4WyE2DiC+TUFnvMuba7gE10EDAFC9 -SYD5LQArzHcx3g5mwAjEC8yKs+Y5enlhI7JQ80vemhcr4Kez6Ytcmf0Mab6f -IpKeR8T8qHgvJC3fI0oF9c5l0wSTIL15tCPlsFgwkqN3CfuIgxrt9kKEHGos -/PeGkyxq2mOw3jhAPlG/JxVt4PEdQibGMW+hS08OkEOX3puBS489xuuFxsIW -fohAdhvHIws/Ao3FF6IPmkn5u4QI+XQq/Qji3jjNIrX4wwyR/+3pLM4ykW9z -JSnrj/uDRAvUWXABSD1DyuM0JY+xhFxORH7LIvJXja4lNOb8NbDXsXBiqEuc -OFuj8VmAxsODsuVMiROHMiWOtW48n4gjjrfPueYNTzxR2YgnbNB9wmbnRxJ7 -Njspy5OT4mbJBrrZZLNzk+L9dlI8KSKeGFOWeKIM5PJ6hBMZCAe8DASoTcNj -ex5Q3ismxFydZgcxu6x8j5GYJa5QowYWMHjeIJItBCwzPnAYYoItrLX+wM/1 -1wDZ4Hvge2HYCb8H/H6Iy/rPwkHyLDNEBowHOS5kOsC/ETAZ0BMSOeB1gtcN -qjomEyID4jIeAxhXgHdB4zFsVUDQwh/QjALvzxwSfcOjnrcx9yIqR8qyQ8FL -RAR+V8IaeSCRFhI7QvYqvBgDZMFH0Pvs3qtMsVU7TMUdY/LLBpMvCTA59x+H -isnxvCqnrZxFOr/yYDLo+WS1XTLWuG0Ya6yfLzLOrRvHiBbxswWe+aegbhIw -+SbC5JGEycb5/VeKczuW4twMJrOh5RX9wY5vm1WhP0xWxVGekSUTJoe2PC+r -YklQnPpWDJNBSJaMdXOY7NvzNsfijQmTV5NobLksnA8w2ca6NXCWYh+ThUhM -Zk6KWDdO17H3Shi3noh1S0qRMpZ7xDB5soj/Jnl+Z1MBmZXVlUkZ3au4s58v -bo//NiZj4iHtItfPISxL2vLqbeZaOyN7bcC9NwVh741sf0y6V8uWujovWC86 -yzeIfArKXUFmDPXoQ+zw2PDvSKxKh14cdLfqpXV8yV8rwKYzqzIe9gOvge0V -inPgm2HiCYw9SP+m/TDQoiryH2xrOxc2R8EBwDLwPuTUwXoAgW1GU3E3vlBG -Q/EEsi6uOWsWovcRX+hViyrAq571CEm/Xxcfr5EU/FWMmob3JBc7uF/A3wbe -m7wEPrthc876B0i2PFMM/aeQn8+8V3VJplzXyxUJ0TmUivcItjXSVSG1F2HA -hfTuVUbvXl8a+Kd597wAuJLjkgPmbX5PXujdk9X2TntxZLwrRARc7ORztER/ -tBkwc6/R7mXOv2fkyadhXwgPmbkvz0dn6AypEnSGVE2is0xLRnQ+B4Mufnvg -PBcGN9P0SXvdIZSY3LPmYQ2Vv2x4XdDLHJDyObfRELk8pFxWaQeRcvbDKR3N -T4sjR3hKyJ7mUGYsOuoqufKOUFOx7EwyddcqSrHefRC33gWpR/OCI0m23hkC -o0ElDZKnZEouDo7xZdHwbUEKUibqkpa7nqGegkkZaNSav4LwfBa5PiooWVIY -iV1NzRqT8XYkMiicACpruWhbBf3sKcmqpgGtBGeZ8AGf66+iCgCeTq30L4Ff -Cr8V/LaQwwBwDspmnC9PgdnyftV35AH8ZyIw9zcPXHjJ4CWc0s7AMjyw77/0 -O9RJgs8e3gqY6+sNjF7dH73o6yPwU4VffJjJWRAzmPJntXIhItNJoJxJHl7e -HU5o2OMwC/uejfAFyVgGgmYkrsLzgyyueiAWgPypT8rPh6RckiDlxkjKGgWW -rdMYsPp3JOXJgpTvipIyJ7pmKgAxZ5aMAJckzitxClPEMr5scrlUxAnMTeac -mAIsjjepbvrjXiLlh8XkxTlbfFJempLq5kj5aC/42HOyyACLlUGAxXvC3k1T -FSDlb5CUx9v1ECwX5kBtntdVH5Iy245lkMXaSqt8UhbpbmY6UJJId3tV6Mee -lwHIYbrbxT4pw/1icyhlAHLnQC9WXlIO748ySfl7t5ZRWXoEk7OyOpdJys0O -iZRdAUguZ4OviZy3rJepbn7Giyz/MFp9Cj4suMGrvbvEa4hMSi7SqathaqwY -U/JA6ky/iYaWw4i+gJDHlMDw0pzHTCISm1ry1z/ArjMrG384mSrWgZVH0HB5 -MOZenIGqi+76Lr2q8EKcdwMjX6L/GVBz1xAC3DaP0S/FNKOuADExvHQwG0bI -fQ1XIAjQg9XIhOkt1tyi34AsveqsWExfe8fkUKNv8k0B0ItU3qpXTIW9Xr1y -bUc3q2KeEDN/UHbMDvIpTU+LESuz5djNmOsnuroHxXXjBWFPS5oSo4k/A9h6 -nufhg0Lp/nhcdkLCw+dS4P7qzZc5u/JJUaj3YoqHb0ng4cukxAhDLlwSXEUv -Ce7L1Sepr720ZFoFl0R8fBR0kZgxYxocleu9aED5NwLlRNjFEzRjxrCLcygR -jmbMs4KSPUqF61nrEAD5IQfIDQYFLXagrgBlxW04Qt5lwXikrfEIvXcIxpUZ -jDmPIlblkUxpC/13WzOIjTeHrXYajEFhsZYVFmKMbD14YYBxxIP3ckP/geGN -kekU0m+2+zaR1jadk3YPwYM3PIgHjSksYhGhNrR4dJgYtj+RiuA5vx6UUbq7 -fPc3JYe1k1AMeld6sgDtAvVCUgI8YSAtAeYxkJjQ9O3CP6qm7xRknY4/hC+3 -0N8C3wq/rDXBcfsFO/CP7KSfa2DY6zFxr+pz13785wEUA2+CGR5eskntNRBf -vheFEsC1MC2GZzbsTx5v8VUFeE/0lT2vuXl34EcVYAOj0RjeqUfF0P8hMRQD -trZ9hK2MStk88iPDZJFfldjSyDyLFL8eJIkkMqxo8N9V6i1YGm7ReDeh8Vdx -1eVT7tl/OT/7F5UaNP67QeMLLRpv/g/QmCcwmdB4TBSNcwtujBiQGI35ISKn -Y60EGjch0xEFHhfxlKWSQGPOdtNoTM92icazxaEkS/fMgeQxNFU5Wv1jOxxG -/tnrnMr3pil/JjT+iws8BjTm/ArsmXJq5N3L/5b06L3jokEPvqjR+CaHxnZF -rPQoas1KRI6FQ+NXU3MsDBqLjEqurQ9cx295GW9ypXM5FjbjTd9L7DS2yTsi -4w23kTL4uBxoLO8PyJ8cPNTpxuTW0TZEZ0DjLmWhcZdyoXETjcY5Go0bHjIa -w6Ay3Dn6gccwJ+XBpD1jyR+hLqA+EBvxQmgcRry0IjNY24jOQpYXR8/xt7pG -CqN4PQnDxG6g24XNYHdSmfp44q0pxFx307n+TBpSzio5rgLkwWRVwh/Cl+Fb -ptAvvav4r7g5vaH4FNVX/5EwD4U7F+QgbTTVw4z1QugA0atD/U2TUGeBZdqw -wuiXE15awOLGK/+BlYSNVyxTjeEtWL6igmq8bGXWI6rRspXYWmiS95ZTeyFo -xt+nFL7FZiFDcn7TEnPuKhaPGQ15nl3U5nnuSqZlq5LZOM3b99cj7bIsPTL5 -ybJjxOiWzTvIi1x3r3wveQzQQojK2JDs7HzXoJ2PR8onqsH21CwZB8cj5fvJ -huHFwW37i42Dc3Y+p8aQdr71kcSLUlJjfFBsFkKYEYA1wy6GQMsbzILoJcCH -3SKx8j2i5QNvyuxkSr54BQr4qni0LMfKTrvMyRdnueQLociw2mXMUK6rep51 -evkp+RFLyV/UuwHHxkjH5xg6/swbG49UnzEd27HxWE3I41Po2KW1/VOktUHf -c6mXTuGqPfwcY6c/ThdZrLB+bhBZ4AiFnxMksohmGV+wnVwswcEjiSzk4eN8 -kX/0qH5uyAPI+1t+b1LbmLNEtxSPjtOyjEdksuMFIotoF1uQp9sttOHp5wmG -Fc9KdhJbOn4iPLiHPraP7LgYHyzCDYYnlJQhdiF1s8H8xWhet2DCfqMlm/TH -xiP0fzZoVG30/kacXua8txlxDX4ZTDfht4Q/AnQYgH5wbtpt2neq95h9+E+9 -Sb8M8PLASzbxiv1q2uX7kJRBOwGPb3BHAhrD2TC8RQvwYxf2FC7AD/P1J+nt -A4Tm6b+nlfGk5Hussc+a+toJ236kwnukeCtZohxKybkvxMPlIBa5G29yyMRX -1rSMcRkOlTt4uPyhh8tuVPa/wOXxFpdh9lJPVugl/Pqs6+sRwWUzIutMuGz6 -cJ0h3ERaVKdZizGDD7ZxbyfgOGyceHLM2uY0fHwQyfOVRfrDmPeOVu9t9w8g -uYEKjN8m0sI3fbtIi4pxXBZGb2feM08DyED6gXD5E8RlEfNuoy2eTI222BKY -+Mwpmguv9HAZez/9hiMv9o3ajaS+TOLy3CCYp5y4nJU1LJn81v+gqwq5Pl4V -EiPmHodPzIeByxv0x1p9i6w6DFyWkRb3eZEW3kFLPkSN3e4OWvJ95T7rLS7l -sWRBl0i0WKtEy6RV78PZfdFZaNgzu8pTDSoXu7N7RuW7SEIxgW6ZacIkdp++ -ZeAQRt8+WRXgNL8CTCo1LD9EwbywDYVvAvn/FNJj3I7RcCdqsquCfxkQhLTR -9zbc803hH6vXCHhhYI6M7sfVrxpI1rQLL3jD5WtQEwZFLfopAs8QDcvvbwRY -horDJRvwPWqgf7rBsnXmW3Frs5IAeqn+eJ+WNFl9CFoZE2OdizHWUlYu4/pi -FUjThIyZDX8mucdVgQ+lyL6YT7mX6HfpHGRgXCYqwN3Bmd9UXYuWvGy77YHT -gEREXLGLiJthU+DTI+JCox/LzsIMZZeBEYyXi4+x0BzGxJkyvoomR3lNJEd5 -mSgbYUkajJjf9qPivMIRafiThSPPxuLiKAuDtBi/PniukzFT8UgaLGPbNPZO -Z801iHykRuQ6Nzk0DrTHn8nBsU2oSEfjf0bQGIOMK7keaD+l4rkywozfSTbf -ZUqq4OeCsK3YZwNZV8xJoxkevxhWfTQx0aDlGR7fzVUfOILcHx9BiuFxrH41 -o5Y17YQ+oT+WNcXfpdQUh7Fh/gME0Lg1VU7waf1FbxQjFmMXm+asvMX5yLs5 -mnsb6wWrMaxjS91C1RC1rrjjh1D35cuzzsDdP35NP3QaL12tcpasU3n/2Kia -vpOvf/8i/PPaAivP3aW63vuNumb8HuTkofo1AQYd31kzcru9+JrDqS/wMbAx -DPjhvQIlDLxvz+V9qv/M5/J2ajaHH8PJMWx1nhbbHX5P7ZbHvq/OxC/VF1M4 -hSTD+wo2JbnlGSasfENj+svb4hHJ3UNOlrXTUU7eFXDyx+59RBAoxaG/E1+y -NtlxcsPfjZOnBZx8Zwonu4Iw502SDw+uDGFOvljMy8Lot2rUTHUyCvdukNFv -JSzaOw4f+PeZBz1OV+aJc8hE9FvgBN9EIr1i5mQZjlTgaqZlyMWXXsiFsO8J -Tma39w8vnkmcPI6szHOC2uknRTuoH3YRcvIGOlSzYReVN3oRcBx24SnM6hmF -2cvCxifXQBkB53OyjIAja3IsAi6hJjtYdjxyiiLJq5xOh+SsrB4pnPxxGZxc -UAYnL6ZBZRonP+ndHi7k4p5IyIXMGjN8xTrX6KlLgS/dtw7XrS453M8Wqx1k -i5lh5AAcRjpGHkHZYmwNmyoHknR8/xBtLx+lth19+/wBzvKzTsMdJyj9H0aU -NiNmuOsA2W5ACfQ5GNrYVt/RSMhbblN5Gyeb1QPwdOUbKne5XnWWrlC5S9aq -3Pc26ifDFv1W5KsL3ylQF75dqFd0/ezJmovTFfgaP4XMcIaeRDCJAZrWT6JG -S9fgk8bkkyzD0XTOyn+QQoNTrc3bZw3KnFOyzk/vy2HX30Y5X57kTQNguyPd -fkY4c6NoDO3vZWLI1pd0iXlaVFxNGxUHmSJQlOSi4k6IRMUZV8b92+JOv+eF -EuN1ocSQTj8/TVnUjZQeZQ/ZrBIjQOWwky+Kyl4uxmnxXAxZO7IoUjvyQiwb -QyQrP3aWRuWzHSpTdFzPsxGRs462XJxpoOxo+fMLbqaaj+EivMJVfXxKumNv -gFw5PkA20xGhPc6em6BkOUAu0k+ArR4lp/VDw9NAluE5iUUYYiGD80Onnhdz -bOOQPvWHyExUCW0eBOkbw7fn/ErJdBsVK8SLxRynFE9cG0QkOdeXCLAQpWxX -0YF9rKk4pOS2lpI/IEoGWYURFuPB/VtmfWJCBgcYbueXrScXGDdQwTHZErMu -AXGtQPWYvvz0Zj7rVFaY4c/jo0cTc957G1QzTcuX6j+znSa9qx78QvWa/B0+ -KW8eqF8z/bpN6bBPzWr9HVavgHMSDoYhcATeL3jf9NNdr6X6XdR0/CJNxSC2 -+gXc+ZiDAffk1+9rs1hASZKUbauuCIKdwO9roE9O1LlEmnUHR5p1JQl0D0kg -hZLRbSl3PEzJtnXa7HYyUXJ9TckN/kNK9rKuEs+POCUnzyWZkt2orKMsD0lQ -cl1PuWco2ZwmcxScoWQzGpOU7EXBiTjRRfh8oChR/UxYTs8GPncsTKFk4/4O -Qi7CpulloMYTIReycgooeaGjZOfVeMjTJm8jbbLvZGYXX0jJK6wnw1QhbXHO -ZRsJt029HfgweFrgwnz8SDhZCIonL0zJLFSiCcF4cX8wJd+VQsm3xCg5ECcl -KfmAdbh2pXuDKDkrq1fKNHlnOSi5kCh5C1JyA4+SCbWilLwwoOTH9IeMgpOT -SLo9ImXsHKTAaBV261xWKFN4ZRm7b3BNTh+N6KIvilsrmkJ2kQXDhMwTZE5N -nE10PJew6kk6gHka3WC4wdRL7gv6v6fjRBJuJdh0Pl1qvhdEsYBmU/WtN7L4 -FDVI/z2gOKO9/jtfBLi8+Q7VRL82uWs0mq58TeUsW6by3l+rmizejAMZlOmR -n+VS42epAJ9oWm75mvky2l7eKLKrW1OgaCBoe7QJTylTHN4Ii8Plhod7Ev23 -01FzMGBeV76uRLf5cQl/OaSp8aPhXXbJZdHsksuDWlEpNzcHBVdv5YMCM1zu -x1JzkSJ3p4j7mRJUjCaHy39JFPS9FZj8ViZMflQ5yooMUTsqqdkOlxPUDBkZ -ZPQTcfJhRoY9g0Nqzk7vInmpakDNsZwMMPs5av7tIX2rEC2XT37xmKXlXefd -5ii5unTnjU1x58VmybIEJJZlwTOS8lCycanIcry1WCtl5iarWYhcJSm1SCYf -B/PkRr5Lz0j0ki69THPHabJyiqOR2MnSJRkiGqtoi9Wyprn07OzRluJJqYXz -f7sKii8jFRQmbDc8q28F4mMSHoM2wsyR863zCw69cNfurT1LA3XYW+6QC03I -r5i+1tUv6eVVr0ZZp5C/wviYc/T35ax8U+Usf0/lLluhmr+9UbV6uUR1nPeJ -6jH9G/z339YfZrn7cY4F78VTTXbh+wW7HJDMwNNev6cVYAukgRneXvjSqxRb -Am/zS40DQyYl+8Vawh+yUCASsFq7ZL+wITytXSyaFRsZn3lgoDdC3alBIdFM -7R0VkMbc5ii75r3/LTQbKmi0IT504ardeuTph4FLmhwzDs08N7tIxF01FNDM -7qTTMRkOoFkmw422gaNGbnm/CBt9MoDm1wiaFwtrC0cnbSZoLtEfMvKCM/ej -kRerhTAPRXkGmvctFjVUf6+kfgTriobm3TddqaF5LA0aQLUsodm17xVlNPQZ -M3MCmmmQ4EOzM/RZ8zIND17Qm02XgGnuEVwOLwoS4lpRjnIAzRNCaOZN5e8J -zZMImune6HLv7qysvkZklgLNHay4TAj6cdUrG5phFpDjQbNro3bQ/FQEmlnz -SnS1WTZRc+kOOcMKQrWyOLb32kR8E198rHwBNnuAo64XEVX/opPRDWbSxlxd -xbiSoK5im19XgW4wfYs8SwkxL9KR/avkCnsdT2X+rFd4zVhZJ+PXYE4J3wck -BkGMd5dAKga0XFdWV2oCvFj/u5puGqXyQH2x8nV14fvLVPN3Nuunz1Z8T1pD -UtILH+ITSi9nmlvaPvdR1qNe0hJvfOQpKD+9jDhQzHiWbDJHBVamIRvGFwt5 -Rgo5rzOJf7mUMofkvJET5khaE5FlsElTHhs0jbr+egT5y+1TcrGlGp1mzVv5 -6OAM/fqeijpmuTEKU+Xis2a3JD4n/M2viRM3dP2VunlCSM5JWYZo74PZQn4o -yzjRD9KkehJJzuj8I+Xa3nfNvMFPl0tWlBhpRlUnzYiRs43JOAulGT3POQRX -3xMOmM8ZdsigHB0np4S+ZXbssSY5zEReaoV4UeEFWrsLsYc1cy5y2Jz3ScS1 -59PTw14wEh0+ttqbPlKOCC/uTIhZ/SzR8JA+o2vPa6UIRpAhTckgMREo6oku -EJRLLSjzRr0JHnPBERccb4HQYq0GZRe9b462aJlZyYD8KqnAXiSz8bNEWAtE -JPw8vazmrntCk22OXoJy9OMlZ+1TKkd/f45epposfVc1f3e1ar2wSHW9dxc+ -NUf22atmtvlWPd7sS/VszqdIwlD5AnpzcGXCU/9tbEk0TYn8nptqGLdBeiXi -1rRhWE2T1Dzb9vH677ndHMkjhHBjxKPmcubGsnDZD/gTfdXTgnYFFtfEmkf+ -j4i5YUDMBgnMwEUeUcaRgI3iTMzCvLQ1zFKWJa/mUdFHEzOr94bQCTNH9E+k -4NGZJTIk7lhjc6Ghyss2+sIR88pE9MWRapv+2B4SMw1QeHjyRYboCxRiMDFT -KhIeLwIxD7lS7URinkUCtsx91WbI4BOz5/NjYgafX7Ym5iokUIOBgg2L2+at -jy4szo/A4PvDHrOJTGUvLE4I0cJMZT6GuaPPgaysO9Twfqa68neGZhsHj8T8 -iDhRm7/TapWYmC+zc4LMxNyoLGJG4euzFETmk5U7xWdi5jyFUYnQMchRbhIR -LPtNIxFvn7g9uicyFKtgs0hfUcjD4lap6Z+eaLD4C84e2QZrZo9HWzfYm0Lc -+p4RuGq4WFp6VNaJSFXwNbidgLie2naMmqV/z7uKT1F9NPHBDqDZhgmq6Yrn -VbPFqzTp5qvLXvhAtYHYfTgtgx2Ofs+ueOwz/bjQD7Csx0WT1U5rZ8aSpWd9 -47nbBTE9Ow0hLHs5OPshxYZ9pC2LPNJclHyuFyUvs7Ln+C7ATZlUGtQokz+k -DAdgKLgJ403C1hKRk+2JmfX7rcnZzZtdbqacN5tEoGSo3DNBod/rgQMwptLY -Eqg0tgtyDlUaMlhul+i99sg55gKkzAyPnEWDSUKpEToBnxO5GQsMOf+mybnn -uYdAzE9aYv7srDvIuTe2jFyLUJ6cbA6JuffMfCTeHuJLlN+PBL+ttd1TKytT -gwhI8jgy9Kxi38HH1IQxyR9aYpJdVAkHX6Rn+oFLMx/SRwv1Yh1u0gme0uEW -ElQvz8F30C/Sm77Ho6irEuILM3uUuRbs3GNZa8vXthlShpGyJmWzId9iHHpL -19vYXeOZcDSVs8pVt+bhCJmGLJqo8qzB+DEhAJtDJ5QU/b7hXpA1b5yZdTwu -Nzn667l6+cnRvxbIucXfl+t/z4dqkH5dJnbfreZd9Kl+mv9TU/I2vSOCIwQ4 -SoBdUkEFUN9oAGf9DYzP/A1TqQUCHqMlx80uQdbkYn1jS2RC8z/n/kXN//+B -lSm1jmyyC8ZIAnMkXZmAuQ0+QcyGKATmvP86MNMMLd8cPNfzHP/wtPAjSFnP -F6YqX0GHzp2DVOXuVpZpmhT6aCLANCWaq9wugHlSAMwP0zxlfqk5hVwodHvc -Y7XUnj4eRclJPjDz7CQBzOzwSyiXTwuUywTMlIz04wsMzGMCYE5z+i20Tr8w -GMN2kGaLYIxsOIUjrVrNQpsZB/eIuT+2O2Bu6G8qbWZcM5cZZ+8NAczm3tjv -Z8bFgdnRcooWbVCKCzaFlgHALTDTzKDTgwTMj37mn8AwMC/8gG6PNGDeZNXL -ZQPzC3rVI2CmVOVc4RDjrDg/ECMtSnGwBqmBKVGK6XkxxgBrRo89PQNsJTTA -XisMsHhYX5Iepcih47LB8tWUQ3oHTSaAHMBpcyl86M/1xwb9sRa//2i1SIPz -EyUnqduLGunbvKu6ZM0kdck7b6lLX9yu2uqli9taQUaoNz76CaHfzax55sAU -AuVnf+mbcMJ5EAX/w1OuzULXVMrh8v7MIB+Nzg3ZwGHdgVJ/wzLnRXYZzI0u -gw9ThIYRazTkttJNLmwOjhPq0MiZNTg5+fEEbTk/SL7nHDQPm6RmVE7eKAhA -cbmAfVHeDCPnipGR8/G0PDotTixoTjoBFwVOwPeFE9CPz5BijaP8MhNpjobT -uc0nuBO69aHE+WS9jAaBcxKeef4Qq//zQucEPC90oXP/fkYD9FPVEZ4PCZqV -g+aadwZt05M1JKeNldO6RNKVyr4KL4y6WGybp6N1ezYMzmkw3qek5MWRpOQ3 -Qk8fqlvFaDlxMJ/eQH2Pl5OwP7WBelQ08uKgf0AvnxBDI5V7I1JGjjJaTI6W -oxoMpihxUC8LjwmWeQ+ep5eRxqT9arQEYHkNJfUERpfEyVUsedc3FDeMGopH -q3pQ2KSXkgb60QGBQo036//fPEp/33jV4p1H1NUz1uAT9sHWOzUsb1Erq69W -q6vpDVSVFfpjpVpTWV8bldeo1VXWqVVVNBlU2eQ2UqhiL0ocQL8hKsrkUQOS -c9Oge4FU6w+E3QuXRw6gY0W+fUzZdVnepoy+vwn7seSbdTddWHdD/TE2Tg4f -HbLg2qfmlkjNxbZwAbR+RM36zj8McGZ5n1Ns+m+1BOeRZYJzyzLB+ZIEOJsn -hDl4htFKXzx4NgeSQ6kagSNIJ3E0RolMkjtWLQjAmfP5OUhpFdHARnoKFAXg -bMXMXjSG39gnvd9SzLz/rUoCnCsTOF+hwXk0zSPuFw1+sZ7rFwQ4J61/aPbI -XimyNM2pnB+R4ZZMBmezXAbZmTJRLjiJgdO3hO05Bs68NEbszmngHJshJMF5 -rz9mLouan/k9qPktouZXjcxMU3Pu2me9LpJc0WjZONFoySmLUug/1DOJJTVL -3EHCogynWbpKaJZ6eJqlKrihNCcwyQ3lxJK/ZmWtE009x1lggj2lO4Q5Ollo -GSTy5tO+slgD0jYNSKX69tiuAekDfYt8oAHpg8LjVKm+VYr0/68uPFHdX3i2 -uqawmer0jxGq8xNL1FXTv1PdJu9RPSfsVT3H71M99Ef3CftUN1AeysM2WTIb -BD3FDlXbhsGar7qkIFwNUbuxhbQbMENYn+gyN4pnAujVi+xbbk8W1j3pzRFM -tQlrNqYlcufrJipN9JKYz4dv4VvvrJ7JOhMZo9HEi0QxdSZ647T1TJo8w2Vw -ikkPLDKbJ0guGUlh2nbyTBsomT33OB3KZfIHsmZDTp6jmo1SX7PB0jZYQo1z -mtOaTySAlhl0pwiAFh7B95za2U6fRWIz6jas4jkSqSFy6K4+71Ca/Bw576wx -OkMwXFqnyCMiOnkeBYbGiTmmWpbxyZaYhRhjFQeJeikYrluEl3/ZTIUj5tDf -lxsWVe+ikDiflPBg3vZV7FUzsAjZPBLKSkxIa6xKS8LgU8gwCQPjk8YEBdXS -38dzR6/V7fOgq4JPr0QwnNdV4R4TjdnTR48Ja3pJaL3MaaT1FZN+T55WNQpO -I+vr9aL+Fk3EmpIbbhmmHxG32hD+Jhqfmml8aqHXiUs0OrXS68PlemPde1l3 -Ne3hUer1m+epZR3mqy3nL1BF2c+ordkL9fXzCu66uOh3A2BC9nJSuoOEU18v -VTer5dXzMWobd1YiReuNIEUrcRgddM6w5wnEOTY9g1Ts4czZJsyJa8AGBZaV -LpcpFUAIcdDvlEbO8wU5v0DkLHZKzd9yxwr/NXIOZJuCnOUBpX8IHUsDkD6n -SwKfk5HzXY3kbGZq/TQ5YyQpPhYMOd9V7IfKcXC/iSI9Vj1V6pfC2rCMgJw3 -ieUfjC4fJGyAf/VkeglylqH8tsRPxIvqZf3H589U396oybnuaFpq70uU+pVi -Mn08NCM/FpqRLUIzmJyrcQK9GTj4oRlm2eRBgz9kcJmaITnfGxo8wuOYLuI4 -JrDK3jbgQJnkHB7HdGOdmiXnPRFy/pLIOU3xb8g53QfoJLAeOVuMel3leeSc -qQueZa+TfbV/vm+RDY1i/o6yi0anTn7BZcp9YRrgqut7w0hcB9BB/S0kYx7t -OuCBnGUNvJQusar1jeAkxt0WR6kCfTsUAS0HsqVP8o+jFF4S+UNXxYqT1e6l -p6pd71RSS59uoB67r42aOm6iGnnzanXTwP3qxhsOqOtvOqAG3qJXxdtMl6t5 -Ih5QvUYdUD3H7kei7mZ9PPw0TL7tV+i3HTQdHfTbbg5bP6INkzxspRwoIuhc -WhUbobs9bUk0B615QvWctxZKAuMFjkmvoLSAOrOHr3geWG7Fs03XKJQbKJm/ -fU4wfj7VpGvoS8L2aJewVud4G5YyI8UruEB4BWUhYMwrKMfPrN0wBH2UH0a3 -1SU4e2F0KSkb33DKhigGtPH2i6V+o5JH0D9GCPpXj6CrqZ6HQc7HaXL+pMZ4 -SsKYnkrMrmwknhnniPl5caKYJsqIyJcrCfkyLPdhIgaKMihW+Tzy+tUuJVFG -3OuHj4AmwZw56K9mr5/fX73P9Ven5fEKCXNodkmTMKcVj1xtHw1cYZEhVhlT -FL4IUhR2OlGGkLe60/pi76iKT+sxk3cZCTISM+ZFVoxhiFnqu1x1lQtS4oLX -UXqNGEHlruB0MesCPBZgTYD1AI6iYBd95dbWevlvrpf589VNxaermetOVqte -qoZ9PHuvbae+bHSj2lltvPqo8kzcqcHwzVQ5vEyZtG+TrHMpCnvwnALytSiH -1nT/mvmzzNay9KyvE47eBol7AhFa+sO1qYExUM6d7+qRlLMDHtya1vd7Gw3W -+BoIyTlNv5mJnKkHG3ZMrV7ZbmK02ePJ5PzeYZJzzPDE5GweEfWlfJPIuT6R -M1jJWb4Zz9GSMXMya7Y59fo2th2xYB/ndAAmBCbn4UTO3A07g04ieZCClvFS -lu8dbU8gw6HaekHOHNov660kOZdV6sedr/sFOVsL+HOGnD+tO8onZ1HyV0pB -Go6c457ATVxwms2eQNK3RYI0IFQoJGdPzBTGzXlLphkyyOVySprbQzT9hOQc -vTWCgr9Ucp5C5DwjRs5f+Mr/DOTcQpLze5qcl8bImQaQq4icV6eRs8tibuQN -Hx068cl9eBYD5NwCl8jkjjK8L5ImwLooczWoBLXwp6IBEMouh5JCA3eTxWY3 -OaPkOCBnvi0e5Vb4bYaaudCHN5N8S2zgIxgm5mJNzFuPsZolFPivPRF7KuDy -hxQEIJkf9GX+y7PVMd9r34A26svGg9SGBg+p55puUDNbf68mXblXP0n3q+F9 -TZI9zBlgxgCXAswX+gkDiDmV208UzaujeTqaJnTZffppcAL7UdT4EcYMNuC3 -n6SKnlBHPhnXsEzxKeXHOYNnUOYLhZ5BM3+uEyqf833l88WkfG4diRr0kjYS -yueGov5cKp9PQ+Wzaa+p6M2fR5fI7pP4/PnJxPz5mOT8ebsf5czzZxZw2Cwi -6kiF5fSfYVsgqZ9lB4r0DXJOnfMNlqMHxYo4wtQNI+Doef7hkfOOGpPKIOa0 -AhJYztMNfwUpygwvablSKGNmZUZ+VJnB6RhvRpQZ8iQ+kSPnSZmdltVkKe2h -2IRIQkbYYx0xgoVS5pjbJW2Y0jNCTDhrDOfM0vRnO5FFhhzU873wT6Km0ig1 -gTLDJPIwMq3wJMwOmZLEnJPoQjaDxvoo6BqjHwt3qvpbhut14FYygQ2m48d+ -2FgB9z4Yvzro5b9T4cX6/s7RO+FzNfJUVhNKTtH35nFq8cbj1Yf6+oZUmAND -L1K7L+2mvqg9WH1aa4TaUWWyJuh7cfe2LftJvOa2Yk/6azh0g+sKjqoBGVbr -HRicVyyvtsUeUb9LfcCY0k2zZ75egJ699oaICSpMpJ3Ywe8ATuo5XatJKjlH -+n9tUorFgxTnE5HzFQly/uf/LTm7YjMXwjQ0ousrm5w7bw11nEzOtXCYcg1F -leJsrSg6W7ODNS96LiBnPo5e4tVhH0UpGhFyliaXgJyx3mrFKZ4uz5bA8nGi -KH/96bkagpzv8aXOMKTITvcGuqEES50dOa8jbyAHDi0Xy6kUM8kIOilmkhtK -exwjhw2Xigg6GDS02e8PGWLknGlTKfr/yiTnib8zOb8dkrMTrmUmZzaNhS0m -DxA5z7BDx3qiC7uO1DfTjpL1zTJzTio1bLpM4aWkc5V5c7WpC5PLffw4xhHC -JzvdqJeAnGVm+TMiQYGp+X2SMrPiv0D/P84N6TYA2tm5+a94ys7EDJc9EAyQ -yw/6Ev9FU8kvj9dSP919gfphWK76rlNn9XmdoWrreQ+q9+osU8832qHmtvhS -3d32ezWx0z59uezHywRO7GD2BJcGXBZwSgeXhD2hG31A9RirCXrCPtf7xHNo -OV941BF0+wW+aMdGEL4u8qMWF1izj1FwrDES6BXLAgVH2gZKzJas1D2ZpsLz -50RCpw3q9uXPrUShTSh/viKYP3cJw7qLTLrzNYn58wlu/kz9T2OF/HmG/uD5 -80Ph/HlbLKsu3TvIjhGr4Nju8uo+iOXVsX9wo5RApyk4xAz6PX8GnShFQYKu -jAT9s/AQ9jg/mT93JHxukpv/cJLA56X68wr42R96DRw4cKf+YYH+yLv++uv/ -UCZsH6k+rjE1Atmu5W97BLLDVI3CckO2CSQ1Yo6g7c8ma8hKk2IEJZms4fyC -/1SvJsKazaG9G037tSYxCbQ/mk7OW7wMpljrn5BAR9uVw9E0bbp7JmpN9gUx -dLu9MCYZ1txBjKb9Goxtri7u7a32KYJiDrsBD54iXEYqpc9rjK08h5aOxjas -Z4YgqzEasB1k5wBk5w/GjTbQFDgkLi/oqtoVXIFVcAzZvTQxDdBPhmHFp+lb -+3j1ZMmx6r2SY1TxhuPVV29UUr89erY6CEtzx8vU17ndNXAPwkjFndXHqY+r -3I3XJ1AInHqDkAgERHAMAgkucI3xyBpyu5brjRtQhhF8mMBvV5nGWV2mBuIp -TRcmdSAQeoiZXCxxwDvJ7hVoQMvIrkvA9piDAVF874gihO2g8+F/BdsiZSAN -tmvnjwigQuY2XUuw7RqE3RMkUyYXj+MYto3ub6AFC1PxAG7zifTEYO3nQ8Is -8wwlC0jt5+IIbPPpZEnwVPASTNFPyE7yALYTjYFnOOMLwParErbv0kvwDHKg -3O8aBD3Y9uXRmQq3PV+hPPGj0z7nHCi1MwsXxMHOARlU424Jbw/aaq9wDhyI -5z3HTnDkPjSShR7bh3YPiui7TovDdqfDgW2irAYathssFZS1fGkKbFO2WZrX -1tbN+cc3tbfE7wtfFn2118nsiTsK5dENExVnn1elWaSxCtwsEjfGU4Qj+WsB -th9hvROBkw/af7agvYlvAxotwlgRL/9N5tKHAAW45OFyh3gxuMTh0v7lmeoY -DfbLdA3atzVUe3u1UN+07K52nXeLKq35gFpf8y21+OxCtVBfdo/qy+v+Vt9i -8RUUYMFqOqL3QTSZwGVyE4+uSRoHqnkjiztgGiWj0C3G1gK6XcnqhyidNqMp -l0UF1SvsO8SWQluwukI0SL7tLZNG/hjo4KyrXjbjTNcfcck0zyV8ybRL+HQl -q75kWjYPdkpIpjmY5TzhNXUjawzrKOKR9fFiZH18pFLluHLF3L1dbtHHkfGo -O+k82UK13RtTfIeBbBqh+32G7ni9SnJsrWH7ghTYzvrDUf369eukofr/01+E -z4/ALx81SLM1fNJE/9S/9E911P8/Un/tgP4c0PyIcnD3RzXupsU+qA7MZt42 -C/82rA50vB2XgSQjnzeE+RyBFMTmc1Rn9R8n2XE5StxyiFOZiOUQbGbWhC4K -AoyAerdXz4yD7cvLjn6+KzrYhjKNA5kzOiJVgmg/CwaaXWRGx0x6kDwQMV7Q -gZcR0n4UWA79JDthQUPIgtUjKQWRhgs5tXlcCKdZBiLh6k47xYShdpN8M9S2 -vF3YFVeGjjjUvgS33gBOffV2e7DmbZhGQo4vbJnfLf6LKtx6rNq1pqLao4EE -uPuHEfXVni7N1O6L2qqvcq7W7H2D+uysYWpntbFqR+VpeI7+QfZcKxmB83JT -OvEOSo9gb4fsnb0RwwyQvS1wGFnRa2RT5KpiqDRcIDJyWSZiIOM7k48bUZGi -RKSrOPywgR5B2YoYdKO4OoQLqu3uOk7CBXH3TAEXD5F6NJAHlY+7t+jrYdNh -cfc6wd0bnIIw5O46xN21U/iCzz4ldwNftAt9N9Z2xe0CdcQxeBXL3YOKg+C7 -CHdzUMF8b6Lnkp1QPao/XI7HUZTjYRSBNscj0tTti6pPpsxTnrqcHoiqDXfj -lAXOKZ+tob67sWOEu6lrRV/i20lc7bjbiatDW+IGtiXC1rMSc/cm3H5KW+K7 -5xYnuFue+yQD8JKO3TTuDpVTYVunx92Z4tTZscuxRsjdB5PcPTOMUj887m7M -3O1NN2Pc/UoKd/v70UYR2RRwd21vqul6h7x8Gw+uXIAHdw51sVbEOjbbBoAK -jAY44C5y94O0595nEu6Aux/j4vptLgPybf3xfqlj7s10C8CR/gfkKUDxtOYg -ONdBwStIQd4HKYhm7jcqqZ9frqJ+e7aa+nWuZu57LlA/jmig9vXJU9+1aam+ -yuuJhccfVr9XFVR+Sa2uuko/4QtxBZ7X9As1++Jv1YzL9qrJHfbh5QNPXbhs -4JLhofcNQ510hPkbV86xbOYm/oaU/Vm73VMULgtRS5WwouAl4SZWF9LEqoEn -GYlPrJzWPoyZdtkuOdalGoYhmqzQuls47+Nme2lEmykLzbaM6wwTgmuru4/U -GRadI4IRT6eBt4uY5ihxHnjb5m+b+XKc7d6BPVsyLC8mGTEx0yF/28wPTzIS -BOZ5/H0C2RYlf2cIzYsNvXEZ9q2L4AzvfsEZCe7+kyHn9sTc+KM/4n+P3Kp/ -PIbAG/73d/3jx/WPywPb/6wxk0znD5E78VFa4ZNR0clMj0y9KiFkr7aTF1aP -LI90q8gQPBkZHXcp7vTKBDL3q/j2G09z3T5orKMY4dGpg+2IiT18YqQ1EdrB -ttiaY4ftd665zstMDS05H8XPxQJhoQvBCyc27Mt4zarKnHrkCZVjpzVEU5um -22NRIKm6+XegyBY8GLAdh/MveFKAcgyWAaMcaYfEBLQET4VeW8/WT4JK+pY+ -RW+jKyIFQRAD+CDWadD+UN9KXy87Ve2HpXru2erHUfXUvmua6CW6lfqm+VXq -y4bXqs8vGKI+q8XDbgJuq9E2ChPjdHwHqcMCNwy7QV2irzGe8JnwA9fmxrps -FqDCRi056DaORticeQ1u0s0oN2R0AJKmxw6djJwBYmF7siQKEZIYPjrYs0Ou -998NtjkX8dkyYHs6pkWFsM17MbbqpGYcHAJsm3SoGlZzei1pTmXGwdhiPxWM -LTlceMtpUJwI9jbB9jL9EWZ/bBVDbgvbBbLY1oftUIe9h4oF9gcORo5ERdi+ -AWD7ThL1ST02nzEaPXaJp8f2nYxbwgwQmmmY+u9N1p7gMkCKXXieKHhFK4uY -XdjwPBssKUtdv/dtChlg24qt5PJZVndRJB6n67hMsP31fxm2QytbONV8xFs2 -G1GKmp+YZ7q+Q9g208y0tDw3xQTZgKEo6eqtZXuK+uvNJ2uwh1MBywQKkLyX -cnDmGtjm854XaUCJe07S0q7h4bbeZ/Jw24D2Xz3Q3m1B+wzsyvgJMhaeOdMM -t+89X/00rr46MCRX7e3WXH17yeXqq0bXIGx/dObdqrjK02pj5XfVslrr8DJc -2GiHevLCz/FpDRrtyW33YTMXrKywV4NLBy6bTEqTnqMpAwByR2F8BU4mOi4G -6MYxBbtYKG80oTKBVfP1UIu5GbWYDWzQXnzJzA2XzIjx2++AneynU4cD7wJp -/g7aEBNFlzKFnOvgmwfiI2pDLEpqtAeSMA8sLbfTeWFi4F3CIXtm4O2F7JGW -/+VyqExSu13SWhETTkeXUm2H3quCPnFxzshhe75W+wwaeldS3WsfEmyDimSo -gO2n9fe8yJPtI+GXHxUg9oc17qP2gLQWlkMN/0hDaxGZh5qRgkAzYgYtPMN+ -09oZPzQDF+q08+yM5YjNs+JsL2c6OcNmh3uiuys2w5bDmEyCXBkEwXjt5Uyz -TsCUfMucaU+c7WkFPhTibBFdDydgtvRpQ2BnDFI3V0MDixzKPEnC7IetX6eh -TVWdQJWoI8nifDMOKC/MH4SpDzCEgRsebnY42YKb3DwL4Ma+QK//NfQNfIa+ -eSvicGUW4TWcOsHNVlxwrPpUL9rf6Zvg4MJq6rdHNGKPqav2X5ervr/yErX7 -0o7q69ye6sv616ld592sPq01Un1SbTzac2H494GwQvJcO9SUmCg+EzYD1xlc -Y3B9gWKVB3lWTxKZac8WnYjTg0yxsCRZaknSova8LDFheYRzDlsEz4iN2y9x -fXgcEdl6iYCYmHD/kBD7hUNA7AmE2HdpxA5RIg2xu3vVhx28Z4Rf5NLDy6XO -1khxKuZSM1LczvF6xcdb384sr+eLBniJkJCjKSTkz0FIyJGqCNoJeNASsTra -RIQMiC3Vg6btljw3mkd+fsYg9meI2NOtcPtDKdy2lkdfuO0sj3+P1yBWWk2I -vZHylgqiGTpsefTc4mHMXvMgnzpwAuOuM6gIjeVTu51nORB7eHAEmIrY3/rH -f/8VxJZTiUz6KpkUIe8Lbvi4g3rxnMctNDK4dAiuA6XIyYSGhGL19JbTsNKp -akDxSRgOcquYZZPFEStAaasJiP1UKetHzG2wGDHoz4hAG62ECrKFKRsHQ0Ai -eP3uGTgXhBCGX5+rrn59vJb6dfZ56qdJddUPwxup/f2bqu+vaKl2N++gvmzY -BxH74zOnqG1VHlf52YvUmmrL1fs1C9XfL9iOmA2X28MXfYMDjelt9qqJHffj -pQSX0YhrzKoKwy0YWsBlA9FL8MTFy4VDasEGNV5YoGZ8j/anzqGgGy6R+WJA -IZ6sHBTg7JAURmubGzjP2hdzmwRGWQQzX39wjowrHm+8QV4i7siD6xOtI7bA -xGtdKI4DL7Gz7Z5itt0piOJrJeL95Wy7vphtx+oTK9qazOGHMNtOxvFFtCXb -k9qSjUJbUpxWo0iTjR0UybczEHR7oSIWtWOxfEEROU0+uhFiH2UZOSNiP6V/ -/HcxtD6UefYHZ862CXsmAmpBohd8K/aCv+RBdr6G7C0I2b4wG1P20Kbjp+zB -YMUJRVzloRuwxIUidobdmNPUAIriM+zHLvpKzb04Kc7GjvBWMp/6QGo+dazZ -wyStHXCFLqE4W6atDRNhrJGsYhBnY24EJ66JlM5OYUe4lxvil7nExNngjgZx -diNr8VkaUNQiS1HumItn2EFeiB1Q3qnpaTgqARoJYfZFJBS5DJ8MVyIpGQtP -M4yoh+EjPAUgcBhi6GGwcj+BNhwxrYRpib69dmw5Vn218iS1VxPJD3q5Bofk -T+PrqgODc9Sebi3Ud23aqG+aXqW+atRbfVHnelyuPz3zTrWz8gT1ceW70VK2 -HYUk83HYB4M+J+JeigYBMAeszDZnJ2ATg+uNLWKeiIROzWHzxoQRird5gOc5 -JUWN4l183QjnrK1QFFRhHbOiJrOHLByn68TmmM8SsP1wBtheGIftJv8pbHM1 -3MMZYHtMCmwnD8mx9cA+Ka4U4lSuhWsRcYKdQ1nWlfQT4hS0+gxOZCgcbxP5 -GLbn6qfAEzR4eU5Ye4w78mh8Ciwn0lhvBy1HGnekiB77KNExLqq/SLC9Ww5S -BGwfFDnWIBzBgNWna6jvBwNsj6Rop4hwO9Gg+Cx5FV5K7RxfT7DNneMrqgiX -ZC233Hr5IvVdMh/vO5/mIFMxwwhjd1wyn1+UNFYWJV0dhlamwHYQWllu2J71 -TWQf+nvB9j/KCdt+5JIhqcnUJjqG9HbDLWyjoCpfEpTMsZaC7TBTJJeEIxy/ -Vp3cwiepQcUn2nthlL4HcJZNNXlzKEhkvoHtZ+k2eJ2F2qSdglidfDrUh9ki -XPZwyYN4FsAGQXvFqXg4v0+ItCHh7Lf5NdSvD52rfplRR/04qoE6OCRX7eul -V/B2l6lvmnVSXzbop1fvW9SOGhPVB1UexNV6Y/ZiHMH9Q1+WcLQC2iV4oj+i -LzO4xOCJDZcVyDlhnCFn2/AkxiwSGl+wHM8JSkyFWhcaa5mULrMvs0l++klr -M23tbPvDRJ6tq0szs20zyqLl0+YMvO0vn2vkbDvZrCm1/S6CxoU31qUl1JQF -+fFM6X300j1JxyBbfTG3m22fp5dUnm1XwvkFxqAXV6TmRTfbhsuJO8uxQEbM -tjkPPeagfFXMtkNBicwgkYKS4oigxKX4Cc8MpfiZYFQqr4W5h16Ov159SjDf -dkl+oOmz0K2Bu2ud5DzbiLaP6K4heoj+AgK3+dqRoNT+t/5xF/1zY/X/H4pS -e/uZD5dR/JLZ/bhJlr8EkG17xYVNx8aMnCWKPnjMIoUi5ICU02z0yXv94l8k -FdnUL87TbJYTcmtiGGk9NkOkNQLTtW5CyUUw4TQ7U8d4N1sEs889JVJKQdAF -+Tg53xaIfnFS4LamLik5zc6z02wTNWKXAIqz9rNbX/S8HNIByXY3M802fdN1 -80fo211MszFx6lojKdT76svtNNs4IIGOum2th7dwP71nHqxvX4gLmkigDfvf -RfoWXKJvvU0lx6gP9S20a/0J6tulp6r9QCJw9PjguQa2hzRWe69urr5r31rt -bg7T7R56ub5OfX4+iEhAtT3axJRk34NkAhvGEnJNFqBr8k08aeGYEtjwLa+6 -WV9/BVa1bUUkQqZqptufmek2EYa0hTFdYKEQl8nIzF9xUs7ZC7KvPOaSDHMX -uokwPw+2M/l+DhW217tUmv8ibDc+ZNjmKV4Lupz46XABxi70otiF3kUnm/hr -SqVybrDjTYhfiQzxM93LTwlZoWzGWLJduiP/RFEkKbCd704xJWz77kgjE3Qq -7TOMNJDyWG2bwTMatm/ooD6rN0LvG6fGBSQUhZ1WIlMgXJIbrUtyBe0xIdsS -OpbM8uvC/Mi0cIHpV/IiSYTZ3GipXGvA3Ito79nSNw5PE0k95YbtSIFMGmxf -LWE7dugzKzgUlMaW/xps+xSVyDcVIX58MGhgW1YtytMev0zJxfNcLk56gthr -tL2BcORvtl7xFnYK81R7m5tqP2HGjwDbrJ9604pG/uzU2RRX/GHR0RQ7YoL6 -YHIIhzdwcAOXNihef6TYkd+e0is3qLNnna9+mlhP/TCskdo/IE/t7XaR+u5y -GJd0NrB97s3qkxrj1IdV7sezc5D7wUHMsjM36kuyCHVMLzQ2wD23xdcYswvq -7Snt9uFYDFZZGIWBlBMOSW4beMBOuQfLKfcInnIf8KfcLCi5L5xy02hLnh+D -B8rWcBlpJsbhYubARpdcg8FeTmdku4nt+bHpJbYeKJH56Apn/ANCnnIbQ61s -EjCJ6c08s3ms6txMuTvaKbdUcOf6Cu6tcsp9OjY2YicXmWBuoSk393JNxJzU -43Ce4UL/Ig7KQFAip9zJ2BJjkNm8PWxuBOj+swn+g+jsor/YmQeruHeGLkpx -2GhV3F58tiugAejuWjcVtl/WP/iZYdtMuzGG5BP943wN27kDBgwoTwzJtjMf -TSReh9EiplmxDKlINleRr/NU2CukChun2LIrBkCHpYJmtbd2x0b+FDtzjp+o -JA/Tr1v76ddltSziNDKoE5Md1eH4ZcDtyca9aPqxJxcxssKrrKzw85Su6o8i -rXuuZyqX+mIa4RSbMz7FoRY9GXJjSuz1oRJ7Gimxx2KTYj2MO3ZT7KaU4Wf2 -0N1RQgheHaAiGD/CrQtnmtcU1UI19g3Fp6g79G2KgK1vR6AcuN3e08v5en3b -FIPmT98i0EYApuGDEIL2VA312xwN2RPqqoNDG6t9vZup7zu2RFvkNxd2UV81 -6kNT7VvUpzVARjJO08k0PHMHIoHRH1zHsDmUeYDrsleQjGQj5kmCZOkfZ7NM -lfqdI1NtkCOxUpv7zmemSUg4Obtn8qycJSQxlTY3K9jTj7HusdCV8x/LguwF -GZT73C8Ug+w1/wFk3xeF7LoCshsQZPu+etGlUdg9kBi2icQtNA6gohpO8Hrr -p8B1RRWpS0NDdgmMXThywYxcAC44EnieON98WchSF9OIZQWdaW4QleZ8limt -kDvyxfll0C/zjdfMCCOTM4Q6u5JNyUbIfgkm2jUJsu+whQVSQmKKcB9Bw8y2 -VJX2617fjItU9aNIuOL8/ZQokrDE1Bhfwty/r7ySAZ5hwBLrQbYwLoRdM2FL -Y1nmhd5pkD01A2TP/W9Adsy5sIDSmiIdM3Y5lboAsggXuGbGZL8Mq7NFK6M9 -4RHSkSLTyNi7qDL62gbQuT/LqPg+CKbZcKIDkP0yxY68G8hGCjhyBGxphX/B -43q41E2u3yl4ecOlDYc0sGcEFdSvz1ZXv82rpX7VK/fP0+uoH0c30Kt3jtrX -p4na0+li9W3rtuprvXp/Wf9a9bmG7J01x6iPqs5EsWqhvozhsl1ddbVaUjMf -x22v1vsnrsIOtL9FM/oU8MWwnKS7WWU5XfWW64VdEpTb0i4phJvYfYsVRTzd -Fv0TMeU2jbbY79JcjLYav7cJhZqNlsonr2xqM/XouZwNKKySOUE3TeNEN42w -SorpNh4QFrgI1eZhNiDOLdx0u32YDYjGl2Y03W5M8wvjNHfLayXVB/dtJ6sB -NN1mhZ4/3T4Oxf/3lCT7aUK75IspdklfUuIMMn5HDdWkC9hOWCbDnpqYZTKo -SucJd5e6lRKQXXZatn481JiXoSPmtUQ4SDoxB+LqyvkodjW6DzeSNt5FbleM -6z6MySZoWCTfWVooH46kL42kX3PCcUpISGIk3deNpMNgPljeo/5FOWKUgRDh -Mn9PGMzH2o/PfO3HsxTM92IsmM/4F00v+UaSgslmVogY0kv9yr+rPJuKTwLr -deLgUiZfb5xKSfimDKHeltupICTSE1PgtB/Gv9gc8aZHkRFZX6fvuxuLT0JL -8iSiZpiLwL0DKle4P7bqe+BDfc1/pq9vwA2Y4f34clX185M1jWxvQj118BZN -zn2bqj1X6rX34svUN007qa9zeqEAG0bUu84apj49c5T6pMpE9VHluxE1tlO4 -SBGJsLewCDt7CR6brK68Dg/GESEo1E+OqF9GAfYO1BqZEXWYnv2duvfSPV56 -AqDCuDBQRBZrRFSmg4f6iOAfegtynsLJ6aLT3g5VPvfCZdLI2V4zvyc5+y10 -cYGpT85umU/OU+QSHydnk5TN4zgIEemtSeE6Cu+7hSrHRpdwUvZxlpwfohAR -LtPgtLLXgwq6lXQw6XWaw2xEuttpmcYo1w0nuH6ZsJnR+hpd6e4PIiX7F1Cp -Qk2YJuc9gzuoXUjOU9QO1IPcg3qQD8FTgJZzI7525Pw0Xt5muBH3N25gfyM1 -NZp81HwcYKD3AAPkgzAR2DzyKU1QweQVmF70jb0VrBehdbDMBuQ8Sg4lykHO -UU/C/xPkvCg45JfkTKpam3MakrOp36oH+FPgmhlb0NLK9wR7fZOiaxcg0p0S -suGopo9ebtHTSElsnBg/icwH9xPO4Gja+HqBnBfRxvE9Cg5ZR7SCJzJwyVux -tdCACLE12HRBAwJNd78uqIGO9F9nna9+nlRX/TiioTpwfa7a2/NC9X2HS9S3 -Ldupr5t0VV820OR83lBNzqPUx1Wn69X6IdwIwkxufeWlamXVDeq9mkXqTU0G -QAFwCT7J9HzJt7hJm9pmHyrw4Ak+irUhfYzqjntq8KSDgtdhLoGRudR/zFVF -ZlQdhP1FwkbaidmVVGBCunbeu/miH32dewoLBWbOSvI9rnrZSohkN3qO7KbZ -aEbVjfSl44L+eBjhZzNxRVEY9OfkRDyqTgqyO2+V3TRsHU+OqmGZ7VN0or68 -KqpBxSckRtVjSG6UNqpmfchTQh+SyfvI+pA1ouorn4YYrA+BQUbU/5gf8z9W -TIqyxbiaCfpwybm4xgJBzOlJH1E7oiZmGWG9SkRY85w57IqRXhkj4vggIeLw -YvVo+S478eN7K+sznSD7zeF7BrU0LOnl6ia/0YRvekt7JluiyGjtEpP7RZr2 -OnI3+XPOPNE6Jd3BarmWOltiTiDkyLUZrdwjNc8aJ0wZAgs5JtvlHdoVOfEj -l3AHjo9gRwv3oynb7Wii9ba2pNSPRqjHukbvViEDaoim5hH6HoOlG4qlwSv2 -wrZj8D5Zoe+RLZqat+vr/pPNf8UdIRyzAFr89GJV9csTtdSv95+vfhpP5Nzv -QrWn00V67W1lxB15PdRXDfth/wyoqT+rMVLtrDIWB3YGNx7CU3CQmwJawO4P -REimh2Y5ooSJxM48d5bdM0/ErIu4K9vvpYN4nTO9/Ci+sOfclmoIRJByUe/6 -mf59skVB+tvniSnJc2EM+u9EzkGJr0fO06xatF5ELSqtWeUj51ZehquM+O1Z -5GKv++jlnOdsINIfQeQ8kVIQKObXj9/bFi9vdp3mf3LNjHqpLrXkDEs0F/Ee -79sVU5oZ90Qjr41dEevB9OX+61NAzu01OQ/Xl/BkTc7TkkrqSjEl9XPRpsZN -Vkm9TF/uK0lfRx3nlR05y2QQ3DgGySALxdELO3i9GD4v/tqR82RJzp3EcCIw -pWRKp0yQ80h5hHcws8HgdyXnFRnI+YUUcn6AikVYGjsxQc71PXKWdkVOyYko -qAulgppFTuZe6Ft0urqu+GSyKp6AVkVoY5xCMQ4wCJxLp+0knQZyfp3nzaVH -eTbFUo7bg6RJmDdj8+JJuDGETSFc1tAfDccncGzyr+eqqd/m1UQ53i8zamMS -yA+3N1b7r8tTe7o2Vd+1bal2X9JefdOkmyHn829Sn9a6U+2oNgVnHTDngMt4 -U/a7am3l1ZjftPjsIqSDlxt+rJ7N/dSjZ3jCT71c03P7/XiJ4Snf1ebpzVHZ -UugxkLtwOc0RntDjTCZXl6l7hSEcToBh/vyl1/AYszO2TPQeuwyuRqKbpnGi -XMIIPcDOmLdGlnuGy+pMJ7EUl1AdOrYAbVADjOobkojqs3ZG0gilRvV582fZ -jV6HnLC1vPlzP03QA4pOQO3QUEo8Taqrj0M9neunOc42PM63Yg/f0vhGqR+X -zWKPVRQDyZVfBVZh/SdL0J6tkXrSja3RiD0gwcbE9p2Y6Eo3M2ijsO5S7/DI -uajGM1j5FQ/wSJa+oN4uInsG3d0KQcxLiJhl8YuZM2+3PdN+ELVRZnhzZjLA -uCCP5Jz5Pso6g/McI30uf5CH300O88GD/jDkBpGTF+j15JzZzgpT1BmJpsXQ -JAOB1LS8J9UZxUl1xpJYnOY7dI8adUYuDUbyvPJdVmeY48T6pM4w8fXD9f1I -6oxEwyKrMzoYjR7uWi+kZfxc1VvfY9dpnIFEnjsoPRjuH9h1wr0C9wekA28A -lUYxCO3+oq/nExA3YC3Gc7/nq6lfHjsLu81h/T14qybna5uoPZ1bqG8v1etv -iw7qa73+gmLjy7qDcHrxWa3h6tOqo9QnlSeqjyvPMF7ESrFQaw7/WGWGcDB3 -Bml0ra2eNPrV+n7wB3eehz5EqdiQXefpsmiDCaEsmoNh+FqyVnWRqeeqEL4V -xTGGnFk+j+T8dOhRPQxyDqvtfXKWeTC+9HOy7W8+XHI2y/rlASnkibLe82zy -am99qfXV1AyFMTeJkt4xJZyl5/LDvCw9W+B8tFfgnImcQ+/hJ9Z7COTMcuhT -fO+hKNo9IMjZhFZXQeQwwzom52FEzocuiw6bGj0PoiXnjcb6XY2zlcwgQ3oQ -LTk3/NiKlmTgxxPN/eMXP1MvCLBOKY4ZKQKsyyTn8LYY7Qxlhpz3lo+cFxwO -OadZBSQ5E/qsfcKSc2NLzncLcjYBOEDOnJUkg9Ji3sN2eKQn5NCUo2fsAfUM -2uBg0ARWDyw+ySo17qIMPWpjRLXS46IdRl/7QM5vUozkilI3by4iz5fzHJ6Q -Mm+uZOfN/366uvrt0bNw3vHz1LrGd3hLjtrfT5NzJ03Ol+uV++IOjpwhFfWs -EeqTahP1JX4vKjbgtBuOTeCyXV1lnVpaMx9XZdBuwmoMlyHSs770ZuPseY+a -1mafmtTB0DPMKzyZ9AAz8xqSoeER6BmNJ/yUhh5c6fB+xNRysTfRU2+8LOdZ -rmgm5/3N4sm8Sn8sS8ZssXqDltY8r9lR5sWE3sRx3vJaT3oTgwJcz3VC2TGx -3L0rI7l7fjf62XSZVcZhRd+ik1EqzfPnWyPz58n6kptOg4v7SSgU+hOfKfX9 -iVLBsZjaQVnBsTpQcFiCFvl7nMXkPIoi9HrzCdiVvmujk0tbFQcFg1x1GOR8 -rCbnrTWeJ2JOr0k0Qww3Z17jVSUaEZ1RZpilWVa3yFTpVGVGY0PMz+eJOTMR -M9jGw15yqWdOzpkP2KLdCeGcuZvQM3uGwYOeOgNmg3I5z1Sb2COsyQPaYdtC -oM6wiqowmeNZF3F2mfUMC42qPBdaGgxFVvrIw6oqs7TPdzGpYS/55onWUl4P -8y9vwSUd/MHNRWUi4I1Jl26PsQqd0TTYBKkZznYAZfrr5fsmnDU7aga107P6 -HnmNtM2wPhfqa/yDwr/gmQrs/kA1B0LQnxZlY8jSL4+erX6510wuYOa8H8m5 -ufqu1SVq90Xt1DdNu6ivcq5BxcYXF9yodp19m/q0+kj1SdXxTu9cKfPceY3G -CdA7c/c5IIRN8dD48HIDkUwtjqrnSsUGXHOXuQQP66DqQgkeUtgpzKiMCRnJ -mc4t+Fjaq+C8739Azm/EZ2vlIGcW5tX2EgqGYCtQOjn7VYudEjM2rrc4l0p6 -q9AQpKIaVMQReSeoOzU1jyMD1d2CnB+hk+oFYuBhnC1/xqEbDDqW0xLNqR2F -EXJ2xbvHm6V4fcVA43wKemKdxtk3Ev4oEqhBqfEbOLCAnK/X5FwXyHmSIOdk -XF4pKjaeDLTOzlCYHzEUsu2EBXRsKIQNY0jOr9PG0aZ3kLWEj17mCeHSQwly -3mvbakNyHiOqX+RmUiZIygHFoAy3xSGT87w4OUPHR8vX5K1xuOQ8n8jZr1ps -GCXnO0T22ZCkkbBQ6v7ZSGg0zlcVOo2ziVw4l0y1p2P10fXFJxqlRolBmElk -InyAjs2xwByvfcQUIOd3qGF0VSnpmyl1GmZ4H4fz5lVm3gxpvgekvlmv2P+a -XwOzln699wL186R66seRDdXBITlqX2+9cl+hybk1uFSInBv20+R8oybn4Won -OFSyZ+CRCmwGCyq9gkcm6yqvUCuqb0CCAM0zrMhwOYJwCFZiuPzguAPSqpGe -25uVl+mZtc+36cvr5kGiqpGqYti6fXWoi5sq2js9TdwuKjimoFoqW2v9kt8B -geoNuoz4NDi8jFhDGSZ75MnUakr2cOoNkVq9yak36nrNnW5AwZ5tbtcyG7Ke -JJ/34/TMoKK1Xm5bBlUxPH+uLebPLt2jLx33xfTPYxPz52Px6I8j9dBsqKkA -YhqfK02mV8cqY3j+zAqOLez1lgoOIAt97TJBJ2pjNrvamF0Urcfz5871D4Oc -/3SkKqzxoiDmdGXGukDLbObMruzQ0zIHygzuYXmtnrGiQEk0ELMpPDQDjpgD -MLOeWS/besm++zKK2EiZM9sjw+7xPhabSxYGAZMvPLX4UGaUUcxGl1Cdwcmn -Vp1hlnSmHYzZeE6YfoUXQcZsQPGhVWfgbtYVH1rcEeoMlwgsjhE3mGGIjNmo -Q4MQ1DQX3Eiqqf40BOkp8uzakULqIry3cPBRdK5GmGrqumJQaJyICo0JdEQI -yzWczYAnAPAEsAR2i6WwLmv8AOyAdjmIfISB3C8vV1a/PV1d/arX4F/uqaN+ -GtPAkfNVzTQ5X6x2X2zM3F/nXo3TC6PYuEV9VuMOvQ6PUTuqTDGRHJVm09x5 -vkaM56lZ7g2bgbcW9c7r1QqI5KhuBnDv6Gv1zQs4cFqjQ6Mdtq15gWiMcwWK -os+lDTU0w/V2lSBnus5M7sDBhEvw+pv9VmYZxVFucn4sQs52XS8NtPH/ITnL -2VqSnOsJcuaZc0NBzs2CIF3Tuts1cEP5MzZjOm0giuEgTLcy2VcqorbTZHyd -gBEckpxh3jbHJqDyUi3z7nxy5giOREE5tDMXhe7Aigl3oNQ4731PNOQGSg1E -jherUEhYTbUXyfl2PDbZkT0lEjTN2XccNA2RpPEul81W6xwWlvvkjC7Bs51L -8O9BFMeLjT+mKI5Pba3RPJF2FObeITlftt8l03R00n8WMGUiZy97PULOvSQ5 -W9TZm26e/d3J+e1ykrMsEZ2iGthoGtOIVx/viTCCg+xdhe4Mpr0mZ9NATRrn -wuaiJLE2DgONaulvqn8RKDUqGqUG3QNTqSx0Dg0w4Lzl+W02TRrIeTFd9l70 -Bm0SZcbdl5CbvkLMm0FXB1L9F/Xl+8yZ6l9PGGcg5Nz9NL4+hkofuAGy7pqo -7zs0U9+2uhRPCw0591WfQ/Xt2berndVH40YRjlVAwr+10guYVLoh+321pvIa -vFQhBw9WZbgsFzb+BC/FeUTPs5Ge9+AKPKn9fkPPXUzJouccHBRon293YdS9 -OKaD1BuedJ5iOjoH6aJOvfFPG9OBYdRvuAa1XGpQM0WLTr2BJ8MrRNGi173J -c65kGLXMK2+wOVBvbHHz5/SiRXIPUmVWG889yDGjl9LAItP8+cwgqqOimD+f -ENU/8/wZujp5/vwobuaOxfRbmD/HQqnfouU52f/ikpLyechRmqLgiLoIw8iO -k1TnBtmHQc76z6/xCqU+pxBzpdWeMsPYTTYntcwYSCeTn50D8HVLzK690A02 -dqpnm8QrVpJ65uSceWpZc+buQp0h9cw0Z8ZgurQWQ6HO6JcpAVou5SI7I6HO -sFkIYXaGuAc9BVU+qjNc2ekqUme87wfCe6lKnJ3xaLCcT/c0zTwEgaU819pV -OEmpJx0bXkFOwFYiP8ME1AHCXFd8GlLzHWTNAlvWw+SqBUSBarnl+trfqNdl -6Lj4aOsxeO2aefOpmDcKEwxAiX8tOFP9+qAm57vJnX0zk3NTTc4XqW8vvlx9 -0+xKDLJDrXPd651io7pxCsLAzrQiPoyYkTlnY1MiPRryXTA2N1HVQooNPC/c -jcjANS147QW2KK92XKZGX+/WcS9IQFaOe+S8L52cHxHkPP8Tr36+tb6e/uvk -PMsL6qoXBHW5mvEhXiruxd7JtDGBtw9zBAojSdGCnPsiMVRUg7GuS4bX/dWF -15VweJ1epmnI4YfXmaPBJaV+eJ2rGHdVXB/J1kNYejdkbj3cazXOlaIp0UjO -z2v0eBLIuZ36vO5tmpwnqE+yU7TO0dRo6RJcRKKkt/wWxOxVonDWiOmWRvM1 -mJxdvkY0xE6QMxz7eaWgPLwoo57FNSCKTNCgnsUbVATk7MyzgpzvzUTOn/yH -5Lw4uC+CI721j6cUxpLFa8toizj1RXjdhdZ43U/0bHSz90NHXnYRZzh1TDYe -VqbE9BPVjZqcb6d7gJUa91F3xuMkMF1IO8a3DTlDpsFKOmYBAimGuR3Xids8 -jZP8PI13RA3LC9XUbwtqqH89drb69YHz1c/T66qfxjZAjfOB6/OMO7C9JudL -HTl/1bCPyPu/C49ZYIMIlzas1OCwwrmzXqFhNgdRo+Dhho3dKw0+wksSLscn -vNmzUW7w7ALoeVQPd6nZVOmI9hkTjXhWwfTMl1VC+xz6sdnD75ekySd3w/dN -d0PDZcnsDafeMDXluZC9sdZJ5616g7JCee5lasopF3GzUW/Ak9xI6G+16o3U -1sRI0F1HWeeiN2pc5wKbta62zqU2lc86/XNvKqDF5kShf76j2MQcjaOwu6k0 -fzaVLsfaZVnOn589BAWHzODYHCg4EinTGV2EhqA7HSY5b6nxmiXmjZqYN7CW -uVKgZeaub0vMspDQpMxFlRn1HDHHHIB2ziyUGY+FxEyJYJBrwFHOPORI0zPH -OlNc9uhBrzOFl+5onPMdvsnbzASDfmfRm+IGIKb721NnPKkJh+87dnPxfFBT -cwvuTVnMvSmbRMCk6/7ODbu/bW/KfM+xKyOS6m+eZI8O6yQS5wbisWFLpuYC -Q824fG81+RmWmvXu07RomfOa4YQsMyhn90lapmHnuARVGkepwpKj1Qf6Gv6E -5816PQbEOPC2mWD8ptfhf2Guxrnq52mklhtKarnOcObXwuRrNLtCfZ3X3Wid -6w1Ev8lnZ92O67BRbExF9RzEeZVmx6KgF7so6MobUGWEfeKi6NBLqEOhp7k2 -rWLj4t0YK84CTwwVYK0za+lZ61wOch5A5Ox5WMZKF7hswDU5StwSwOTc8X9N -zg94wp8wfauOMIFn7pPoGhQati6DnM/ES88nZyO2414JTqRjcn6UluenS525 -+3UaamCuBhVMrA36wrcF5CzLDHdZd6CwmoQaZ40Z+ymN7ocgje63hXqjqMkZ -Lvm9g4Ccb9XkPF6T86RD1DovRIuKT87OJej1h2cb8zaSM5hjA3KOJdM9n+cC -Gm3PZ4uvSfJv0vXvheOXVpnJeXRkcJGJnGUqur+hPFRyZpHqDitk+s/I+dUU -cvYLDR058zkMkPOwgJwHeeTcGpP0u/ruwK2tRORzYxpanEeqJbBunYS2rZto -9zialRrkjn2Ern1ZqvKuIWeZRAfZBdsw6vkY3CC6qGczb/5uqdkMQrjij0Kp -ARu//5+894rSqsq+t2++0aYOtrG1qQJEQVHJUEWOEg0o0QBIFAOCJEFyUiSo -gCiIgiImTJgVJWeKShSYMaFiIthtvDrfWWnvtffZ562i1O7+jf8FY3jvflfN -s9acz/z5vvOiH+deGP0wHaZ2PUynHB4YK+eesXLuFCvn1rFybgYJwW7UlFVr -EOKfP6oyOvqw4qTovexZ+LxLspbihyDsnbdnrUblsa7yjmh11QK8XMPzhKcJ -qgHUAlwBF+IFkHYY09tR4sRRz1ezeu6Xkhx0Osdtw1VXuPQhue5r0znuep/3 -qV2F28vSctXuYOe4dW/wtXh96JQR6BzfqjvH5yhsS6BzPG+EYwhKsjfAf3mN -MQZJevBip5ulbaygW+PZL9k7XjNl/3yqx9+w+2d4kpP4FCgEO9g/z1P7ZyxF -5P3zCsK/eAwOu3/WDo5NAQcHIJF2h3paxHCHKUJXQacq5/8P/vt4Ty/vOPsF -N/OHOjmluLvyLlPc7bLlrE7G4VtT1Z2AH0OGsOLwo04G65xUnmg/BgCPWiYL -vN1uwUPOdnnS5Xwj75bOmDNUXZX9CxVjpZKZ06pPlCfDEDPu/cy9o8MvTC48 -j3H1iW4/Uh6pHLzy6OoT7ZHiX9lGcjLTN6rWyjK873KczPTLGuPSmfMtnVka -aMledylnb7VWroUApF5FlSgDyAVYE/koPp+P4XAMfJ4pR/Cid8GWuZiCVbhl -3nwKruVgAuPFL56+Pz96VvTLA0TT+GF67ehfY+LJexPoZd40g15uFevlZpdR -NrBBr2h/nQHolUOHM5A1Kk/AcBVVp8wzXYVp+UDsKszOQ38R+DScfCBAuRok -fRqil2HHASs2c5z2OgrlO80epVU/YaAMvK9P1QjpZf4W061af6hefsrNP/l6 -eXu6XhZaLYFZ0vRyqAC8rWqu1bUQNXhInxU/vwrYWIv2uiKCI43iwWz0MkOR -7uVACg3jE5imcbzLoWO9bMu/KSBVskeVf5s1xYmpevkr1svfsl5O0JvZn/Ej -+zMARfDLg1VJL9cGvTyRfBrZSZ8GfP7trSAOZ2HSuZUpOhu4I9FPaPWy2Ol8 -qsYLhqphR7VP1TA8OonIpuhl+Sk4DUIJkvORUovAxRKXUS+HMI0GNiP2pd9b -Lz/mdl84I3dWUi/vot+EjF1qdR5k3P5tUC/3NLVBkgmEVYWrl2uhNQ66Oam5 -+ZRoEF9bRnOPhZA05rMYWcYXFmn7jt886GXxZwh/bq/4M1LKvgGriNUo8Wcf -HkqWx3p5SdXoZ+ghnM3O5rH1KBMIFSk9Yr3ckfVy84uJwd/gmugz2HLAffCc -UdG+ShOi9yvejmlu2G7Ak4bNBjxhuHNvrLg5fq55OJ1BXcDzhKcJuRNIbD/A -mvlu1MzfsdvZ+jXAlTnmagtxMZo5JS9oGPr6xnen4tX5jbAZeHVm8wW5pNd3 -IjXWdTzbfvkck+Z/mqtV9PMKWeMsPF/ag2vtvE0d+W5h4gY9tZBng5qqxCaX -KTPYXDHr/K3zOUnqMzf26K3zWFWxMkO4dQqyb7l1f3G2zqll4im+jR3Kt1Ek -EH7RzcXHma2zpm+A87lzPdLLxxuNXLZN87azXzbAfBqzWjErttxZLlvudcfB -XGL9GKbD5D3Xj+Hx5TL3mDBfjkezS2VW0e1MjdxyFFTQ/eEDDjuWurKSmcOe -jG9tFtcnZnicuUt8zpznZG7mfZuCaq7PnDn7bQoj/CXjZBaqjaYz53h05roO -XvdWzP8RWvdGj5phVfPFWjUXNGP5IhtmyACegZTmEfx7uIPXGg8wsuBZphxB -Y/cOSZvw5e/TbbRlhmM2rOIwYQI+Oeg9gUzgXJUuuSk3OtSHlXM7UM5tY+V8 -KfJAYQYjzbnG9eRwhjlceVwsPaayT+NuDFOVKJ/GLuXToNDUZpQT8DXot3z7 -Pg0ha4jDeZ5yOM/wmXSqB8VmA9kPNMjuO/xmb5dH5yln7KNN7j0s/VvNcFWP -9ZuV82PlUM6jlUog5dzYyUD15qh3D6+5OB7ZhW0Z1hLoPikkOD8oBshCwYi+ -oYhi3VKqNoXrZmdzJmqhgJB42/akomm8LDQNCEd5ytkvF9TO5o+220zg/hC9 -OVbOB5Vy/v4FpjcrBt3Pj8Wq+dH435JqrJyHRvsqTkSfBnz8vZ91e6yc78Sj -Cfk0FrDtaBH3wYZ6UJ7DNR0pZ5UNrCCVVNupbBCWHylUjecVVcMoZ7YpLVUm -/4Utv0h2oIBdLlbO00Q5X+YdXq60cPORgeNLQjnraqCMyvlrVzmbg7qqav6j -lLNB8frK2S0YBARvLTN6XQ6d+ZLk1Z/NyLZj3KfuPamp6M2QCTwZry3DVOcJ -vH+HpLHH+jNeItkBynk9c74wD8jx10z+DJjWQm6GSOsvQNJ4oCq2Vf04q0b0 -wxTed9ycgxw6q5xbO8p5f+0Bpib2I3A4V5qOdiSY1vBBSJN6FU7pzVnrMcGN -Xo34qYLCgGMIZE9gKsOTXMwTGdUz+zUkKzhBq+feZKqXv/6+ekYH5hhLezbF -hLdnzgtCsv8SzaszxYQl6LZs+lIhOZ6BV7da3Y3XrHeaynLEbamOGaYvJdFj -ebcHbuHxuzPZlyKHjZBnA1hZYg1q72UGL+PMIC0ydGcKbZ2t67kafshRXJtc -z/3jDzpbUEj7tVtTts5zeeusc4NL+TCoc4O+b0Nygz55wzqf/8T056Tz2c8O -XlavfB6NrWe/bhSzoTFn7cR9nEDzhS3nt/6F/BgSLrG5v1gx57jNf6Eek/vi -9w9R7dT2v3ZJFzPsmUNdJjr7N0L1wWr4kYzqjGRmTczQngyp2NbEDHCdLviM -doGaM/ew5cy1T+PMvVpgnMxhP5Qu/XyarzkpdGaIdO/warbzZOkx1MS5LTXj -arzYdFSqGWPchaKaa+GBvFdhpXhUnx6ParLSjef3fzcvN+Ctr2RHM7znrfFM -LuDFHZS8wiYD5jGQx2EWg6zAhEksI/DaB5nA2TWif0+pE30/un505EZWzld4 -yrlxN6Jq1O1Hyrn6UHI4V74NpQcs7GyToJA1XJ/GNvZpgPdIyBqvV6MFnJA1 -nq6n67o/UV0odKC+i7/ohH0YojlbqXDEoWr4MgGU87Wj3dndTVij013lTLSk -z5O0/offT8zv/5hynqKQhko5BxrSLCTJr+aWm3SrBMH5ynhEk62uUjyeSTkP -jBXDjUW02Li12JIE7uC2tHmqL3YZH/9EOb/A4xczgRxb3coBKbeW22YCP1SZ -wJCz+asEvblCdPjFrKSz+QlWzsvhzFItOhgr589QOU+I/02On7Ao55lKOSdp -zm4PykqlnL1sYAWVDRTlzAsQVM7VtXLmGiBD1RAe3ScJqobuQEG7klHOh45O -OStEY6iiGz8oU5VzGNNIH5WfOPFrq5y9zuU/VDnT1yQp5+GOcm7qKGefpqGV -czPDZERnMwZLKkXXFp1B/T9FhDS4TTHowJ90Lx+/4fD9JPszXqEbCyjnjfyh -WADnFUXSEH8GPG9Txc0kDSQ3P51NJ5NHqkQ/Azl03vnRjzNj5Ty5DvaeoLMO -lHP3kHKG9qp+loWEzrop+JEIVT/U+7qC984v49kEni4kuFefU4BPFZ4pfNzB -hx2qZ36SC2L1AJmTOxnuYtRzF08991OV3jfYKKpD2xirWPqyI4O/9rMP2Fpv -3/EsXKzHbdtgG9mLvVRk/sLXd0iyilenHc94TbYWOduXIrw6IG7crYDh/Nx2 -2GrvGnnpfSnJau9k4+AlnBl0O1NS9s9F1RkTqvfPpzjUjVu4mkfvn6fztXo2 -q4iy5gbL4tuw7Lo/JfbPQt+QHfRl9cunnLec86brYGYaMyA/11QpyMiWCzkz -QHHoPfOKMveYKMWsXMyiTErbM2OXSYIx59rogg2ACrhv3BljbV2VIWbIuE7r -M1FO5kuRXuNx5gwjTPJ/ReaLNOf1dCdzjiLYyO/JJAgSdOY5Jv9HqpnGtuBD -/SZAVM35Eka5BOk0NK6bKtV8LvqY+sajenD8e7gFxzTlZecyPWMZNwOCJFnN -Lo082GbsPh6zgPtg37wVWltPpX3zq2dGR1ZVILvno3z3E4L+pLo2l42treLW -EOUMPLqrUTl/VnNw9AlmA2GDMRYtorYLZT5KDVjQFSmyxk4ma2CTYBaTNYDo -XI3JGjVo+aY7uAXIBW9WZIOhObclyaD7t6XHHe4elqpx2OHRScbboWoElLPm -jDrOef9uGNh8kHIu5rthfvmVs5wNLXMrRKu1ytn2PNjLtN8tbPu2L8monGt5 -yvlMpAjAlfqmItsxLBCkmYxCnM/KWWgabibweEvT4AKIjF3bkglkoFFZMoFg -3Icl3feKQYfOZnFqwLl78bnRdwNj5Vzn5mhfpfGxcp7EZI2Aw7mC73B+xDg2 -kj0oboOg6d6uuIOoGpINPDeUDXzXPH2IbWuSsyjn+4M8uoNhHp2cYHq6kBmt -nH2Sc0I5p1UDpQDO/7eVs5z7BloOHSM/hS5DmUBY+GkmYx1c8gmPsS/Sm09S -zuYTrbN5NzubS2hxJwXbr9GNBZSzQ9JgcjPsN/YhSeMkcmoASePNf+AJRZzN -8PH3C3z4LatCbYGYCaxJvSej6pPHrl+jWDk3scoZKaJXxFPbZSF9dM6t0YeV -J2EUFj4Q4XmDcV/2znA6gee7seJWcm3EE/plcTqrnOBDfA1cgIltvgRKVvAy -65/D56caqW7WPd0B9ZykbShO1rwvMC/odnXzjkz1t7ZmxzNclRsHHM/gxWyg -npr1Yup69xXOc6O/+PfG/9wCV9iV1U30pRDcqI64N5i4AR7NZg7x2W0c7MSZ -Qc2sc/fPDdFA1B33zzUZsi/752x0PV8r++eiwP6ZTySyf76T1cQ9ysGBuUHP -waFzg+Lg8Mkbll13jHE+awVdwu2DsoO+tEH5lPOmqms9LzP1ZL/Fill7mYPO -jLLm/krjy2k/s6JlTNd7Zj/7J3tmr5pqpCp29RsAE2Tm4S6Z2ZwGPXdGV3Fn -yHlQOHPKndFZzHXMfBQl097zNFNrZ2HU8FX2NK8O0JkFH7reozOnepqFmiG0 -dKJm1ETXEwW0Gu8Scx0vOoKqWTs0zsMFRx/YNRefgsSZsdxTP4fpGQ8xWP95 -Jupv4G1GEbxNJhvB/W+/2TefgZAuOGFjEcQjVaKfYHtxz/k0gyGXPaJBdHhw -LrFAA8oZurc/hxlcE6ganA08awyevMEoCpIDvc6mC+XRWF48hWZQQt2ujrZm -rccvxvWVdsTvfZfThfK8eJ3BsRHLBzB7Qg8PkF7ub/G5Q3MWyTDV60G5TWcD -+9htx9DrPJng8eikJs3cONBLHzhLL3Q7dMqlnEPMrTTl7JIDrHKeXg7lLFjR -LqbrwSrnlqrOSpTz+Wyqg5PgGUY5D+FRPKZYc+j+hjQB4dBh6Wv8PB/js99z -XPbq0jSOYeVsx6vu2taZQLcxUDzO/wh6nNGpoenN4NQA5QxODVzaaeU8zjo2 -FJPuvay5aAR9u4J4ncM9KNbr/BJ+HDrZQKd7m73OHFN5tbrbve0oZzy5uFQN -o5xbHvB4dBYJqiuBRDkLZMacAr1odqgDJaiczenv4P+IcpYz+rwMylk66JnN -6HPoGPvZwYxibgwsbMlMxhzTnglUmV5FWVG/4n/gSVzozRPif67Hmcjlj6HU -OEEXnoBy3sIe5yLewb1b6HqcYcfhdJ5AIuU5iLXyhx/Qm+87l5Ipt4NyrovK -+TBcCrVyhuaqFh1j5Xx59EXulYaF9AmzkPadNZ4n9hzKB6K/bgU+5zzcO7+J -18H1lci1ARdvmNDI26/3PuUEmVEHzxJMROKjm653z8q5IS2DYhZyuPrCqmOT -UI9xR6x6Ntc/BQ6/1/rmjC9Td7h63mf5q9/AJJnSiiol9W95ddr7bNoGVRxV -uzfo6UlfiufeYOJG4wBxg55iD0N8dvfPoc4Ur7O76DzeP1fC/XMf3j8DdWMw -f+il7Z81ty6UG/QdHM8aB8dxCfJG2AP9J/JAC7tuDynoS8qpnDdU3RCty1Y0 -ZoctV4yjNZMzwyEymwQgKWY488Ge+aEmii8XK4/7Wvp92aRC7J75EL172DOX -0pktfubRupZKLzQ0YF/IzAp4JL8T7c6gs6DX3gY8MIlvy1en+E0XfZLwNBvP -6ZN7PTqzeJrzY8Wc5319Qne29/Wp4louNUNINXfFMkbG9ZT4NyMSZpTBhsKX -ZmNkzWVQzcahIbw5UM1nRX2Lz4wGxW9+KMKOaLE3i+kZD5bQGRze8Ct7iQu6 -lRd3e3cfG73HXSefIkWD982xtNCOOSAa/XT/edGPd3Eue1y96MgtRDQ6eE3j -6NvOTWPl3IITgqycc6/iGTwIqRqfVLsl+rjKrZg5oZTgnbFyvhulRonHpKOl -3BuxcuYObuxC2YVSAr4Q4ctQmHSmRZCxAvCGU2nOnQ45+NpxIeU8IKCch4VJ -zt2gYPiPVM5bWDmvLZdytj0PSeVcW/Zrplt4EC84VPdJfqA1sLCNUc72Qs3d -J4XUlAanQOgYvq7YKuexrJyJQ0fKeYE5+8HIPQE/7p42NI1YReylJQXRNI6h -EgiB6rOceEc1Bu5TNI3PWDmDEdQ6NaCQ+Aw8p2h687/jD8QftMcZ4DEQiAVr -f/zsUTnXvRlt+uDYIOVsvc7CpHu7wvxASlB7nTOkBLM4JZi9nZRzZTclqJXz -c6Cc61qqxgoTknWrgNDur0BHd2ZQzuN1YNsA9F3rf2nK2fZuB5Szh2n87ynn -pIMJAEYXonRRVHPlXjIQI5+mUWDTgd2FplEo6cAK8W/gdJQiQw29+cRoRgk5 -lRZwz/zDDoOOZEX83kE5bxNLf8lxaEqCSnkwJJHH+WT2OJ+OgBjyOFt6Mz5f -9tf9BFP79lph5QxEjYtaR1+17BgdaBor54Y9uffVYyGBxy57Jue6F6u98/PM -QFqLOw54ulAZDz3EcCLBCQ1NVQ33YccwTGchPMNkninXQOHUdVGXwN62ZVBP -5CDpeZx9dl39C6CfOlFk0KT3ebfxPjd+1TKz6rwV6AFWtGd6drF63vhYrACE -uEHe51zt3tjmuTewzNXtS6G+bnJviCrw3RvWTCfEZ1huuMw6697wO1O4s7uo -Gga6bevgadQ6CAYjs3/+u9k/S2+34dZ5++fFysHxaCnkZ/JAgxo5TrHrjkmy -62DUx/8uLody/nOsnNedu8l6mc8uZLZcoPVPnBm1ksyM0hKASxRfLtFjUgYq -M341ip+5m9tlohlzuMjQkCM9ktPcGd5o7jHhsGOmM55mYYGxcrlCj2imo2tP -c2p3tvE0S0MnJQEboITxGjo5CWi/OO2obuCPak7Z1laquT4TmhsnTHXdzZi+ -zKjmpqya63AjYCX8YrwulilD+Y1P5nPgfJUIhLcLUuQtliB5kgrkpPbHSDUi -nsY3vG/+3tz9Yinx4Dmc0K6hEtpENDp4VaycL2tKFOc05XzhTeia+xj4GpXG -Rx9WnIYYXJIbC9E9txtNoU8or/PrsXJew8p5W7ROvM7nstcZ5zJtNbTXWVKC -C1VKcJYo546HXL5Gd5+vYZWzRKIMyTmArO1matIUv+VolPPTlgourqA/QDmj -nZ4NdrYhLamcm/utgfm6NVAr5xaKWquVcxWlnE/BALcQnG8rtgTnWbs1V+PP -eBKxXI0TOB1olbPbtU0gI50OFK5GIh24KdB74tObcd+cZRh04HHGUzdIj2Wg -nKuzch6CNv19FcdnYNJpmrMoZ/E6l5ISlAZBUM6Ak6lMCEZMCSq+xqqa9oS4 -Unh0opw1X6PF5x6PTpW3ah5dSDlf42GOBpainEeVopwDgHNHOS/9vZXzkzZi -khLMTipn6QMa4hnmJJydppybs3LmdCCOZUrJ9i8+DXtPwDw3RujNJX8z9OYl -TG9+kqXFK2wI3UjKebvU/IByLj6B2wJPtG2B66ktUOjN4K3DkCvsOsCi/yAr -Z8h0z2CC88iQcm4VK+cOsXLuTBRRoCLVHkBe5/OYilRxIlNE58bKeSGeVIrQ -7/w0d76uRm8dPF+6DhZxIoXbqtDzvA8/7oS3AdMZnuXMdt/ZFEpg9zxS754z -9KRcyaTn7rJLS2HVJZoGdVfK0zY5iErgFZUcfFOnm9ZST8M6xQTYIN7nJ2zD -meHV3W/bBgWuv+MOxQeYwBdo6UtR7A1wb+wK9XWTe8MuOCQ9yOdBwYYm0oPS -mXKhAe/L/tnyNyjYqv3P2JvCCmOa3j9zAazTPFhimwe1g8NncLyBI54UdNjB -QQq6U052uZTzmvM3p7f+CeKTFTOO1TrvBpkZyQRgYM9sEoBqzwztf8LN0H5m -cWZ4ndm6y+TW3oEGwEFuHZUzjgO92T47o7vqM+kmfSZOC+B+5Wn+2PM0uy6n -NoG9H/5W3oxVc/ylWV9+K+tsElB3mhA/IzCmt/r92baiSlPncr0Q90Weag7u -muMvRghv94m/FOGND2HVPEk4Grs5EcgdJy8wgU5cGoXxLN7LeRPsn+LbH7a2 -gmOOu6dgAUcxqaqUM4HuqcnM1eCcycErY+V8KSvnlmnK+UacwR+fPRobBD+s -OFX1oEhK8GE2hD6N0oJSgm9RShBbBPOojLia0Jz3OjTnFbm01YA3De9ZZMNd -rJzviJXz9I5qPsuJ2u/eHsA0fl85ex0ornI++NuU86oyKudQz8PRKGdpSBvl -oUTtE3RaA1WFlQlzGwpXE66uUgRnVM4wfk+PBsTK+XpeXIxS6SghON/Dpz74 -uIPWtEdZOT/DyvkVHqtrWDnbrm2lnDX6k5VzWmNgwuOsaXQOvZmU86/Luaz4 -PlbO9YagTR+9zkCny9Itgh7NOet+pwfFTQk+6zQIbuOU4GbuQdmA9VWqQTDQ -va2Vs9j8H/XJdC1cMp18QDrKWch0oQ6U3p5yVmfBwao9sO+IFOUs2LAZpSjn -QFlyYgH4m5Tzg2VQzmNYOVuquSU4M5Euv6eCG/BXpFHOjfgcXoNBBpXQsTSg -+FSn9wRO3jOZxig924/yiF7Fvv41tIAD5bzD0JuPy0Bv/gcGXnHXwb0nP0Lh -5SPUGPjzvRmUc48m2H/yddtYObeKlTPyRIWK1C/6rMb10afVmYpUcZziic7H -s4q7d34VmbnYWlVpB7k2qhXH6mQPqhI4kUCz4HLF21jIV8E53FI1Xe81unr5 -bd+54avn0dx6Fup0dS6B+72ebprKHWNVgOoZu1L2ono2XSmgnl/LU2wAe322 -RK2XVdIJ+ADSD6zcG1vvT5Lrdsw0O7U6zN5w+1KGZuxLsUsOS3ymxkGXXnd5 -anqQ9s9Xmv1zlmodpP2z+J+HM1jxNmf//Dezf/YThEu8BGFmBwc8/eOCDg5Q -0B3LqZzfrLE10Ppn98zPa2YG7pnfx1JW6Mq2zX/WmYF7ZugxEcXMp71EX3Zo -z+ypZtkzw9LC6TJxUoB09tNB7Zuuz8zOkD6Tq2/z+iZ4mWGJc1+xWuEOoQXh -airjaeYuobYr9zieZlHNOdLGuSacBMwx95mnVTaAR/RW3Z+tVLOSLRdyZZt8 -TSZUc76rmkmqSKFrHTbSVYnfdoVoYDyab2IT3UT28d/Ft5Nl7DZ6ng2j6+J/ -wDiCLUbJbopVId+I981frqO+k4Ome6pi9BMs3/Bkrd1ydfjml4Otgd/1jOfv -pc2wczuzch5myXTYIMh8DaOcQylB4jpvBK9zxZ3o7adthvRv00yW/m3KotiU -oMiG2TKbFV9jYoiv0Vf1WIWU88j/onJe/Qcq58GlKOdLPZZAC1X6KkS6c2Pl -DKP3nzh2BxSfjCe/YTxux7Fyvp19nlo5LyuxXI1nNVeDA9l+OhDOd3tFOUs6 -cNffoo8UV2O/SgeSx/mMAMc50BbI6cBfH4Fzd/z0F1aPDg4A5XwTKuePwOts -lPM0fMbvs9eZuM6inBcHvM7hlCA1CK5HCCOmBLNc5fy6Uc4llkxX510e8/D0 -PzTK2SXTiXL+ylXObLKbrJnO6kwYUs5pZLpkcDYQPSlFOV8qkRMfCFpW5by+ -LMpZxrL1/vtjWZRzrlHO/Rzl3AGJdJblLAsN6dom5UyNgfD1ODD+erwpVs4j -uKZtKldQ3cPLuYf22HTgC5IOJNkAynknM2+dnm321Rnl/CbvOl6uYKb2j9AY -CBZ96NqGntc55LH793hRzpAQpP6Tby+JlXM7UM7tY+XM2e7cq6P99fqq3tcR -0UeVx8ZTGxwbd+BHInnsaO9Mu44XqfMV0oLZW+LnmxetVq4N8TzDDg8SKbLf -EE/dbE89myRKT+17TqYG05ihZZ7MD+jJLE2D75B6Nt7NwqhJrJ4hOVh/9U7T -4uBzn7HxTNSBc4lWXd1bXe+zdW/MsJBEfJJjDSixNro3aFRLJMWynzUKSUa2 -bRyU9OBlKfvn7on9s/if/4mll8LfGMwVPtLbPTawf57FCULZP4uDI5QgBHS5 -353yOsda1jC+fyOfGmH0d8gtn3J+o9a2hGJ+sTRnRm44AZhoNBHSXGuXNId7 -5nYHDZ95qsfNmJSpM7tXcs/sjF8/BcjKxGFn6FGsm00McU5XUtnmTdud/bHt -zlaeZtvAqTzN3G7S4A3raTbRrLU6E6C/Kp+wjqZ4PLs4MDWecddM1LnaeSMy -q+YC7WvW58CGKrh9FrqS+hedGn8NnoTveDy7j+aqROBj4m1GAt1xxNTfQ0XF -kgq0WRO6+5nuKUwGVop+0m65uWr+QjrwhlxsQcGb3yXNo6/btKTObabSWeU8 -MKNyBhAuOOcIgKsbUV5BbBeBB7bgIg4cS2kpQTlZC1/jfuFrSEpQlLNqEEyS -6ZINsDrVXXbl/OUfp5xf8hQCKOdHzFkwU0OaVc4jucr95igHAYhaOavWwHzb -GnipakGxywvLcr4KGbaAPKKaqoGxcr6RT32jd8MTpZ3bHbygmMejdQl7PVfw -SH1WczVYOW9KUc5+13bS43yqSgcGOM5BGh2lA399uAqdu+9l5Vz/xuijKmPY -sTHB4TqH+7d9rzOlBPNVStBpEMxSKUFQzhXDfA1alLwT5Gs8zHwNbbxbwDEV -rZxnyDhPUc5ue+DhjG0oIeXcI5Ny5lJNfTa/1Atrt3uyPMr5JbXQKF05+1+T -mZUztQIliHQFmkhXl7u2z2HH0mnRoFg5D2GpAe9/GsdP5vFSbiku48hMZ9KB -BL0F5QyNEbsxjcIc52ADCitnaQyEfQco5/j5Asv5J6AhzamRrpzBZ9c+ntyt -21HvdpMunBLsQ5P7ghvJZwcJlcoTDRUJwrCWJwrpFMp1y5WQXBv51rVRw6ZS -4EPvEb4OYiKl1ZdEQWr7LV4Gp/FHnSEg9XR5z1o9G+fGLeGuKsN8nqF3z/IB -95lHrePq98feQ/VseQGsnl8m7kYOtznUi59hfUkOmr7gV52ubulBg+VGQyRt -qa5u0zY4N3LbBi25rhazN7R7A9jPxN4YqBoHS0sPdrDpwQI/PWjpdT1x/1yV -VUY2859Ptf5nLvUZIftnp7fbEuzuYr9oKEHoMzi0g8NQ7BipJBS79uVUzq/W -2W6Mbpoyp50ZPjPDSQCCYs7QaOLumb/z9syx2iilM/u2THvmgeEuQMedEWJn -hJpNEHOk3BmKABb2NEuK1jYIyUg2FEePQ4NyZU3IXPqCcjIBP0N6610UmLPo -g9+AsJrzNGZ/cEI1t8+omuuSai48O37LFRCvf30x4b7GFdNX35zd1jz3aMkJ -ZhyvZhguNJ4UMruZUoF/Q0Po/o1eQpuTgSgj8OZXjWh0s9jjfFu96PtbmKvR -O1bO3eDmB8q5VXQAlfNlrJyvzqCcJ3O8isl0WYtMl2Chz9eosI5ugFk7UDmv -1inBWgS3lZTgcpUSFLiA5WtQknuKz9dQKK5R1yaNnaKcBwTgtUnl/A017vzR -yvl5b7em7PVbPJSLVs6mWzipnIP9gfleC0qB24IiHcM9iy5g3BEsK/5BvWmM -2b+F01ETeOc2kz/wHK5GSZJI9xp7Ptd5XdtFcDLxlDM5NUQ5nxwr51Oiz7k7 -0OU423TgEZMOZOXMHuefV5BT49dl8PRj5bzg/Og7Vs5g0zde54oAV5yKUiLZ -v225zrvxWbuNKDoluJ1Tgluy1jG+3O8SFHRSmEz3lAp8C5hRM50tX0MxndUi -xJxfUnoEEbNfFuXsmU1LVc4Lj1I5v/r7KefQHUaDdd2wdppyhiVeKyd6QiP6 -HAYWnBr/Bk4mrkax4mowU2YxnwUfZ4fSS2yoW0+wW1DO+fzUEw0oCa4G+etw -37GSLoXYW/VAtaByRiod8JzBZ9e5SfR1h1g5t2kbHWhxMZLpTEoQvc6qxwoY -GxWncrb7HkVFWqE8dq+btOB6ZomCawOerjS/PmkSg5/g5XsRk5BAgWAipd0h -m+W+PLnfGJGWGrwl3FfV3fRVpZw/NHHL4W68jdwNKOUB9Wwt97vMZbq+15pC -3metnlkxbFTcZ7PkUO6N7XMdcl2dHda9UZN7B8G9UdtrTkmmBwWg2FOxnwXH -b9OD8HRJXcDzbWSMd7Y9Bez6dv8MJxS7fz7J2T+DhR+Ai5Zg97eMCULL4Ag7 -OJweQv6YbJtb8aiV8wnHHhO9XH8njswgMwPYzAlnRpLNHGo0sQlAuGh/YxpN -iJtxmPzMIW6GbjXxUoCjOAU4PJAC1GPXcWcE2Bmm2cSMYF1I9VWYOKf62jAJ -aDzNKUnAV4R9ntJXL/yM9S9EDdV5XDdpu6xm4M5A5+a0RMNJbUaAWVMpyRTo -pU+o5sKAai46O37DWVH/WJpI7EROgLNKbCLwEZYgq3iJAT2B25Cnf2xUwnc/ -2F6YFipm6aNb7qUKJhkIVRA/Lz0HewOBCPrjTPA414n+dWt96m8dBFyNRtG3 -XZtGX3dqTmyjlh3KoJxvY+U8g8l0opyJTEeLueeQdyRdgpsqcJdgJZIStkuQ -JMRT9VSXYBO3S9Bv4cYztVLODl/jWrcDtrSbYHfgu0xW7iG4g8z+7yhnB8Mf -Vs61Esp5iFlguP2BZVPOhieAyrkqEumuiUfstbCgYLwRmOPGMFdgGo/Uuzzl -/AhDE1dqrsYenQ50W7f3cKGaeJxtA0pYOVuPM5iRYEFHIILvlcfZd2r8uvRs -svfPvyD6rj8o5xvQpk+ODcV1TjRxs9fZcJ2XMtd5RayebUpwl58SzFobq2dO -CWZpvkYhpwQp1mLJdO8ElbM8fzDiGTJdK02m459BB6WcL+c2lO52CTJa/RxC -pfQZlfOEgHKexeHtNOX8sAsIdZTzS3+0cr6VlfNQcw4U5dzKIPW1cubfAi7v -mqgGelpuXIOOJShpO9m0biNXY7flajzAJEaHq8HKeZunnOEDEdOBmqsByvkf -DpEOzidlVc6HB3C2G3iiHVtEX110EZHpgK/RiFOCdZjGX/1mcmxgK8okTgrO -wcL5PVmSUHkCjUg0tSktCIxn6rCSXcceLPKBZ/uEmdZ0IhFvnaRSoGfQqOfA -dVAXw9+kC3pucdu6rzLqWbUKy5S+60vD3YButMs4sHqpaRN+lye1VFpKw0OB -SUOB97mux91wjXUvMLnOcp9zQ+Q65X12yXWy8BiD4MQLDXvjZmJvBNwbrU3l -5ZWMIJcxrvfP8Hz1/rlJoL0bQKOa/3xGrKBP4f3z383+eTj7RcfygmSyItjN -ypAgBJPe8hLN4DjeaVGRHkL4WVzUMEU5YxP38Z5efiFnFw5J3C6jTg7n/oJ+ -DLNd/gIb1WCAzs9EZdbtf6VslyVEMlpn//or9KfvyeCtXbA3W74Nx0mfic+Z -cz0ZwJm7XHfTi5NZrS06Giezl/8zLZteE6CmZuieoBQraa65tIBPabbXazIu -qqHaAOs7G2aB6l+ZwZfRyFZRFVZFrQy08sHxEIZvPMi3ThGYvkKCPsHrOgmZ -gNjAax/aQUlgiEfu88SWWeUBofPkwapo73SSJUDQH5IbHYKJe3U8ca9oShO3 -dRueuJ0xXYIU54Be/oj18gdGL89DOyjp5QCPDkJTFTaxXt5lqBovc4Pgs7Xf -Nb453b292KNq3Kn18iVKJAT08i1l1cvjuSbN18t36Svg/6ReriMZqIReVq2B -+V5rIKwojF5WBOei81kvA9TotPiZnoR6eQgf88biGuJE5tDRABUOHdE0/uxw -6F7mQbkmoJdDXdtaL/vOZkcv+85m1svibP6F/Rm/gD8DrElYuyZ6+Xp6vmeN -IYdzsH9bHM4LvB6Uh1kvh7OBfoMg6eWdqVSNFxRV42h4dFovT2O9PNnXyz0z -6OVQoaasOxJ62a+j/8pFG2SoBvr99fKiDHp5XEIvN0wJnRDB2XafXOHoZSY4 -F1Zhn9LJSHAepiLbt3Pvj6FpsFAwNA2Obm8nvQw5FPg0NI2BmEWxHLoDa/5B -HLqy6mUzvXOIhYTTG6gaLaKv27ax2w7IBuYohzOQRM8dTmSNSuNVPvAeNbnF -XfcCs+kgI7gJ+7jFWSfNVa7TWbVWtfwCn6qZ1sLdD2RRLP9IRVZZMw8IaWZR -FEwTkEnd9S6VibrP3bi5rLq96kkWObQNy93aHI/rDTSu1yY1s1AE7MZtmXme -uQ7tmR3P25VnI2+C05dSG/tS6LkKAMb3bJjMoNc46GYGaaS7rucG2Nnd09Ra -aeoGbZ2vla1zsbieT8St861q6zxV+TbScoNLeV3yaEnm7pTWrJePNxq5LJvm -Y6Pnc/NjxfwO3qNdP4bdLvtEZvFjGBdzrJoX8Nrh7mD7H1GZcaT622WV/Ut0 -mfS2XSbaCpfam63IzCb7p/tMJvieDNsC2FW3st3r0ZmVk7mjOvZJsybSzV9U -+T8mzNT3+rPdK4s4/J9SNlJNaJaiCdowG4nCbuaaUjKB4zigmvMDcKOC5qaS -Db734Fuvl9RQFRFMX9Mz7uYKqqUGpE/vDRiJ0tpaxGUR5JH7m+k6MTQjyWQb -fxw3tj5QDY/UyKCbVjv61zhyNh+RTDZc+S5vFitn8MddRP1TqJy7c/9JMheY -rpw1yflZVs6vU/d2hU1YSIxUDVTO1jNHc/g90/D6sK+cWyWVs85tl6qcM1wA -jXIO7DBEOV8eK+fO/0nlvDAKNaTVdpTziBTlbEMmqBTyu6gclO17sARnUc5S -6JrNYZKTkOBsOHQ8SoWmcfdu4dDRwW45R1if5jXDy2xxW8PhENu1Dcr5WEvT -KLRd2wCG0b0n5GzWmcAz3d4T42yuaJzNqJyXE4MOnc2LoPLnQlTO+0U5V2Gf -RqUJXII5FWvVxOEMYHJQzm87NOcyZgPByF9BNQhWDmUD99hsoPDomKqxXFXP -i3LWVI05vCC5XZYjF7s8urIo55tSercTyhllyqH0OnqtnB/6DypnJys7qRTl -3NeLa2vlfBEqZ919Qqs5Cp9cy7LiFoZ4TeaueeDQLWTlvJyVs+3aPpZHNirn -wj1J5Yw0DZncopxfUcr5qRTlLHuP0bFyvjlWzoOIv/9dV86mtGuD2RTIBn5p -Ut19ov21BzKTTk3vbJsPhKOK5SE9YSY3bpsrrMNEN3wEUi9KEe873lZOZ5vm -Fg6STOyZppv7kFXP3d3oqq+er09Rz3ZaJ9VzF189l8U6tMq9VYN61rQN1+Hp -5gUbbuJ79SZxeT6o7HXa8aw8GzupbZB4dbovpbS+7l7c192Tic9dTGDFQJLi -j0AL5w91ptQg1CguR4i6AVY8dD0Xw1Hx7xgBvzl+5uAaHe1tnf3cYNK34W6d -Q76NVg0rlcOjcWz0TKMCUsz1BHrPub+GGYjMob5sXj7YPfPB6I54jDp7Zr/9 -z8v+YZfJ1Sr7JxY4L/uX6M2+xSVmOGRm6TNRECOfM9fVcTJbzlzQyex0muxO -dJoYaobTnw3XFY9qbqgyj/FlxS9wVeGrnbZDuyZnAOsoN7MtcA3x5kK75hp8 -IakYj+Ez4vd5Ki7xRhdbesZcHsPScfI0W0PfMMe+Y6J88GZgY+vx0Qf5sm8+ -2ds3sz+O6fk/P0pHamlsBX/GD5OJ3ozOZujahkxgj8bRN5fFyrlDq1g5t2Xl -fDkr52uoOfColbPM3xcZ1gXKeSPKCVc5Kx5dXc2jI+mwuPnnCR4dSoZMytlv -e02Zxb1+d+Usl79dv49ynmdHr1HO3JAGrYGsnAkgDhkoINZa5XxRKcq5q+kY -rkfkWlTOZCHqjRCjkxwO3bj4uU7m6CrSNDzlDEGRJ/mjz9A09hynMoFUoYZI -W66S0o2B+/JONJnAT7cwvVkpZ3I2n5lwNqNylp5toTcvr4IMOgR5IUgmVs79 -YuXcYDDWqIlPA2Hk2RNZOWuHM2cDEU5+NNlA6EFZzx+JW1k5E36RsoHFHIcV -qsbb6co5QNUAk/9cXzl3KkU5q8VeqAPFV87X+Mp5ShmU8wPJn8Yfrpx3SPgk -oJyVja5FqnLm30JBC5WPrUXdJ7FyhmN2X/4N3ML4AV85P8jK+SlWzngi3EMN -mTv2HuMo53hyY9e24dBZ5fxtRuUsuUC+GEL/CTvssLlKsimXgnJujTykA80k -G8gO5zr9sX/70+o3R59UHRF97HS/zlLdr5JNWal4SJIR3EZejXMKEKsIfDrH -6cxTW/P30Vkn6pn7UVA9h9j7TB7ws4LGr6Fjq35WUC7Zc78Mkp7laXZkFheq -55VMFXi+GHu6UVWges6L1XOYtpGj84IbVcew6UoRZRHi1XFXN/PqajNxo2ae -R9zgvhRZhCQ8G/lpno2Oic4UOKV0NZ0pdZlZdwGBk9T+GRYlcF7pX0zLEuLW -nUi93bx/nshOUj83GCQ/q/2z+DZAQbcsp3Je2aSQFHMmIjOMS5X7M33Zas9s -FPNF5GKeIXvmo3ExO9m/w6nZv0RvNu+Z04kZHmduJnX/dOUWwC6ek/kyo5rJ -ydyJO+iD+T+kycRfh0iTUdQMLw9rvw6lSfMJJDTnpgavWJrstD6kWvyebbmE -v2vWp79LEmOYds014/cJrYCVkdQ8sAii2ZaeIcWtYpOjEUwLu9dYcmzhBsti -XtC9D1lA3Fr83bDnLAO0gmGA/mQYoOdYBij2Tllnc2Lutm+FfdsHmkuypAex -QOv2o3tfqcr5PpYYj/BJ+5mwcq6Yh9sLJDnj7W9P9FwtVzlLVhvsnXD/I88c -JE6+RTqMo5yDc7j0G+DRKWdOnfwOynl9mnL2aLWZlDMDjjRE3BJrr1UnPrc1 -8DJDrW2hrHBMcMYS1yqchzot6s+qYZhSzlP4Y0+U80Iel8v2JDl0r7JyXpeg -abDpyOva1so5PRNIyvmwzgSanm1yNv+6gnq2wanxC5xboLD4Lq2cR7ByHkP9 -28Cky06jOdtsIDmcw9nAHSobiD0orJw3QPd2Jdu9rakaOhu4kqkaj+XaCqCl -XAIbUs6zGMY/TXegdLYLEr89UEZ8WnvgUStnDQNTOAP703jXUc4GpcuY0HSK -89Eq52lGOdN5kBcdamS3cM6D6coZ5AVKCx7Z1DlP9WvDWTlP4a55ITg/xOTy -lRxEea005cwE561MDi2DcgZzPiS6zQSfpCb44HiC92EeEuw+nAl+uZrgfaPP -aopjw3L4P9AcfrN3fsTbO7/BGcHN5NoAQExVesb4hJnBL9dCuJYvwV7uz2PV -8mWYIpo6tY9Y2HiKeg66nU3L4Fduy6DOpSx1WXWknvca9ayZXMGd3Lr0vGAu -d6U03OSr54WO6S7heN5JbYOgnmuavhTl3tiV5t5INg6CChFmXWeGJoWZdWr/ -XFhVUZ/PwP0zfCwOjJ/89VgYeyJ+NI5igPl4tX++nU+P/v7Zd3CsKDnBODha -lEM5Hx8r5yebFuOe+THjzEjm/owzA/3Mas8MzgysjvommsVGN+HLhajMiT2z -6sy+Ve2Z0Z0x4IgTt05zZ6BqHpWBmBHkzMmo1bcU7WlmcP7DytP8xNtRu5XW -xd9S31Reo5tK3bc0NQNUM91UchP986r/hxd6uSmn8DosS6jMODmCmysDqWuY -S45gOn+fx/0m/4wGxKr5Ri4uBhTuVH5389jb/HDJCcbb/AqHqTbxedswQBk7 -8NF26jr5HLpO1lh/8+EXs+zcjeUDdGyjyXM+twVO41SJdslB13YXpmnIxgJp -RpzJhmSJKGeYu9B/kkE57/GU8y6jnN9SynmnUc44fzXJmRdvoJyXinJuoZTz -Rd8ayZCmnEdncM8ZU2eZlfMXbl47Y3NgOZSzPNFHPYWQ1i3sKmcZs65yZoIz -Bkr87pN2nnJW3SfIoTsLe4ZhhPZn1SAE5/GsnC2H7q+WQ7dHOHTHOxy6N1k5 -W5rGn9B0VOI3BopyVo2BCY8z05sPvpLsPdH0ZlDOwqD7JX7+VFhcI1bOHaP9 -OddFn1Qb7jo2sqV/OyUbmJXMBoYaBLfrBsEK0r2tlXMBP/lio5xXKaoGAPuJ -R8fPXynn+xjOf4/fgdLe60C5wo583R5olHNKe2B/VUChIWC+cu7qK+d7feX8 -ocLoisnu91TOXHqc4DP6ylmo5gM85ay5jHpsNzLLDquc/2GU8wgujJhqIil/ -JYIznwkdgvMeKnbdaZXzXu53/a3K+cfba0Y/gHIeUy/6fpi6GvZsHH3TuRlR -NVpfFEyqgGPjU3RsDMWzy0dn3Yb5wHBS5VGHiWQ4/KrBCggbfvcrep6xGwWa -BT9zSKKzeXJP93tfe7jqefhRqOee4tyAXZ1Sz139jzuV6r7EIT1Leop9oKoH -goi2O216yvGBWtKAodpKF8SmQF4wQXv2eHU76cJdM6809wbBYRziMy9HiFl3 -aZJZ57g33P1zz8T++cz436nof9b752G8fwYHx228f05w60rc/XPIwdGsUeVy -KefHmu8mUkZKAlA7M0xftrdnntXW7pmntWfFzKMz0ZkNXSY9Y9V8lUfLkFHa -z6YAh/oNgEM8MvMIb8/MxAz48uvmcOa+NcQMhzMXVM3kae5kPM3Jt0xqRHz8 -Qi4nJ5I7dl+nt2x8/CuTvSZO9RSr5u2uaq6JkmS4kSSuarYODXirjlmuUMxy -cvI7D7/moL+nf9FpXD31d1s9tVsA+n9mDCiduV/iRd0GPm/n+y45PXdh3/ym -3TcfWcX8uSeprRWTgfedh50n6NSYUjv6NzDowON8A3ucOY/9TcfmmC+xLjm5 -9Ukm291YfMQsunTl/Dgr5xfwlE3KeQMu4nzl/FKacm5iO1CEcoTbi7ZuB4op -GxYAV4pyHvxHKednjlI5vxqo3ymrcp6AS4oabJCzWETp205TzpcyQQDGaWs2 -FGmKwIXMoTsLe4avxcJW4dCdiJb8CRwXuaOEDEbzPeX8GCoIilO/xCriLTYc -6a5tXzkn04Fh5ez0niinxr8Ng47Sgb8CvRkYdPHz/2XRuXhyAZMo7pxBOZ8L -ynkkIm1t//Zk7EH5IJQSzEqmBNMbBFdzGFZ1b1fcyVSNAubRucoZoi/PsIGP -qBr7gjy6BXz+vouXJ/gzSOlA0crZkpytJBnitwcOD+Bzx6uA93Rruusy5yiU -88r/BeV8LRtFr1RE80tsa6ATSamJX5DXxDKiD3TO829gBONCrXImebDUGOys -cl7rKuciNibp7pPfpJyBh8R+OzPFIalyRTPOeLeOlXMH5vAzzdk4Nq6PPj3/ -Zvx4/OjsMdG+yvDRODV6P3smGpRcv/PjfDW0aUF0bWRtx14U+BDEjLfw6cTz -HD/hRxq501vvPe7Ai+Ehynf7Xrv4uY7sQ77RIA9JOzduld3zkfiZHnGfqahn -f/f8wEdWPS9/z+kevuiZQIuawx4AxbHBK4C13mfa04WSg4vjf+R9ztka6Orm -hUhtbhsE73PNQF8KuTcG4oiXxkFDfM7vjo2DdklyiePeoPRgc7V/1v7nC3H/ -fKW3fwbqhrt//rvZP4/h/XOIWxdKEIqDo2k5lfOjLfZkJDJLApC4GV6PCe+Z -nfY/n5uRoTN7tLdnvkUYc2lk5qEemXmUPeWJpxkZXpO0p/nbBGcO3y6PWN95 -ZFTzCpUENLRy9w0LMSbJzwCDXJjQbHpNNls54rIWk7tmV44kKc1WNV9mw9mF -fji7OpJfiNR8anR9keVoTOal3V38th5U5z4cvXvFpQE9J8eSS8NLBRqKEeyb -35B9s01l/wLJwIclGSgzl+98sq0YbLcVSM/vwCSjlh1UvkTN3JqDf7Ny3sLK -eb0o50AHilHOjQLKufXRK2f5ItTKuf8foZzNeyU+KNDEHeUMI3eNp5yfy6Cc -dc9Daco53BrY1iBAL3eU8+VGOevuEyE4w67tn/hsB7JqGK6U8zTuGxblbDh0 -e4RDd7zHoTuOuRpWOQtX4x1MB56A5k/qPUlLB1K1mlHOL7vK2fE4r7A92+Jx -hu6TH+fUjL7rGyvn3EH0hLXXGZXzJJQQ1ussPSgLYjlxH/ag7EmkBJ/KkBLc -gMp5Y/Y2fO6AX3wrwNdYZbq333P4GsSjE+Xs8TWE5NyW/xSIcSlAcg4pZ70k -0b3bPsigpyxFRJIIeD+Tcl5G5RMdjCShYPfRKee05sCyKOfhqcq5banKuR7f -XqplVM6zMijn139v5Syd29XRcPTjHUo5D+eMd3/ma3RpGn2DNNFW3uXQ89zh -FBfPHZiVAC1zu53iFe5PEEV3MlF0C7L4t6B9f02VXca1IRPcTapIYtBmvE1S -RZ6tRxOFqzgoFUpdHTkq9YxX7ymec8NvGbxfcwg+oESVd+W2OxB6rpq7UZ+V -B3E3VHJww4vGfCfe59zNrvc5l2nPmldXL829sWs0qudayr2RiyHwwY57wxbE -knvDlsRq90YH1TiomXW0f4ZGth64fz6fi2M1f+MfuETpX5T0P0tvygTeBWpu -XThB+JeoSTmV8yOt3g4mAO9v7vdlfx0kzcF7m97+cLj9T+2Zb/PcGWl75psz -kZl9dobvzoDOiCBxjpcSQmfWZjjDimH1oZOAT6kmQHYdCTBfXEfBu8l6t8DY -9Jo4iddFyq+v+33UrhkdGmlSpDc5NArEoXEZUsg7GxxuU7W8Ox9vH73iL7d+ -RadH18VvbihzNEwiUHWcgBNIgtmv7j0epYa4NKDnRDe10r75ZOw6kX2zSAk0 -fD5TkUh0YPSUZOC886nzZHptyzOSVHZvaQyExlag5/OdDwnO0Np6laech9DC -Dl1y4zyKc9mU8yZWztRHBW2uxW57YEA5482v5dEpZywazqScVd7kj1PO20k5 -b1bK+Y3SlfNW189plfPkMivnNkY5d3VaAzvzCHVbA2uzcq6KIxNqpkA5D+KC -1uHMFZjIyhn6hu8qSSPSCVcjVhF7j8Oyyw3I1TiGuRp/wtLLPU5j4F9MY6BV -zuxxXud7nP9p04HPK4/zU5WSPduwrAOPM8SrZivlDFb9aiMMnW6fodMJzVn3 -oMxXXucHsRhzt0oJFqQ1CGJR5mZSztm6e7sI41War5GJTOeSnNOU8yGHTCd/ -BlA5qzLNEf2PpHag+PZ/RzlPPsQGvFKUs5wQjXK2tW2+cq4H4zyonDN1bpem -nEezcrYd9E1/J+U8UlVUWZOdRSHp1kBQzlt+o3Je6SlnNBxdyJfDOtReNYI7 -UAYIhx88d82iry9qSV5nznl/yYSk/cAWZcfGp9WHEtEZk4Kwd56GWBn0O1fw -987SiSJpQXFt7KSPwWpuzhsJSTkfoOnITwwSW5SYBvh0dT9KV1IujnruX0b1 -PJaea/eJnnNDtwz6tnx+rtKT0iHREVFknqxwN+TaXX+N7SO2I91n3+rdnXZv -WPXcoBRyXQ3uS6lj+lLC7o3W6N6A/XNP9pFa98bFJj3YTgFJ0/zPF3r+Z6E+ -U+vgwOKTzP5ZCHZjUgh2IQdHo0ZnlUs5L239jsdmVs4MHIsHkn3Zjp/5UOl+ -ZrVndrpMpAFQBay1O6M0doao5u6mBVDjigLEOXFn8JceqA6kkjuqWd6rRElU -r/zL0gRIY9bnZ+QYfoZeUuj3Sm2ARjVvvcc9fWPCdUL8TsfGb5NGrpEhu9xd -M/ma3cqpzri8s5VT3fnkfSWWtVaIv9Ri1Vx8iqqcoq8yAecv5u73xzFSdQJK -jdV7xaVBAIISKIjQFNDtJ3HXCfmbad6eafbNP6ykplaUDmre/gCdJ2beNojn -LXOcxePcsak3bzszDVSYRgMwmf3J+UNiyTEcq9cgX/KfUM5LMihnndQul3LW -G4v/BeW8jMbr1vszKOfxeNKroQpcfeVsuk8c5SwhVmlKa4ZVU0Kkow++c4xy -7ge9D0UUERnB6ahJ/IRBOd9dIlyNP6N6WM4YIs3VeGOvEOmOcbu2xfiZmg4M -K2eokieP8z+tx/kZfvZgUIqfPuDLTc+2eJzx4xGUc8f4Y3Bg9En1YcrrDFxn -7t+uqLnOs5RjQ3pQwinB/FCDICrnTUSmy5bubSHTFSXIdAAqtSlBqr4ivMyn -DtMZTpF3G+UMuK+DZVfOvDT5PZWzxiOVWTm/zsr5rT9WOTfylXPBleYGY5Rz -YRKFpJXzAK5Xw1B3QDkvMxBR1bfNyjnvNypn6NyWjPdC5bmbylzRkSqt0otz -3rADuai59To3uyz6ElusYAcST/I6A9QOhK+HlWAHMoX3znOidyr4e2fii+7E -tCA1CkKtPBiRhMxvm6zY88wfgZrMb5usuAO2HfE2TAesqox31fNho1huVHxR -x/ec2D2Lev7W7PKuMOp5v9njmZZBvny3f8JOdLdhLZ+5Gzujum/63A17SnS5 -G7DDS3qfc0xXynyHXFffkOtkQaLdG8O5EPNmvIaLe8Pun6/l9ODViv1M7g1C -+V9q0oN2/9wipbOb+BtXYexF9s8VcP/cB3tTTnIIdrJ/9gl2foIQHBwNy6Gc -j4uV85I276oEYPwVpvqy7zHtf16jCeyZRTFrbkZndZrj9zY2sGfGVhMYmZ47 -I5EC9NgZfXx2hoSttadZtQCSatbuDI/THI9V6vIhf36oCdDwM5Rqxj75RMLV -U80bWTVvlIaTZd4Xnnf23mnP3qCaa8uJL6iar+be+C5OzEqqJLqZVkAyigKz -uU+smgfGX2jC0YBEYGLkojH0z+7I1S4N7tU2qcAdf7cUunWnB/bNlkSHIFuQ -DfOrRz8ZlhE7NZhGd6iveJybRt90aIZd21+10je+7sjRN9mSGtfHyvlmUs5V -iKUP85Zkxu+onOvH0iFHlm5lVM6ar+8r50GlKOex/2XlrItc05RzqFt4JJ/y -bo5ydolK8JVzD1bOnVOUc0NeNtRCgxHFRLIRA9M/HpGDi2g0juR0lGE5s3Je -yEiYZWw4Eq6GpAPhQ5C4GqScd0nYVTUGQm08dW1zY2D8xIPpwNU6HcjK+Tl+ -9qKckUYnHOeqWJaJWBlQznfWir67VpTzUDqdQExKcZ33xcr5Q6cRZU6gEWUp -P2/xOrspQUKXM18ja5Pt3s7eRVACh6+xB+NVQb5GI83XcMl08GfCMJ3bc+Tq -Ylukmc50PpLaHjjAs/9L/KrbRL+O/msHO3OFmPDgnKiUc8c05fyKr5w3/VeU -MxhBwQQKAALbCESFVaScASIaVs6zMyjnN/4A5QwfgZJWuZOI/JDz/pdcD69j -vkaPeJpfCtdDcGxIIwonVvyst1CSqo5iOONE/mi80/M7L8OPxAJDqXsFC3/o -w3CLmeTo2jiPPgZXmUlOnOfl/g5E++7aySQ/lJzk/u5Zq+fA7lnUs58a7BZS -z/eqjmLTu8bq+fGUXd4rokp2BlVJA50cBN/oRtuakrtJKxNJXKVznw25Ls+y -N4hcRyOfijFLcW8U+OnBy5LpwYIQva4etqfQT8Hyn6V1sA8CS6lBxd8/31ps -CXbi4NAEu5xyKudFF73nsJl1AtDdMx+0e+aOHJ4O+ZlL68xWe+ahqgswwWhW -7oxrPXaGS5w7ZNwZoDC63REgzvmeZq/dpFP8Njs+7nUCeo0+8j7d8boeqYoN -HKpiWsNJpsWdVc01M5y8y6Ka7bitwSbRSvG4PZM4GkV05r7N6wgklNFfohWx -avYD2SGXBhFAiZ3/2WaPACqtU9ivnY3napq1nAwEhvOs8Kw9JLO2czxr21Ou -5CvxODeRWUs8o/21OJUNra1w5naU8x1cHTGPo1Q+W+MolHMdUs6PZ1DOc+RM -naacZcnGMIHfUzmndgiWQzkz/oWCrI/jWA0p5/rb3a60WqycL2TlXM9Tzk7f -Nj/dRH+gOZU0tCxnVM5VMB5CV2pSzkM5EjKOLfqgnGeXEFtAmiCWlbhEuhf5 -Sb8ZP+f1e8nzuZ2Vc7GnnOGcYpXzSQnlnPA4O+nArOgHVs7gcf5ZaHTLXI8z -ocxZOTccQFBb9DqPcLjO1L89lc8oM1E5k4yYHyvn+zKmBPNMSvB17hJch3Eq -6t4G5Wy7t1+vVgqZLscn07FyZtlBZLpvmOnMxqUUMt0YB3F+xO0RvME9Nfo/ -C1iWdANTHpwXRTl7wMYrFiSV88VpyvlFUc67GFrw31HOnQLKuZujnKsmlPOo -Mijnl35H5fw0K+flSjnLNGffHZDpvh+Wg4kV3INcSdP8mw6Q9W4dHWjV3tLp -GnXnPkFybHxW4wbeg4zAj0e8IGbLHmQOmpTS6fzcaxU/bzAjGdeGIdUlPc/S -kkKkJDmd2MSgfPxNSpvm1x5x1XMZziUJ5obn3HDaJGCvt1T3r72Lk1361zS1 -DvLfYf/o2vgJv+mqZ6dzUHlIjXrmUb9VdXXv8L3P1r1Rk8c+9bINwdGfzt5w -989u96BtT7H756Yp++fzcf98lbd/Rv4GV2zeUCy93ZkThA0aVSmXcr6v3Qc0 -/tSeWScA71TcDBiH0zw/s/QA+u3ZiT1z/M5gTDqRELVnTjCaPXZGrzEh4tzh -JHFutibOERr/ioXJdpOLl7meZhO6VmnWZi8VGH6G+arzHEXix3fBBJxmde4h -9/OItUs7VzULeY5Vc37I13w1Ml8SqplNot3Mea8m+urpTZ0ev6VTkaNxC3M0 -/ETgEi5b06VTb+6VulY2gjK7+b3Cv5hUIFLoQE6s5X3z6wS1/X6VNG1XRJMn -kuigdepeTgbeoZ0a5Ixz7nuXNiOPM973OliuhtlSSAvKDbisQ7lRZQyXr01l -5TxXzdqHzKz1qXS/RTlDavYeXzn7d74/UDl3/j2Vc/yEcSmhbfm+ciaFIDES -VznfGitniI9wf+CucPO2/ejrbJYNnQvB6NbSUc49WTlfjSznLFYMJ0fXF9Ey -AYl0/AF4BxuO5vFH4BIOTa9gYOdzjId5jcGKopxN63ZAOWN34M6/o3K2rdun -JdKBB1/7JyFkPI8zpgPZ4yyN28hxvv88rM6EZd0Pd9S2yhngAucOo0oI4TpX -Vl7nrIDXuYL1OlMjSjIl6LdwgyGUlPN2Vs67HL7GK6ic9zhkuqcY9Q+Yf59M -5yhn5Gt8ZyG5ZVTOwwNtKNd5PwvpEXSUc8pPw6k7TlPOz0jdBIFFrXLe9l9S -ztIK1M5TznWNcu7FyhlSskPEcFdsEfx/pHJ+nq37T/AJBQrkJbEyu0bigkh8 -DUkJste5ne606uw5Nji1cj7nvdHuD4xRaUYJ7Z2llft5TguujrZkrTOMZ3Ft -CGvDb4Vd0ZASgwYVk+i2OmiK5DG5coXy33kTXT9fRz2PdNVzwrnBJxNkF5jd -c6CtW5f5OOkrodbZ9JXDLFjrMwvc5GDC+7xFkoMeuW572ch1jntjl7g3BkTN -YgUDT76lau5uW9BDdQ9q9walB2n/3JL5G03YvJSD++cesn8u9PfPZ+L+uS/4 -n1WDt2lQKXYJdvDTqZemnLGJ+3hPLy9o/yHqZHDHS+7P3y47tIy09r+ydJl4 -jDm9XRZ0Z6meDHHaO93ZGThznpPZ0JnZySzeIdufXey8QMmu1gP3/VuxVl6b -1MpCaBatnGvaAGOtvPkBo5UbpGrlsYjAzZwBvJrp4nTgtsURrZUVjsFFhURq -pjAViIyTkJ4hfdqSA1zARRHW0Xy8HbB7zYBF3MBeFBN/VllA1TP11unJLfPT -2YQTeLRy+nS9lf0Z/nSFpta2rRxns6FpNOitcthy1RvJGILS9bLbHFhWvcws -0P8ZvSwXvU9S9PJeo5fhLVt/EZLGQS/TMF2vQiRpeplq4EUvm0JLrwa+LHpZ -w1+c7pPCNrxW0N0n9hlfgxw6shjdwMe3W1kvT+XuB6Jp/MXSNEqEQ0efgNC1 -/Tp3bbs0DdDLrrNZZwI/cWga7Gxe42cCid7sZAKf5EwgPP+HyZ8h9Oaf559P -Bv/bRS/3j4XCEHzKjsM5oZeF5sw9KBW0wzlTg6CiaqBe3mwdzpVs97ZL1Xjb -FGdaHh0D/pt84vHoknrZ/BQuyayXR/YN62XdHhjUy5PKqpc/SgStrF7ebQ7d -uUov11dHRBrxpell3X/yf14vO53bzEWCp016uRKZ9QEOs4gcd9geKGwkTdXg -rIrZgHTglHfLjtEBcDg3SdmAnDcUPxvhJ0BgGbodvivb5gr3c6PV8vDtEDKC -4NXIhom+yzxtsB+t4k7ulfyk0encJJQTtEeTaT7hWWdWZKoPOJzYOCPJYLjV -zA7l2Xc7G4O+TPf9UWd8wp8kNHPHBOm52G5FWLHUX73T4XxZVp2247m0jYam -jS1Je6bnnZlXR0894NnYZT0bzZVnQxOfJfDSyWkcbGeOkAA1T986X4CoxuTW -+VTcOlvXs906jzVb5xOjuo1JLx9vNHLpm+ZjY+V8T4d9znZ5djz6jIvZ2y5P -ESqzomXAt5ezXRbrWm/VZdL/sIlNpzUAmneW0mcingxNzHA4c7NdT8YVvifD -V83ayex4hjxqhsea04RmUs2aNv6k9/6E9kKqub7yZdRRbmb4TquR4mYmFIGr -mimP2l51aTc2YSqrmitE/eJvruv4qD3ajFny9cz3xuzTCW8GSYtChRqwWUBi -z0HXCXVrk6v5sLianyVyEVg7TR4QyUU2ReL6M5hBdyXTmzsBg056Ty7mru1u -SNPYb2ga0n0iBKOx3Ng6jXunRDkv+n9UOduvwFKUszmWGOVs7UWinK3tTVXB -B5RzbVbOuY5yFmKtBcBo5Xx5YUprIB5LKiMAv2/8lAcVn+wSnNmgP5P7hjVN -45ES4dBZ5fzGHskEaprGMUjT8DOBCeW8kSSF42x+PdB7UkZnM1auzYiVc59Y -OTfqT08ZHc7EpPvo7Ftx1QYfg/uY5pzIBlZwHc7FqQ2CiqqRtZ4dzp5y5u5t -yQZa5czPXx22JVS1mFGl8DOYZ0jOrnIWHt0E9XMY6yvnlPbANOXcPZNyNuXG -n5HscEJW73o/D1c51/eV89rSlLN00f/vKudyuJvxmfPH4XdQiPkSK2dhIwmQ -fLGiit5Ry94OR8bKeUgu85Fi5dyjCZFFO7Zkh3N7m1dRPg1Kel9PH5EmH3gb -Ihmlhxu3zUzXoI/FFYZNRx+JyquRJV4Nn83/NmEW4YCS694PF6mpbixHEHPt -FCA8e5RRUc8JpGIQRp62cT6gJjyrZ03KDZCeHfWsaBtWPetGtjBtQ+cF7c5v -CTezxaN/G9/IjeM5E68u4NnY5Xo2fOJzW5UZ7GTse9azgfvBgpYMXdLMurp2 -68yuZ0t9Dm+dh3lb59qNzz5qjwYo57kdP3Z6TGjPfMi2/2kqc1k7s/sI85Co -tcMCDYC6Iko8GX1DxIxxTBM3nDnyZHQNqGYam9qTobp5TP7PbwIM5P+4o6fu -m75qtpRx29HDDZcbkw2XWjVTBnBmQjXXilWzzQDa0ohmhuiiMQSdg13att8E -iC1VeEl3anRdLDWEnjFeQbsE9/kQ97c/xeWsr/IRe6N4M/ZIETFLCi8LaLq1 -3zgDLZ7kg+N+7Sc4Dwj8uVgy/DT/fNxOGFq+IWmwP0MYdJAi6UAzlnpPLuGm -Vn/GDvZaA8fyRW8a20DvQmmxp8KiRA47z2kOVP0n/2c8GqUr5zapynlb+ZWz -LrZ0FQKERGrgkY7xnrtsa2CLRN/2FZ4xX5RzYz7CCQgmqZwdDl38D2karJzv -1Ry6EuHQ0dN+hZXzWqWc8zIoZ/xA3OE2BoaU8yFWzt9zJvAH03sSpjf/JM5m -jMbWYeXcL/r0wpuUw9nSnD8ChzNIh6ypyWwgKmftcHazgbtQTrzI2UChakj3 -dkg5i7wocXh0iCJgqsZypZwfSChnClYhloA7UHzl7FSy9VbK2atlc9oDlXK+ -UoP6/Z/GXKWcTTUbLEz24ei3iNEyKOc1mZRz/NsAefF/RDmXQtTwmwOTypn2 -IT9wixU+a1DOYDkSkrM0WY3z8irC5L88nuqyD4Gkd4tO0QHHp6H2IZAPrC5N -guS8+4Avie96Lmeb9n5aZQRXR1vZq7EBvBqV89irQdAY8Wo8Xc91Oi/VoJhW -drLfKc+502GHUZcowmS1Y6Z7WdXz5ENR12kHXb+GMerv93omPjTq2QBiZNo7 -rLoCw6pDOLmAYtbItZyIuQnH8yZ+3pIX3PygVc9GyUhXt+LVGSTpbRwR18QN -aRv0iRu2r9vun7uZzODFof1zamd3HW4dPD+xf+6lqM+DuHRT96bULKdynt3p -k3CPSaD9T9MyHMZcIvvHe2ZNZvYYc6Y3e7jrzuiVIGYccdwZXadrOvNXLp15 -ATERfSezyf95TYDSny10F8mnur3wutdEEZrXv5D8WnN64e9TtjeFIPB2zSYD -mK+r1lRhRH4I3nURf4HpfhMCd/UqyubTto1djyu2zYB3q/DUcqY1P8dLOcAN -rGdZsctxaaj5KlnADadFX6093WAFZL5KZdrPwvv084CQIJnICRJxavRvRE4N -ZH5CJpCczV+16KgygUzMZ5qG3U7AeXs09W1nay+csD8X80Lu/5ByLpVFF1bO -kB6B7HXbsihnd6+WK+ogoZwfSlHOFjhee+dtGZVzS0c569bATkYp2NOJJThD -bdTVsVq4Jh59ffFDkJ70CD63TWR72qzdmkNHFFv4INQcule5/HId18YLTQNO -KsKhS2YC6SOx1EzgS7r3JNvtPXmElTM7m39iZzOalqaTcv6iUV+UC+hwFpqz -p5w/zAr1oAjNeXEpDYJC1cjUve1mA4mqITy6pHJ+iNGlhuSslXNblhrKGpre -geIp5+td5Twg0LvdfbynnKVYQpTz/KNRzkVJ5fzW5hTlLCjcTMp5Zsb+k/+W -cl5bBuUcT/b9PNkPrP1H6mT/5TGujwcg+b0eVQOygaPrm+5t9OBdpT14tn/b -zazAZO/Lk/0GNvsPd1ut9N4ZUYxlmezci1KZwDEJPh3TncXpLJhFvxd2ZjvF -SwoQnq1Z36rnm7R6LotzY1py99wlqJ6pJ0X7TWni+6y6+Fm/xrtnn7bh93Sj -en7eo214XSmcF6TeCdXVjepZlM1E5NXVZF5dLTbwiXsjJ/8GLzPY18sM9jSN -gx0TnSnMrCtsnejs7ubvnwvPw5+MpT6fGfWOVVFfpG6crHpT/h7VKKdynnnx -Z5j7S90zl0bL0A2AfdmdMeBI0J0hitlxZ4zM5M4Qgks8IqfG7wq6s2/36czq -felOkwfJHQRfaBcvDzQBylfaqiLzzlKpLmst1SXZBvhE4o3p03YDzqP6qlka -AfGmkR/aNYtq7pZUzaoVsLupWDsH38i1RWck6BmSA5SOE/Q2l2hvs13IbWaC -RtFeVQhRQMZPdGls8ffNZ3r75orOvtm96TEpf1w923kimwlxanRo6nic0REH -HmfliNtfa1D0maFpQFMrcz9xOeff9fz56t31KvBdL6058D+pnMvaHCjU/EWZ -lbPOXJdZOT8TUM6LVUgks3KuI7XwjnLu4yln3RrYVinnRqycmeBcSE8aoq1I -cC4mxTBiNyln4tD91bSmLeSnjTSNEk3TOA6V85usnDfzSQU8zpm7tk+yyhkb -A9nj/CZ4nMEE6jYG2t6Tisbj/OvDZ9lMIHxAsseZoDKxcu4tyvkG9joPJbQi -96DAs96XnZYNnEfKOWtRxgZBPxu4RXdvi3LmbGBCOWM28H1Dcl7ukZwtj+4r -KzNYOWOoSi3qEmfu3m4dm09ylvZAf1nXPa2SLf5zUF7l3PA1e95OKudXzMgv -m3Kentq5/Xsr57Kw6EppDrR8JNX/qncingcPKuR/0Uz+e6TNyqOL3piT9DoD -kw45SXJNtI4Nl+h8k+XSVRlrE9/ZipWE55Yl3InymJcRfMPUzNN0z+O9iHVt -SPT1KeV5flgZkRbqnKCibEz14OSa8CzqedgA9SF4Y/qHYKasYFfl3Ah2dC/z -01reBZ1ZYLlG1exIUTVeXlD+BGx8nI1J8NQ92rPkBT1eXR3k1U1yeHU1uG1Q -+lIaeH0pzYx7o5chPpM3tZvTmeIz68Kd3eJ/rqH2z1QEcDXvn/tIbwpz6y5o -fM5RK+djjj0umnHJfnfP7LX/TRRaRvey7JnDKUC/AdD0mYx03RkCGzKjETlz -BxOe5q7gzgA6MycBLwf40MJPKQko0Hv2NF+MdOb3nE4TSQKGenjqvbWdnUH6 -fRGhmZxBMkJXYklErq+at9xn3pU1hLqqGW4ZtZ0e7aRqvsgB41PF2mWFbRV6 -IFedtavyl9VpCOwSesYYkwgk5AAUEi+W0VpClWrSqb1GuTTy47m6W/VqoxNu -B+FsnQzJakAKwGyt4PqbQTY8UiX6+UGuSdPJQOnYHk6dJ8ap0SOereCGa9cs -+qoNU4takMeZbnrQtR0r5zr9OEvCNz0gF4EpFDh02dK3Dcr5HkQOlOqI85Vz -Nb9zO+yI+59Vzhq2JcoZpIG53SnlvI5LWl8LKGcama5y9koud7JyZhhMTW06 -Clr1eyafNBddXmEwivWV8ehspGn0YkTn9fGzBvPRyN1kUJvMynm2Qio+wDBy -TdN4kWkaoJzXs3I26cD4qZewIcmhaew40UsH+sqZ0oGHMR2YRR7nZxnYZXpP -KtveE/A4L6xuPM6wpPv3VFLOnze+NvoUFm3sdTbKGWjO0oOSTUs3kg4qJQjL -N1TOS5iqIV5nSQlS9/bOQPc2Kmew91fOV1QNy6PTVI0nmapB8uLj6CGP5LyA -8aVz2jDJuV2Kcu5uly6Cw8VFXbmUs1coUSbl/K75M2CKjF+2yhlLjH+Lcjak -mbByTjQHHgWL7ndUzpReOcZNr2xz0ytfr7ZMfnji+LxXynQ/K57ugdy3Sgke -hgZBM90b03Rv30z1b1+syBpXkmOjbj/FSmLKKEx37OEO+Z0XeymWZ1SjoCU7 -w3nFd20gn662bbjSE/5Bftr2nEKUjTuEstHJI45q9ay7uQMGJN+54cDJ8Ukf -JMqzhpPfAypnP6kcRz2r8OvjOrWV1j+h1bOibSR6uq3CSSYHFe1ZGty2zbLc -A/yzMNnl1TnEDXJvJPtS+qrGQXZvYOOgTg9ewn82YP98UaIzxVKf6yL1+UpF -3ZD98zW4fz6NuXUnRdXLqZynXvY5JgAT3Iyj3TN7XSbBBkAmM2fuMzni9plM -V5y5WV95dOb9STqzUs3WS6/e1LNuErBxIo0aq2bDzwj1mjyrvsiE4MJuoIRq -hreUvmuWvp3Gvmo2EuMKt5jY9Jvk8tuAd1ENzaDC0RisOBp0zv4rj9W/Wm8z -ywrLnTvWlBBjIYRPbM6jBdxnW+hsjXOV5UNo3/yL+JsXVwsnA0cT6/OIODWg -LRA7pppxx5TKkTSlrcQX0hio3XDC1TAcOljM3c5LOVDOeiuRVM5bHeWcF8iS -vGOUc5Caz8r5d+s/Ka9yVtIgjKktYEbMjrIpZzjXreAPwgdTlLOu7LnNFF1a -u76Gj2vlrI1H8qxb8uLAJzifzUY1CkmDcobz2iguVJ3CmJg5uzWHjlAxmqvx -EnM13tpzHHM1jjXpwGIOwBorv0kHnug2BsYfi4BcdLq2TTpQKWcvHfhrgN4M -9T8/YnFm3Vg5d0DljOYjVM7a68w9KLpBMNtvEFzAoPJkg2C+SQmCcn6VF3G2 -e3sDeJ0rgtc53yBvHb5GrJyfq8OGUL2YUz8DKgD4Msijm9b+sGMOFTDB2EQH -Cpy4D6cq57IDvVTvtlbO6sMyk3Ju4CjnDXb8l1U5byubcm7id24H+0+ae8o5 -c/9JWuf2CxmU855jkrnvLaSchS6KE56Vs3SgoBEpfto/CzGJEyw/QEpQEiwj -U7LfHZuxY6MtOzYUYyPnGm624hSLJAXPGWXISa7fOW3v/JxqFBSy89ZofSVQ -z0nXhnniwSlv1bPlbVBfssOo6+ZW+5h2wVJMSH3Sds/aueGHXxf6XRQfmABs -qCelmbk65idJz05663W3adBLcOVudrtSKMXl0Z6DvDpuGwz0pQCCqWzE527K -vaGZdeH9s2XWWeozxGZc//MZuH8+t3HVcinnyZd/kUgAQl+wQ2Uuy56Z38iQ -QAOgUc3Dw30m6JcfJ30m8na0av464Gm27gzDbvGSgB0kgerdMSw1nCqj6pOi -iOpq1YxIIq/XhBkuuRpx60gLq5rpC4z6dqjrnW4XtdVJO6SaUV7kJ1XzFV61 -miU1V47fwD8NRwOWcsLRmGY6Tv6KsuLBEktrfk65NNY5Lo0/0RIOXRp/5gUc -GT414xOYRZAfOcQmT+1v/sV0S8VyATcSzC2arNPXnlPjiqbRNxc3j5VzC3LC -md6TK8jjbEj5/j1vpHvPU90nbwfmKpUR63vepjIr5z+8cztNOeuSh7vLo5zz -lXLeSsp541Eo50VqRIaUM5RdQiSE+7bzU/q281OCruqMYpRz0XnYfQJh116x -au7P0Q74KBzNSKGpbEKas9sj0u1JcjWQSMfKeatU+gCcPCUdaLu2TzHK+YAo -5zdUY2D80Xgk0HuC9GbjcWblDKalu2PlPLsGgcwni3LuQ08avc5Dgl7nDytO -ItmQHWgQzLrPaRAsDqYEXw13b1cMkemke5uU8zP1kmQ6+RkYMh0XAMxVp21U -zh1dMl24PZCVc6A9cCAr52vTlPM077x9txcD4OWcCdDGfxLAsJdJOdeLlXO9 -o1LOUFY8z4TAj1o557ud25CYdaH8tnO7NOV8PyvnFem4pFKV80Y6r6AXz9mN -kBlJWKM/L6VTyk8LVEpQ7UaODBWvs06xNMMUy9dtLqJmK8XYSE0KSh9KJfE7 -z7BJlvj57/HoSQV8apG6+a1Za/G5b8gW10ZB0LUhndzgeUZYeVM96b/E5z0n -ft4zhbcRoNWNdfpRjk49XykdKanq+QDtC50ArK+euWXwSUV6XrXbVTxv5Flg -OT/1oOJZL+r56fjJcy+FqOfNYdpzfUV7rpcg10nb4EjHvaHVc5j4fBUzEvzG -Qbt/tulB7X/OdfbP4n8W6rPsn89tUu3olfNxx0WTunyR3DN3Y3dGT6/LpJfl -ZkiXiZ8C9BsANTtD95mIO0PYGZncGV0Mp9lz/aCn+ZPoUuWbtz077zhNgFY1 -UxKwkfkCs+RDKoRYZ0CeuTAy1ydp4Tp5muOp5gbbtGqeHNXJs42ANbVqToEP -+LtmbXxLMJsLz8L/99DXPgj7tDkRuFtAt3810IEHuBTicY5NvbjHbQcU4+du -LoIwLA0vFSj7ZnPHUzPV+JuXcSQK8iN3cX7Ec8EZ4id0nnTntsCOzemWF/Q4 -X6M6pq5PbiSwoXVqCvkzlMDWynk7K+cCjEm9Al1TrJyla0or5wf+G8r5zvi3 -MOd3UM5rUpTzS15E5NGjVs61EsqZIiCknK/ywIph5dzDKIXz8LzmK2cwId0a -P+8J8fOWJz5XQRZJOZ/AXI3jkathiHR7XK6GVc7HxRLiBKWcT4w+SuvaTmkM -/LcgZdisZBoDQV6wx5k4zrFynlUDETP/nhQr515aOd/gKmftdRauc/b0QErw -vkRKsMjp3n7RPHnq3l5vurchPpVGpnuByXTPMNNZolTLNdOZ41T3ZlDOIi8m -Kqbz2KtVrKqvVc5+icRvU86f2sVcQDm3Dirn7Yjtz6yc4z8BICG2hJxMfGbk -LnpQzjVw0QZxlrIpZ+Kbi++/7Mr5nvIp53CK5XRDTRKms6EmPVmRnreZ8nxV -vLOmTQneGitnyX/zlP/WkJNaRF8rxoZL6+8VfV63r5cBt1P+Q+FsKL/z2/zh -uNt48vy0oHJtZMHemXtRqinXhurk1p7nhxghA098fiuvJ5bxMfhhqE8qV/rq -uRR0jBeAdU8qzNyYaZ0bXeYp8OKiTxM9yKJ+6JnvDbZU5KB3bwe1VGhqnahn -vLILByHUNKj7kBfF/+DjcT7zEKz3GVWQQ67TbYPk3qhr+lJucNwbzR33xtUe -+9k2DvqdKXDC9DtTaCFT2/CfryoU/3PlqFqTc8ulnCd0+5Iohbr9r3spe+Z+ -ds8ccmcMHuLumTOxM0wKUDeb6MC03jMjp3l/gtMMyuES1W4STgK6/e6hu0XC -9eOpZtg126+uB80XV4NtFJ0i1XyHUc2ya4ZTdq2MqrkX3SXyuxvV7LcCimrW -JtBeWKl2mhmlI+J/kgjEthOG3C4ucbnNQqB7k5dw25RLw82NiEvDztOv9DwN -7Jt/ln2zd8eDZCAwizAZOJRbWaX9pKuw8qlnm5wansdZGlBqD7Lp62qcvgbw -baVJHst5AdaqkZxYHmYXIWhgM9WoQc+UVs7CLkpRziZ9HVDOeMMLKGeSCGHl -3K9U5aykgVbOwimXe51QPsuinNemKGex78tpbpFzkTbqYOdkA/LUyjkHk1C6 -BaU3sZzzA62BTuBVTEg1MNpBLShgSjsZn/iNrJzHMFdjmnwc7nZZzsvjJ665 -Gq8wV2PtHs3V+BOdVxgfQ8oZuBp/C3M11hGqC01Kcm7hD0ey+CtZ8Tgblrgx -EE1Lnsf5R6yOIOX8RZPevGQDrzOlBN1GlNv4pMJeZzyreClBVM46JSh8jeeY -r/EyW/vfMt3bG9kAuq7iLkwJinI2KcGae6PnNZkux6YEDdNZYbzEEDpb4lS+ -ck5hOo/wqiRMe+BQ9dMYZU+UaOqLpUX3yZmU8/6Acn7f1kjwOdsWa+bHP4+8 -UpTzc2T+NMpZiI2+k8kq55qOcr5JKWdpBwoo54J05dzHU87jiukL8s7dLmx0 -Bd9cVqUo572knN8W5awui59vgg9FPenPtG0ozyjlDAU/S6pxSvAClRKsq5Is -OdEh4Dr3sXS6bzo15xZBxdhgetIXkBSsp5oE8bJI3bDUw605G3fyT0Amvd6R -6LSgcm0gRWmHee6vqVZB8TyDMQkq5pc3tM+cSjKpW1kQMjjxPVqdtvLfeo2b -bkH1PLhs6rmn4GOkuSKontVfAU89C0Ws3ZNuxxs990LjUW1guBtybQ9wN4x6 -lt2h8Hdd9ZzjkOvmqsv7jCS5btcY3iWKe2OoYW80Srg3Quxn373ht6e4/me9 -f3ZaBwurxsq5ermU8/ieX7o9gH5ntuNntnvmoYPS3RmDhlqMfWqziUbaiztj -xnf2Cyuhmn1P80foaRZ+hnCabRJQvrY0dS4/xSu/XvW6x6p5nahmaTiRZsrl -npzQwAFPNQt5bhe5e5IODVc1tzeq2e3SFlxXN8Z1UU/72cj6pjF6SnRDEdEJ -xxZb4MBsdb5LepuPN4guMH3mMUujBJdv7NLIc10aRPmE3IjaN7/g7pt/0ZuI -hcwrmlWTSHSxTMDsyAhOBg7ymBqdVM92yw7Rl84dj5PXdftTdyCctVU6UM/T -91U7q6ucbdsUna4J0bUJlfMO1dAqm4iAcm4cUM5tXOU8pQzKWd/uoFx4AMuD -PmVSzl8ElXOnNOX8klbO2xPKGUekNSQ1xOfuKeeti8JeTqOcx/AJjvsD893+ -QNOCYpSz6g8s0C0oOda+X1SNjUhwUjnZQBaHK+U8nRXDXbs9It0e4mokiHSs -Hrazci5G5Wy5Gu8VaOWsu7ZP5a5tVs6Kq6G7A39cyTXzj1VKNqCgco5/Dqic -a3KNZj2rnONn/ZlKCRqvc5VbPeU8LdklaJ76gyol+Lgi061Cg5Lbva34GhXz -OCXIZDqVEgQ5QWQ6ZQRVkiJJpvuG+RqknKcpprOOUwkI155iAsqZ21CCynlC -inIWlBcsWMDIh5Ii/ok8/KEtwEoo50JbfBXLiPoJ5fyqqYktm3KekUE5X49L -Na2c24WUc4GvnM81yrk/f0WOSChnMuXJuF+pliRv4b0F3z4o5wJ4+vE/uS5+ -iKT+E+MnH+oRPNPZkfzkePKYOTrvApsS9L3Og+NJ3zee9Eina0p0OuSOtlGO -Deq6clti1Y4kxe8MH5DvqCz4bkVRKmAoI6UFVyPK3Lg2KnmujQsswlx7nq0x -6TObGGT1bHgbql4+lfUc6ObOpJ5tatBTz3dK2uVAEl0uT137Vc3lfY9SQ0UB -7gb+ZXC5CGuFJmaTgw03uslBNC0p7zOpZyHX3eWR66YZ9waR66g5uabH3sjJ -J/ZzY/wTAoa/MPuZ3Bvu/pnaU2j/fDn+aWll2lMs/7m+43+u2uT8cinncVd9 -mdnPrIqe9J55CP/1vzHgzhhwi30Lac0mrjvjYNR1huqhVMS5kDsj4Wl2koBe -l/uL+iuL3km9AJ/FfmW5qjl3o8cGT1HNcpuwX1Y+eW5IQjW3cnbNXZx6iM6F -WjUzs7lI6HNZ8Rg9DdkqEJtyE4F/i2aVeN5m5jY/q+pY16qewAK1fHuXXRqa -3fz5Rqod1vc7adnGLcRTFInC2gfOjUAvqyHRTa0d/Wt83eh7nQzEG15jbD+B -hqlvOgDtU93wTHZEPM59os+cTYRKB1YGI+gUw9V4JwPxcxebPumGV3blvIKV -M+K4msfKueUXyQ3E76CcDUAAbjGT+YvSV86wbdAlaVo5q1N0y1XUKqVlQd01 -W1A5r1XKGY1tShk4ynmJad7OYTOSRRC5yrl2QjknTyqJFhRzUpFnTriYq3DH -RsHXa6FCVZ1VhEgnT/3uEgtb1Mr5WTYlvcohWLLzH8PGJFLOe/YIV+PPxNXg -D8aPkavBHmevdfs74WpIOvA5tzvwF90duER5nLkBBQ2h02tF/5oQK+drYuXc -tBfLBD8lyF5nqMdEdAx7nf0uwSzdwq1Tgi5fQ1q4twGZjlu4UUZk5zl8jVc5 -PoXKWUygSk44p2xWzgtFUrS2ZLrpEKXqeCjBdA4qZzGEBpRz/xFuG4qjnAHx -P0MWcgEI7mKuj13mKeeVYgIlGWErY0U5b+RlyuqwcsY/B6yct5RROTOt0Spn -ucV0Vwa9Dp5yru8oZ6gV7sfOpREMHZ3CbUC+cnZC4PE/RieRcj7GKGeZ+Enl -bMl0Js1i+Bp8XfRSgsQdpUaU70fzdRG8zv0bxk+dc+CX0XXx6zbutP9C+fJM -UpCn/adQTI/Tnv3OhrNh/c4UlH3QpFqSJKW3DFZmvUx8dWVMep4/sJ5n8eix -ejbGJGnoZt7GpIzqOVZQpdVlhpwbarfYldWzpAa73KN3z59aRoLaPeu2boEx -WuZzPlGXfFW0hnaJdJLkoiz8EyFY86dNKZBNDgqT937PvzrHbe3eOTVArhvJ -dQDKvcH75yasmHz2c1uTHqTuwY4p++crCnR7SuNE/2DVpheElTM2cR/v6OXj -o7HXfGloGaiVr2Gt3MfL/g1ULubBrJVhu3xTOPsnjvdet7qcOb/PBLN/DmfO -OpmDdOZA/q+j6tCh/J+mZhRyh7vAhjxC87q0i4QmgS8314icrYvUauEu9yXs -cDfMtXHDPAybJ0NauY0pIQ6v35Kk5qq8bjiD6BlM8B6tmwG9jpNHjKNZWd2Y -OyfejGKvAEK8brRlPtVmAdWW+XugE3HG2rqamYqPW2ZmewqhSPKAN7M/oy+n -Rbpw50m7WC9LW6ChFCWdzZ/WvN7Vy2eNNTSN95mmQSLC59Cle95keq4+m692 -55c4/VJPsF4WQv5iTy/DzoGmJouDzq7Xze+W0j6364am6+Vunl7ukqaXWQwE -rZueXq4nenlDVH/dmqPSywIlJ71s+7bBwVmb+7bpqd8Q7j7JD3SfOBYk230i -T713/NRBL0PkVcz7lkNnPw0XsoF/WYnLoXuJUYtQiLl+L3VAyCfibtDLHIEF -0QC2pA/9TOBGLxO4WmUCde/JM/an8Ius4SQTeB9/PnLvCYqKafAJafUy2jlN -NtDvQRmDIoFoztKDcifbO+8msaC6t+lQLd3bz5jubTqwrObubdLLmA3MyjOf -i8SjI6pGkEeXa62fEpyyJGe2LHF4aoaHHkglOff1AlT+Gq5MetkCZ0x4KqSX -1TGmtT7G8E+kwdHq5c0aP3qX0stTWC+PYb08zNHLzUvVyy3cMAvq5cqOXh6u -9DL0zt/txL/pm1HrZbLjHQt6OZ/1stmQBDtQTkfsosOj0xMfSzHJguRkA5XD -mWjOuYpJ14R8Gh0hAS53xY6xXpYEOLOT8K7I2+YLVR/KOaPNxE9sm7NcNl2R -icbqPIswlLZiJBZ7Uc62pn6HTxdyOsuNsSWb+S9SlI2OAcpGimYemnJUSdXM -ITO/fu5ye7yfNs6XwQ3eV0jKtRpqfXPv78q1GmvmBg5tgxXSBtLMuaiZJQwj -vLoU2vMOzaub5PDqarBnI3Nfiu7r5sxgfg+ncTCZGWxLN/sCygx2cbbO9aJz -ml6Ievl4o5HLsmk+PhrT+0u7Xdad2YYxx4hN5WIOejIk+zcyScxIOJmn+Jy5 -rwOcOXEy83vwVHMnccCLalZvQrPmGinWXF2f0LyOCc3rPdW8Qb6j5Aoh9G+m -r2xTqlk2zMrqWdMgbVlK7LqB/9+7qpl8Ol3wG8mqZmkFFMQA2z2ROVcJV2/9 -ik/DMgjp09bNgHKwRhmhoFyrDHfOtgNiOAoxtscZpID43GwW8FQbiILjtISh -ng+4ms3eoTodoyVjDXN0TH3yu93IbE/jd2NCEZM0zNUOnM2Ss1bOZsTdBjKB -CZpG1kIvLRLeO2C3VPbOZLdUjVgy1ObaNEYJyPxM0PFl3xDKWGdQznKd8Tul -4LfSTSvnO6xyNpe5cijnBlY5I8LTPP9XPOUsdiT5WIyf/TalnHdo5Uxjr3aK -Dckq554ZlHMzZd63JZjA3qKPxJOiwUXwkUglqePipz6Z4eSz1VFlCUdf5cmL -cn6dlfMGVs475bgC0kHRNN43HLq/qyOL17X9hpUSkgkMNQb+wj8HrP/xek/A -2YzHl3GgnNvHyvkao5w/q5EhG2h6UPwGwQUMj3nAaxB8MtG9TdlAUM7rlXK2 -VA3k0Z3r8+jexYOLlRIaOUA/h/tafmnM/nMC0C7f/nlbIjyVJDmHDthXj+Fj -JcKXRDl/p5TzgVKUs43O+so59w0KANTnguKwcn5aVV5ByGVJBuU8AZXzhca/ -JMGW0pTzRaycGyvlfB4r5zMc5TzW1FtR77wphWXl/JxSzjT2jXLeLcq56ARH -OVPdj43BSrW84dF5CXCMvS7kD8NZHs15hLCTcsmRJz6NTtByBSnwNirL0jme -+N1MPtA0Cfq7Es/l/H72nXx0ma/YdMuYPir7ksxeDZn8r8CBxZj63ws6nRd7 -2Rbs5YYGOejC8AAyhlF3lR+D5Xu9xGDLoJ67Kfyi43aeq8i80pv8gG2A0zAZ -efpOT4qX+ar3JvHF6r2lWXVvhZWS42D18oKgnvEIs4Ah53eZNjhxPJObVbUN -hvpSUojPrZhBZvu6k56NBLOuQDPryPV8TtMaR+3R+FOsnG+99oDdM4c6sweq -/8cBMrN4MvoND5CZg54My5lLOJn1njngZLZ05vT8n6+ahTVXnwnN9ddsdHtN -1kuvySo7Gg2MS78DrZr9vhzXl5HkzemQVK8AXuBi9OT4IanuCi8gISmkZxQT -PWOEWsDZjhOL8nxULd8EK7BWFUDg4g2ygHyklvmJ1k5euImt03aduPvmn4Xa -rF3N9/ByDSTCZMgD1rN5QL7YHbymEV/sIGMN+2aPpNGkSzxHOSlSn5MitTg8 -Vd1mAvdB13ZFPxMoOetkr1SeBKSyaPGGfM+KAeVcU47TZVXOh6yVU5RzDy8A -VRblPNZXzvGcxIysLXi4XMmC0pVzPBNfhZnIoK01m49OOXMRpkRfTWtgWZRz -CLF4uQsmZ8Si3a/VUgTnbHRzglK4npXzaFbOU1gtSK2PcOi0ciYOHSnnNQpU -nlTOnAnMt13bn2w9KbVr++BrfiYw28kE/mp6T6rGyvlc+knoTOAMUc71lXIe -YB3OrJwlG/ixZAOz2eGcaBCcz/ZONxtYqLKBedy9vV0+GrMUj04p59XniHLm -o3VNa1WyPDpl+2zqKmdDcuafhC4q1tgBrZwtjy6zcu7jSAlWzlMyKWf6uExT -zm0yKmemnEPgBeTCBtf9n66c5fcRUs5DTCRcGI2lKedu3KQJvwkAKPXmL8nr -j0I5v8zufqWcd7FyBlb/OyoF7ihn7cp7xXPlPUnQmF/hA3GJdTj/pKb+v9TU -PyJMOm4R/PbSWD23b+6SNZQrz80HXkd7Z5n6Zl8ibYLC7ZdOFMm0+BlBtTPJ -kp3JjmhtRQUxx0ishcn4dGfsRjE5QaZs6F5uRdmYmIZf7OPhFwdbp2sm9exn -BUU9dZmt6+Y/V4ReUc+KmiBO1pUBOq/mjK0mYoJD58U6IH2d95oGN3mO5826 -28LuGxso2jMRN6ZgjVYtw6uDvpSRyb6UXeG+FIf47DUOXsyXfIdZx/tnoW6c -3bRmuZTzqH4HUvfMwzJk/yTubMjMyp1xtddn4rgzysiZwy+nRR+7qln7dRJN -gMn7g34DqJqdNyCBD5f2He7KKUU1K0pzLU81NypVNSsol9k16yIIwtkaegb3 -rENAyskBltiOkwcVVuBZVR+l2wGtS4Nnp7J2miygudmpzYMzP1UDq9Sjyd1O -yERevtqQm69ipwZ0nrRvgW2BNEM9p0YOOTVMG+uFNyXZntk6E0hSArxuNmMd -aGTNsmR8DEadxX3bsXKGlMgqpZzTGlnnKeU8w1fOXT3lrCrSQsoZl2rqHF2a -cu4syvmhUpTzy/Jb8JTzerVI0KytzMrZ79uuxa2BtZ3uk+t5vPmtgYHuE6Oc -NZy8OhOcs5C9hRy6IiA4n2iMSVNZLcz1lLOYk55WZ5Y39tIHoxiUiEPH52rh -0GEm8K8OTWO/6doW5SyNgQrDaBoDWTk/xsp5GSvnRdJ7cr7jbEZpcVusnK8G -5Xw1fxhqh/NQOk9XHWV7UBzlfIdyOM9j5ayzgaCcdTZQUzXeZKoG8ejA3C+l -xJqqQTy6vcyje5d5dB+S0V/JiMWhDhQ+w0xXZZohkrPz0/CVs3SgeCcZg7sV -5ez1bhvLpyjnJRo6856RDrZc04XOHL1yvj/YR0/KeSwr51tYOd8QUM498BZj -vyjTlXMvpZwhCq7LYecq7OgjZvzbpQnHwEE5w0+gWCvnsvDoHH4S51lk8mOe -hT8O76jlNV0p8ii3CAJ51CFrqHwg7Z2vop5YzaXzMy3SFWsmv947i09Pci2S -CFcUUiZswMVR8+nS6c4fOR+Mi7y/AgnKRgb1PFI/eaWe/d2z/FW4xuwgDztZ -QVc9q9T4vcrf6qjnQCNcoNtCfgqmEW6Npm349ITnmbah8oKblnvq+f4oFxWU -pj3PsiSFHcq9sfM2hp+P4r6UYRn7UsS9YYjP3DiYzqzT++cW0dnNapVLOY8c -cCC8Z77OdWcEycwj3N7sXmNcYkYP6TMJtgDC9VllQ+dr1Uzd2a6n2VfNtglQ -cqJNX6KWNNe1o3fNoV4TaQO0HTmWsvIAmzwlIzrXc7grhwZXp9VWVO+gajYk -lYCEKGjG0HvFnFMSYmDxKYyypZE5sZiaAQXhabzNjLHVSIG31NItT9oB1aka -XRpOFtCfnZCr/qeXDiESvuNvvocbWG8X/hxvHoblWKZn78bRdz3Z8QadJ+1a -clugdWp80di924nH+ZMLhiiPczw/K443J2zTxspFxOR4CxGcVyMVf7O+2UH3 -iabix8r5mXhuPqWUs6Brbbba9kmBcnbKHgLKeXg/N/wk9Wj9MylnIwvSlPO+ -oHKG+0tz0xmlyh1c5Uyhj5ddSu3Gx2Ll/IitkY+VcwNfOe8U5WzPLBTocLtP -jHIu6OEp5w68AHA/Fnuqj0V69qdHA2LVLNAYiL9agjPt2RwOXfxPOHSinFfH -zx6U8yYHu8gwLinIdJTzSerj0Srnb5Ryhp8CAmWcxkD6kPx1OSvnJbFyVo2B -P86JfxYzGTADynlMQDljEOrGcIOgUc7TvGzgPFy2AVVDsoGWqvGUUc4JqkaF -jQZtKx+PqJxZPtDyzefRkXLWC7gHmn2uKujhJ/F1rJwtbkBkhFHOoRN2QDmn -mZmuMsr5cFg5h9oDl2pIF/35ALh/q+cFUQoBqbwox5wnPeVsiDPPGCMfGTtF -OS8IKOeJrJxHpShn+m24pBmpu2rJlJkGDM8CcBacHcH3fxJGXIYFlPMCAfYr -5Wyi4HRuBOWsm66kAyWYbgkp52fZmPQ4PfVfHjo7+nlRteTOZEIdd2cySLUI -mp1JS9qZYAd3p+iAszOhNHiyD4UJpPiTEJ+e3Tu/4wBm/Izgi6qPWz4egUS6 -E/8CCJ9ObP7a8+yrZ50TnGcA5t8lKBtyahkfMCmN6HckrJ49DGNv3kcmKuen -eZf7jOqZ2GOmoztVPdtkmAs2t+wxnRfMdfKCop4VSUG6UgztuSy8OnZv7LJt -g6W5NzTxGZl1+W5msJOTGbT75yrNapdLOQ8fdMB0Zoe6TAyZ+eZwb/a1od7s -8dQCmOTMfZPwNJsbg3iafTozd5pop478/26TUM1CV8mL6gabJUk15waaJeXW -YHfNVjXnpKpmWipY3hyf5DAi5WJsk4s36TdJnqxRNReJ2TMbu9X7K3qGkwh0 -kALuudpIBz7V2bLhP0W7SyyES0ofyKVhS4YPrPGdbqpbW4qFxd9s9s0X2JzI -ROV2EyqRutmhU6Nji+grJGn4Lazi1LBkInBqfFI92cSqZ6dBDGRpmsYTuHXI -Mxw6UM40NzdUFOVc4LQGrvKJ+ICuVbe6TMo5kakOKOcbS1POE4/gb0dkQRet -nIOIWlUojJLAKudcRzlv8pVzbkblvJhB5R4xYOdUNqhlUs7q6Rekn1m6GnOS -WPrP5e6TCkY531hkPxgnqDCs1Pssjp+9r5wNh45pGpvUuSWknLXF3/wMNpxm -4OVC0zikaRrPZ1uaBnucESxjGgPPo/gUpwN/4HQgGkHHNCDl3OyqWB70o2of -Vs54mj53mKVq4FNnqkbWVKyWl5Tgu+bJh6kaBQ5Vg58/KucNCeVseHSinJGq -8Q5+RK7ksKwhOTcJ8OhwAfe1ITnPOErlPEwkRIpy7qVAXXK6LotyloqgsHKm -UzWgBYLKWTVrNtyQSTlTaSz9iQgr55xdNzhOJvqz4Cpn+pPQ0gTEjfe/sAob -9k6OrmPlLCAlMest4N/Cw2p5IidHpZx3SP2P1xH78Q6rnBNUjZcrOClB8On9 -yvTRVK8z58K/l1w4twh+J2QNmP7Gp9fRdsU6CRevD0XtnT+SNkFjYJqrOmMf -UB+RUge0yvr12LWBP4GK26O18lcAq+ctyNyalT6I/xIooIzThOVTNg4hZUPa -sCalWPxH+btn/6PRV89q99zd59SJYWnuAaWqPrO+viV293yxCcv6DLJih0HW -kNUzJMTqKtqG7en2/a6atkFdKQ3hZ4K0DUkOatpz/LG5bbbh1dUD77O4N/LG -s7qyfSlWPUtfihA3XOKz3j+3zw+lBy2zrrzKedh1XxEhJaXLJJgC9NwZ1+gU -4HjlznA4c98EOHN8Vgt0mlyydJ/yNKv+7IBDx2HNMdG7bqjXZH3yK8mqZkmH -PmRUc24pqjmUBtQI2+YZVPMl0gqoSM3dDan5Am6GJI5GP8XRGMXNgFO4cFh3 -nCz1wAIvq2WD3w7o3+lQMmz1UoHOvtmjETktgVWtmXP2heR0g32z3Ou4KfCw -JAOxY7sJ5URw69Amnp3t0alxoJndOnyBVKLk1uETvXWoOFGhbdPSgZqrwRuH -rHUE44KZWXlX9JbuktJ92zAv1ZJNYAKaSITKWRxuIRqRKGcGCGhpMGhYGZTz -TEsfgt+Mr5xNBoQlgRCHksp5q1XO60zVj+7blk7hTMq5LirnKfbDMW+UUc45 -u27EcdbUaw0EaJDuPvn/WXvvaC+ra+v/HeO+16jRxF4izd6i1AMHBKSLFRWw -ozQ7YgM79t4L9t5QY++idEE65wAHNcZeKBKFxMQyxm+M7+/Ze6+19lxr7+d7 -joz3j3NjzL0ZV2A/e+615vzMGIZFgnM7AtPvKlXyw4pH40mFah5Fvs4LELno -MTIbi3L21n7BLsZyzPeIq8H2/oU55ZxwNTZXXdurgKvxI3M1XmWuRks/hBPl -7FbYD+8kjYE+HciSgtKB3sR0fqGcj+lfWe6Uc/thVCd/smoQ/NKnBM8zDYJX -ZlOCQTkjX+Mp4WssJL7GXOredpCBAOaa6Yduweq/AHh0S6rw6D71yjnY/r9K -lbPw6EK9GsamEpKz6kDRoC7VHggkZ49pgsHboKuhnDgHOi89JvDAFOU8j5Tz -zPR1OS2nnF157H0Z5XyVuJn2FuU8mlaRnJ7NKecDYZjCbZqonLfzURcXEGes -0qXEmblJYi4xHK7aA8M1wMrZ8ehEOQOPzt0Cwtfw3H7j1aMGwV+eJeX8GHqd -48bxpyuC1/nfRCDFFkFhbDjHhs+Gm42jd2wAl07dAKPj3BnISv+QNcxt0onS -ILZ/zoi/2CTXhpqhgG8vBzLPJwbJ5n8AdMlatExu9mxWLieVqedMw+BgZG6A -ej58PHlfkeIr6pmPw4ewwV8MpOdFSlUJd2OKnkWqDb5nLEDP8swngbOAtOe7 -iFAGvDrpwbgCeHUXkXoeq9oGvdpaoInPcf6sic/avaGZdTt0b7dOyvnMU1cK -Dkj5mWHOfFJuzoxkZuwzubSkBVAR575L6Mzh9zUQvD1PBVUzJAH7mv5sy8/o -MGlOQmhWbYDTTYf2jAlQMMwd7DBrnhNVcwdJg0bJ0AYcGrWimk8UI1tUzYNB -NROp2XvVMwhb4mgM8ao5cjTGEIaImwFVx0mD8zYb2eCjURsog2c9Eeg+gu+l -ZEPE4WanDThvBn/zYzsafzP0a19WKOeLiu8mU/A5Geg7tmne3L97ZVW/nhmm -RnRqfOsS1u1OVPTmL90gThLWl0kRsV9dN7tTkLZhV2e5Gm9DRGpmIRnmlLSw -EsG5JuZDHiHlfC99J2/nBtb9qIEVKfhYLgwAAVTOiN3C7+JR4mb7IaOcTS0a -vTAdZ6b/hEBm9GnZl2NTZlXl/DaYlooj8b5WBdwaGNdrqJwvoUD0WL9O62CU -syLVKvjigV45Hyb0rX0yyhkxMptKIDZa+//s//izSUmIdEvjw5GVcyTSReW8 -mEoy5RhYrsYsrlBD5fyX4jhsp7u2Xwz1P7y+dmHZ31RjID0oPVejNSnndl45 -/0uU89E0WAspwa/E1GkaBBvp3l7WXPM1wh99Hraxco7d27N89zbxNVg5+6Fb -HZDp2PAJcSlEdfFjkkjOLjSLxcQBOJCSnFk+NKUgQirpTQcKD2gGCd6WlPOt -30HvtlHO1tSEyvntqJzb/z9RzpeRcg47mXg+ThbuTB9KzzooQHhVMnMGlTNB -Set28nuYE+q3LM7E5oJWumTJJqF3e2m4DnxAvMENUTbSHSjB6++UM89QFi8N -EHO5CeZuUkJVqpZyIUu/8joDVen8Gt0iOLRL5Ydj9qn8kx0bnrFhkoL7DPSE -um89oe744gYoHpZtTvRH48s9R9E6BjkbMSMe5ieBSxo2j+jZ+xsdhdeBTepY -/u/TA5JWL1A/H+YoH9La5ZPKMx2j1f+R4ghwSPZu6OW+Sf3xXxPwMiV//AUv -M3ytwsucdnqcWY60BZqOwJRQnjO+52T2zOr507DNN+q5n2qNq1etcSEpMzfO -JL16Zv+r5fqCwpJtPvZg3B9VVkKuuw7Iddq94R6hyN6oob4UdG90yxCfsXHQ -zp932Lf9Oinn0aevTNwZtgEQVbObM6M7I7IzoAVQPM2pO2Og8TTniHPoaeYm -QN8cCdQ5z1IBfkZHVs1InZtCwFrff/NGVM2cBp0xIc6aFb1bq+Yao5rdrHlv -mTWf6XFDnWh30C1ZUx9Fv19p8UNIdxrZ4MuGuTJti8pJ9ZtT8cMmUvwQQbYb -C47r8YaNEm8zE+i4HbCu4Q8lLg29o1sxZRuIQ2W6tQkgEPZ01t/cuvLTFZQP -Md/MH/mb6Tq2Dw4M55V9465uObevdmGq5/E0jONvJjg1uPekhW5g/bAZw20f -ynA1Xk/2dNNcAytRPRkq8GohF7IdUjBgw75tTlVLfxSUC8tkgaG1J2XgAThQ -IxOnP0uA3FLK+e5vAu3+wYxyzkSfIl/G47byyjn0bVdXzqFvOwZjSTn77pOz -M/b+obE1MFHOdAQW6WLMoBDcuqWlV84jSDmnD8c/y8ORj0BUzhsSkW4Dv6WO -RDo2K+WV82di9HTSYXPharB8+H4iKec3UuXs69QmbE9d29AYSOnAn2+OjYF+ -HTPOFUQ45bxf8cf+qOKPOYVgUTmz13nnIBGkQbBlrnvbKefQvd0gZDrL1whk -urminNnoOdsfA796scqZh25t40NyQlXlzIO3wHS+tv8PopyrSgcsJj65XDnj -yjqvnOMxCcO26soZg7RdWB6gcp7CyvlNLwk6s6PJQ2wfB+UczoiLPjlorVXO -bdROpppyPkjRGoP/vz0NVFz1VbPiXGwpeKUxGeUsZbHFD3ag0Dlwynk2PiBh -7eJt/tmkS/lN8OsTDGDE+Qn3xQa/3r+ZTod+PZyf9DVJQZV0wT6UkykGQH5n -5dnjtAvmxCOlLlTRPyvmpdjHPUnI/r5Ik0l1uyCjdBlsIXVQFpsF74ZmwXAz -/JPWLj9WLdAca2YrZ1qseVXnxtpsahCbMcT3fI+ZPZN6PvApbBnk2QuqZ02t -Yx9s5G5EpVWb2erXvl/ifRbus5s/h+FMR6+4wPs8L7g3sC/FXTmtvXo+yz9I -bV9KLj2I9Dr2P7sjt/2+HRLlvJ7768B23nALkM+T6K+vLP71q+Lnt+LnlRNP -PHHP4uf/NkFtjzpjVd7RAa2BSRvKhSW8DfBBD7az6YTtTI4OpbT/YdKDH/pV -XD/wQft6KKEWBiXRwe8fZpP3Pfz+16jff9uK8lzx+z/BuDqKl5NqmczPp9vM -C2bQ1lQhpSWGmU9nlTYN6KQdJTWFDvGm0K2CKdSnCDdVq232Q98tERKL79pA -ergjtS44O5blnB3CLzLf10JarHnDrbKbeUeceKKfgiT2ffYbi644oNeNNPx8 -18vdj3Z75O5Y7twdXWOmRNwd4oseXfnCMPR9a0qLuNvjeFWaKHwZvq+T417P -Deh2sBQ73djKnVMPl6htlSMZUK62hV1UprYvyKnt1Rm1zabPL8T0WYroUmp7 -VlTbU+B4oNoG1gCgaMrVdjCucSwgeD9Phhp6ak5ZlG8aPJyaU1QNvVcVLTy1 -a8TiLb25yeLOPcXOqG0fC1jqjsGGcgwmAobmA350+qOwfnZdww/Pr3P93CQ5 -kn7u51sSi8O0DN4XE4U/GxaHe4z+a2yhto8OalvW2MLkYH809nVT62BL7Ou+ -Cfq674a1NssLy+R4ExDokcnh1TaZQ98Ftc0r7pRm97nUsKUNKiu92r6B1DaX -cPKa+5Jcg8pQo7ZPTavYhlm1PW6NYNK5cRCPCa+2VYSA1Hb/amo7lHKSOXAy -xWnK1PbDhdq+P54RBxqYy2fkMj9gi2r7TJ8d0K/R48T8h+6nyEZHtb2LL5p1 -URkXMOchjDP8XUnXAg9guGRWNQ5Gtf2BRATW1zQ7fnDixhLNTS4S4LDnkCsP -Pr+dI8cUzEw/eY5p+8q/x9ZU/nUm9MweDw0Azue3H/j8pDsrn5BJPdLnk0f6 -MnMsbocK+4ehVOg54UJLxpydHi34KNDKhp0eQLcT3588OvFmwJSh7vK+6kDd -EKD40KS4G+vzbpLbg4ln14e4wCCjuOO8+otkvunSNU1R3O42aZ9hdYQrxfho -ZzDpDHubSXXNDH7pWnZ8FMqrU452x46PeZdA2wrT7s72R4v9tczr6FocsVLi -HcysS9X2//m/6w8dOvSwQkj/f8XfdH/9P/5vr3/SySef7P5iUPGvexX/0Z+L -nyeLnxXFz2YjRoz4n0Z19x8rp525KuHbnWTaB3O0jiNL/CDijr8ByODeD4LZ -w6C5kRDOXh/33jpgQtTcMXuo/T7y5ir+BLTzjnkgdkwpIYVPt63dVnNjzvRG -qGdDJ/XY4l9j/pCd1F3JShqRuUdTl87hupGwrg9RorsBJbo1gY52pAXg1pWR -RO7g4Mm4xZsohMF4dlPTIhBr2jzzLta6BuYdVbR9RAmUrC8E2wkzG8H/0ijv -FzfXYGI0+el+vhm2gn7Cbdh3kEZZPaB76K3qU3xte+nZxvJ90BuCXa+nC/+O -XdWftro0dFe1gO6qZndDGoXHfC+Y7qopMt5zOW7U3a/vRbobt4FeZOjeqjtz -uhuX4KbhG3X3KNj+OVExvFHdDYKiJGzVqO5+L+jumqC7pVdi6lsAMyrT3XeB -/Q1198VKd8eaoZPFORp1N/auUO6qLhPF9bp7J9IX2xS6ewvP/JJyTjoGPlSw -JDhJUwZeIHkgND1YpEB3w7InMPD+rEgeXG6/nNu9QXeveSOSPKStkHX345RH -xHZv01borVMOcjOmU6G7+yvd/U3bk3R7YaG7v/RED2j7FoGRa/tmokfU3SGX -+KKM9jQLbwahdCmURUUUmoX3oWHhxaco5xIDC49tU6HOzYWzLNqgtLmQ7aV2 -QZ4JHwgUbByVErirJ9Hd34XxjtHdB0HTd9/nl2nd/daCSi3r7km/X3d3At3N -dVxBd5/vI+vthRMZ/VNhC3Q02AdzutshUd1YZhd6j25d6O4tC929mZQI+Oot -GMew7kaK9MSQzXW6exY0b+UyNgKzSXKJ7BRsUfkPtxc+RYsddFcXt8F/7G3g -8jWjaitrYN+5mved+/eorOzb22QT2WF9tPTWym3gjgejIne2DmuedN9CcPV7 -1I0Q2wy1T4RT6n7pw8X3Oy8qjkI9ANXdUfgY9p+fSjxXTWUwo9jXgG1ySEjT -bGhDBkp7n5OnfByJmTajvTGqK1PPJmjvvqrNDn0FC2jaCaQPbgZXbty0m0Oy -iu9rt7UmQNwWjpKw8q4hVt7lwspja2Lrql0tMa+Yth265+4RlVb71iS6+w9B -OR9EmjvI7fD3nP7+pfh7Bzvx7RX2eu7/Zn2jq085a1WIhoyO9LuRmW7CxC1i -WR7StIIEvOIDZzzWgRuNyUStqXmHEdnh0OxtKHhqjzF5eqKpI/6r+F2dnul7 -Z/qhB+hy8065puY5Ng7voqaONRR9Fx0N6/JDyRvfH5pXGAtWExFIwvXYWrge -MaEYqyhugVjKw4DgD17rDcRoikQ85xpZCvEsvSrXLOlQPwG7Qk53Px8jWb9S -7YQIh1v3Un7rf19EE4yzwgQjJBX3iUnFgyxTGlLefoIRnCPfth9e+aYN00Xj -BOMLTHmj57r5HSV00Rc158MLipnER4oJbxfTcrra1VHk2NLZVhbkInEXLNZP -lOlqy0I6r4qu5rX4bU3T1VjW5r6CfFaCrp6e19UvUXh3QhVdfZP/yMXNeKEZ -/GCBS+9HSfOE1tVHZcGQh5OuxqPgwZDF8zJsybeC52Whq5eETfmVVN/pdDUS -8thAxbqaMTcJIc+xpUFXM+eDV+eB87FF5HzQUzOaqSCGwM/NZ1rGLsNHdpYn -pzei3mrTirTuObdTmGfve1RY3bg/7qoV3KYWo64OqUXL+7hLIgcRcqB5H/NI -SDApb1bzGXFt3nJ+rEeWyNbSDGP6H0DKM7paSHl5XZ1Fhg1pXFePzLWzjFsr -x8SJiMG25I0KjAZAr6Ho6mcKXe2PyhLdzuKfoDldrc+JW4eGa4THMneD4fAG -gua6qyO8PdvOb6quPpiuij6y64lVA7uSq2rb4lxsWTm9uCLOAV193dLIvnmQ -3pmRlEeM6Qavq2eSkaoux0rNNAy4dU4utRifk4b4dMteVYhPjvnRWRwkwpru -x6xUnGkP8jfCt6qfC5ptk57DS1VLuGamPgRxBO0iwZbbgL8BF4krK9o9kvOE -O+2nLv8gFwmhb3zF5zfqiRlWO6uDkQqKii49LKOtM+ibxubaQ2CuHQl6P4CT -xGjrO8q0taWqfehTPDbphtq6RvgQzAGJfIjYexdVWGiLZt+ua3F5ovh5FJKM -rK21FzuQ9KgQzJceXaobpKHJJWVRc5ox7yZpuW/HoaKb1yvX1f/r/+d6uxX/ -/oBCU+80YsSIN4q//rz4+dMZZ5zR2BD7fzf8Y+Wkc1YlQcYcZjo1iyA0j4KM -WGmI0DwvtL8C1DQ8nUyYsX82zAgIEC+08bdYC+1Oud/iGc+b1cWjaVAVh9ec -UpkLQlvgiFFoW/au3pSj0Cb+bl1PUhddQGgHgN6x1JY8vH4L2ZYn3tSlcVtu -p3Ziz25gFsIfwDQChrwMDoRNeWpN+IaJtIgxj6lhu4pFWw2wGURqzXlD4NPq -CsMF428/raHAxRpHktJwhaIGc15pwPE1iLWEgKMjik0pg+q1A6gehsHZkNc7 -im1fHs5iu2p5+JpgwrNi2/B2j+SHrE1v5Vi7qCD82WnwCqJHcW66VRPbQUTk -xXYscgmThVgeXjNHF7ns7Rtfob9owakZcJgtctkfxLbFUTN6dzsf5jpx8ebi -nzp/iYPqbRKheii2KeNroXrvgYcqJLvWExZvCtVLxTZ3wSVoEF8cjmIb3qFQ -HP4LFId72tgVlPy6MIjt1V5sHymphG9KESGcTgiKIhaJ3yBBxyC2cWLH23JW -Fi8ncD0hizkyO7WBYqsFe6mYzeux1NgLx7udfQFL3Svl89rp3SXZdosSRkJG -bB/Drcostq/mziPaliuxHasQQ6FLJE0lVYjv8Gb8A78T5Udpudjmc3IPkKRu -oKnb5RQEZrEdHqQaPDlEljwW1+78hVwiHsR2SPG4CMPIxVsVj9DNAmySm3CX -/kljqZdulML1GjZgse1vBz+GCUdBECHzMkFHqEP0KYXMrfCbuxXcH/vxBrAH -lYgKE8IGksMp7LhfDxV2DIjVwyvLBbI3BI7IKRoVItWIl0Df0Q1QisstoQ/D -sXgOEgxvaRNJc2MiAdheQFV/BNjVuNN5pBDc0bYdaxKVl4qTCxyHz1UlDl03 -wR2LQH5suuCW0We+/CMV3GHnw0C2miw6ZKoqHe+UhB8jyrizCj8+QMmHuzV8 -b06E77WX6sTLRHBz+UvrRHBHfEhZfWKLHp2aNMQOYvt/nWPk4+Lv3V/8PFb8 -tCxE9/9pzKbtlPaJY1aF4GPGHmJxIaIAxB7yQ4ILGWQs2coekoQfHRnpE0BT -c6C1HLRnf187TElVduQjsC27usrumFPZaBEhBEwcZ0dOb3ershdGlX2QqGy7 -GwfgHiXAhvhm5S09OiTHTggFL2DNLvGkTmlwM7w/iKzI2kRIUnw9Szcqf0/D -ix/e3C4L3vuVK2YzCBHfokymvFD2AvA9rkmk5WCsmuUw5MFmOTgEqhIBv7Tb -OZXPd6a9ectxNMC4Vg8wMnWzC20gEti9CiWyB6NEnNIGaCnN71T0BSB8nPxS -RVnZsvE1KvHFkNJhUDYue/DLIeKCSrukSbk06SVKe04hHVx1qFHab0KCIae0 -Tdn4nFhsEZT2WFLahK9egMcix6BEJYGuKU4q7E5KO2SCndIeRS2hTlFcWvxc -TUr7tiXaNfWkoemw0saHpz8SDsIHs7wI4dtEQ/imb6VkBivttXw0XmKUSCs6 -HjsIYedXQon8IkXjrROlvfacQmkfZZS2D0aeVIoU+cyzJ3kvrpEiUWnfD0cg -hfHNQxhf8wDjE6XdaqGQyN7Owvg+UQ3Mj2WUduyR+6fA+K7ERlFQ2heq6kTT -hIE0sjKlLSSy2C5qlfahSmlXd1aVKe1O07StyqW8amfy+ofOiecikNKecw2V -jofgcNv5Y0hpn+F32V1VoieEhg+w1YmitLk6cffiqtjBAypdWDg0GmziX6Ae -xldcDzfT1vN+Cgk/DYOYt4OL0Clti2X1j866Pxa3w8bimmIT4YqpW1WvAOOb -gZGs1jKCEfmzOynLyD+PLW4FLjPYnwyEvTMGQhXcqW7XdoUw8Wa4MTODeRSM -hM9DGfnbgmj1tpEWswNeZPsM2V2CPIXa7lio7Vo3jyG13Y1Ckj0guWDUtsNb -i7kwg7c+X+GtYzF5NevIEAxKjtP1iqpyD9T2YXfZ4pCyqj0G9S0B4+4iKA3h -dAOrbVO1Z0vKFQKZjQZs3kXU9fgE1herFi2sL+BGsvYRX1Z+StY+klPawab9 -P0cWqvr04m94tb1eVNp/J9u2+/lf9581RWmPOG9VCD2CYYTxIscqw4jGiwwy -hhE0YQ/0hhFKpMCrSUzY2QIYi41ZLMFHVzhfy4iR9xDMBzhrWwIzw5TAoMr+ -AFX2bVWN2G3m6yIYh4npAiq7B5X7pLPsOLwLFCbEWrcjrPWuhaQIQ4qhHjWy -WZ7tawphHjVoazFjS/ILjCMENf04YSyYFSHLibcMqM9PLlrFtNcjOxGRjJNe -e2tMk59n18RV4QgLOQ1ByFUu/kLlWsu7DcjEX7gi4BSopj238rnvnOPvKaKu -gzM1yownysOQhdL2U4vmgVamy7UaaIAXlPbTCnftlDbJCau0D/xRimLKysnP -OjEobS7TOqmpSpu/j7dHpT2giUq781vF65R2QMTx9Up7KiltKCevJcOpVtp3 -G35lqrRbY1HMglOMimCk76BkxZPNBBN9x9XJuVKMkxbHI3HhEj4SoVnUKe3w -8NzYI36fVF4qgvZJTxKveNYLxPcMeiRZ80zLKG1a9Sj0iMsIE7TP5xUeKg9D -/nQ5yY7imKw9u5aU9hGhjTkTisS6RUGQtLRF5fzQvNPnEpb5I4CsX4b3vQQp -sImBu0D8yuktArxvilPauSEewfsQe/2kxV5Dh5LwF5zS3s8obcgLX2gcqTml -La0Zv1dpZ6sWSWkD49KRerpDSXkHSXvpqsVOEBz2PAXP8iWlPYuVNlUtztEl -5W2Kc9KuuP6rKW13dWilrasWuXXX5eWHL946Yq+XxKuCkTz3EQL+KegNeyuc -B6e0Z4CfaklxJFw2OFgLN1a1YcyulLiOmLOJ9g62wt/AVugo7/8tHpj/ERNJ -e20i8RhX2nTyzXAAReR9MBIgfgykgtLyr01c50s711bWQipCkKNhw5E6tjMb -aPBsJJEGUoD5BRQ2x+Y/gSNBAcl9ISCpSPChWszyLHN5haRMhmrGErUNuApV -KJNA/TAkWUVtP8JuAw2BszG5bqS2c5beGJObTF7FXFDSHaVnwbdozNoC98up -7TzcLxRej4HZ6Ggpl3HXE5ebs6GkeY/aMqX9t+Lf/CejtN3/Ev+80VSlPez8 -VdmYY+IWAVt2KItxs7bvNcQvZ8u+P/39U7bsJOpYFnY1piDPLzWGe/Niqk2q -Fh80cUcupee9BKns+eHzuHejKhtIZguPhFk2+FF9lqUMgx0bNE4sVPbpi3Vr -81XkSeXWZmnQIElhoX7TSVLMo72gy3mlrhHeDeZx2LgfTIpkmGB2r8GNwI5Q -ymSowtaHXTD66CYXfTNYbC6UEVMeTy7AOUJlXHpHeL3ZEdqwSyb+2GyGCrpU -w47k8NihuXlV5eY+q0VpKzy2UdqIcLKVjDysc2dPvpEcZDFl5qy0D0X/6WPl -SpvLzGuoWEsp7UmxaylR2rpYRltQY7FM4F2O9cQlgZgt0MUy9mjEYpk+MrOT -Y1FfHAuvtFsVSnubQmlv5pW2rHiK4xBWPIEOf7tR2tnHZ8MGklqYx110lhIP -vEuttLeurJwSsSP/9NiRZkFpK+yIe4i20tgRJzekyJx9q0SOvzgM+JTS7ohK -mx+YGj/y+U5WafND88aIH2mWw48g7I+VNvMueYBH7RqtFkA3XRkmm4d4QVZY -/IginXFjKQ7xcqQzSjPksl9lBTNHXrzWDO5otXrzipJUw6dVaPIBk11L2a52 -TVHa77PS1pGGakq7Aynt6LAKr9FYvjRAro7DZOfTiYYzzmjoMNnNK8MWbyPN -CWPBVeVeoHcsAdhfg8GPBKXNR4LD8f44wC1h6xnZrr2aNp7BV8gPTUZl7yB2 -7Z/5djAOEgnw0LbzxxOK24ELzg9y0L99A/RPopBh2xnn2sep2+GrvWiuvdtZ -QOhxPEwqOm9h59q2rnGC4WBia6lJBwMDMzxAG9SqJ9tYui/0LvWGxlJZ9YT5 -DB4Lvjl0OriJarvqbPsH7SS5OVNWAmqbwRQ5tW1LaLqC2q4RtW2wJFPy0cjg -7aUiGlFrHKSDwpLiIdtJobTBvp3AAGMZTRvvJjkzltEs0GXozXp0TpR2Y4hs -p5xPuGCV//UW7HUWDmJeOgjks+jr8bnCGOux/jiGGbmM/kXj/hCkuXv5zAuA -EMRfTyVEDF3/naalpTGpamZHz53w4rkBfv1BNRcvnb38XgEDjbxPGEG7BFTN -bgiHKa79ik+fVc125e3MpSbJpQgJMdR4LyTC2VyKSS4N6FsvVi8aWEgsLd+i -shyDje9sK8HGOIFoob+LjwCayUbE7Xz61OLbOLL4Nnp3XaGaD+8W3HX93Xwa -3XWHgLsuxsS/BifIV3tyTHyshvUlQNSc59pRE/ibyMQEiIi76QN8D19p48Ah -TiIQCJXAIY90NcC+PrFBIymWGRRX3eHbtzYSyTKVjKpYhpXzFaicIbmVlJmj -cjaVjPTyDFKgRDm/ASxTVs6Ixw6VjDUlyplZpe35iCzgRlJ7PFI89kDbRlof -1jbOHHVc/daVoYVyVnjs4jioXqXiJwD7Nk64lV45Q7eSrG2c5xrYlQEcooF9 -36Bynqxp8Yly/ltQzu6I6CLz3aDIfK8EHOKV81m1xdEoJMK+g4kD7IKOIzLF -5gTuc8pZFZtbgEhOOT9BAJG/QdYXACLNp0Iv3dzKlJZOOYcjwQO5QE1YloD7 -nHJ+vEsJuE+OR145jwOJoJQzUeQbU85SMAPrnHLlHGnyB6tqxg/TakZ5aKJy -NmfFNywElHw8K6bUPKOc2/ILc+FpKggclDNjsqGasa6XcUv9VbLww4qXJb8q -xywBcB+9KO/xynlj6RtjgMi7QTlPA4ZlPfgFP/HF5n+ioCPUM6Jy5vj7ywaV -/WSayPF/9K81jpBzKJFzcm3EZfu5Cs+pQ9hxJSVyVrhEDs6pOx6v/dcKmQ1Z -4BaXZbeYLvCo6xrRLKVLZ+RRaVtL91gMkQSDzqZj4baaEnrsCVwdn/8FoAiv -bwzulY8GFixgBpg3ndYZckJyRMBdcPUPEaEt6nm5Uc9fJVg3r55dXIFq/voy -1M/NaF6xJTRBsdkSdO8mEPXMJeivAlgEwo/vW/V8H61LGUUBXuwE7DeOwH62 -jEbPq3kGut06KuchF32v8B9HXQwAl6QsJofUW66rM9HNkfFM+9eLSiYC2OVV -KKHPvGBqErDi27pq0X/SHFiRvfC/UzVz3SKkE50k6LjwNPBNR9UcAqZHqqLy -QxTLN66vg2puHVRz/Y5+cHCCQoFsIigQnVD8U+T5ZrDWE5dFV4fwD2h9/WFm -qBZdHdEh9/3ENKX40wtx3qxqa+81FYzgn9bz5kI1D4s1Av676EPgvdR3UYNN -cX/HWBBydjBubweYKDRHzPVd5KF+SDIpi3JpRVlnOx5CHLDFtOIyWmOH7yCi -QRRyr49G7rnQ92WlxTJrFcS0qnK+xClnypuwclaVjF8b5ZwrM9eVjGaIFpQz -ztHK1IAuzQgZ7Yi6bkPFMm2wWGZBplhmYb5YJlXObqYW0GJDiqMxrFDOGN69 -GJTzzXQ0woNyY48G0UdjQ380psDRYJnAylmlFc2jksnvEuIFycDIPT4ifr1t -lTMXmWfQIL6t9DxQzj1QOQ+PyhlSi/GPf1DOnxWy4NPmV0JqkdB7zSJ6b4lB -hCwk9N58pZynCHrPxQr8kTCr7DLl/JRRzgERsjzb7MzG0Sx671hEXsfVjFXO -2WpGQF5LozPIgdLj8nRjylkemplXZrWzwspZl5oH5XwOKOdYMOMprYsQeU1n -pC5TMFOcj+OKl+XQ+m39mlKQ14SBv56SvHfTi/LxhgQR4pQzz1nmwWNSF5tD -CYIqmdlWl8yoreT2ceVyj3N47OEdHgkmJFeCwOhrBY7SCL4AZD0qHhVHqcSt -JPupeTXTws5X0vSiRWBj2flsKZ0hlwdWNu6aUindOua5jhGDzfOW+KgMNwfS -c67FQK9FYWcflmulfAZLSqo5PWKKERgT6PSg4zLwDlP559XzZwqKzDNPpZ7Z -i/s6e3HLXAIpOqRWuBKQZJwRi2g6Z73VdyVoPqlyLB6rrpikXaHkAhUWy2hM -mpFU3XY9u6yTcj72ku9NyjBX/LJaVSz6l8otGXeG8kDTr7moZpj5A4o8lyDt -/A7zPMBlM2UGJA3LqxbZoRFxiI2o5nk2bYjwvNEmbYiq+ViqyByUFJVzU3M0 -fTIZaQ+/bjvWq+bA9bCdc7p2EdbR4oXeUK2j8xA9AzKwhTDGpZHUL2IpDPuh -paEZzJ48b2bX25iO+pt4QpcA0xtYgiXFAq7MLu7rvTJOje1tJcDNAKq+P9nD -xXwJ1DG2KGRCrg5grwbBJuFg7THkfPQIQ7VbsQYAHG7jDjfUMFDO2ZKYc9JK -xiM5qc3I0SrK2Rs9STnbMvNo9FRDtFQ50waaH5y/Qzm38ZWMYS1Tm5TEDJFQ -LqerUuUMsOp6zZccXigDhlUrviQxDRSsWqB6f4yrmGVhFeMCuRGqt16lARiT -UTmH4xE5HxnlLMFcC9XjcC4M3UQ57xWV8xUBhfPThWFtvfbMQjkfScrZ/9E/ -HkyfJxvlzCsXZ1YKsYAA17tGtzhLK2kZ7+MVQ+kNypkDuVNbaBNolAcWrvdp -AByo4RrC9VbF2AAp5ysttNoeE1TOtpeO+pSGmfWMG6gdcbmGVg+6aUX5Q/PR -MEDzyjkXI/DKeT4o5+mUISDlPA2VMzub7Fm5iYybsZqx7fzzQDlnymIWcUoX -ymJoZRlZOC434KI0rbxydkOX0+pDZoCRUAxyH0/XxmMNyPvwNaVOOU8m1iRu -KMNjkuYs83IZ9eiNdsx2Rq5ifdgvj3E+fdfIagfHRpyvkGPDlPWuPiQkEVeq -JKKG7OF85RtYz/gIgTkmtjzmIwnq3mu47rq9VOFwmrnj8UFleovieDgzE8H2 -3tmNu5QibA89fnbmoruUIJFo2ZO5Ipkh1dWzqvfjlJv1SY9bq9XzNda5YWbP -90b8cZl6DiHeBqrw0+C9NMnGHJApVZOJnaVUBkuzo9c2D9+7ScH3QjoR4Xvn -k3o+169+JJ1YHMW/rKNyPnrc99qdgWwOBoY3SsAz7gx8qTgskUkO9v1bg8z6 -UTV3IT6HUPAmGz5H2a83F5Sr2sRHpMAHVbP4mvnXmBwaiJxuC4yOLgusatb1 -iaFU/hCpTzxU6hMj/ktoeNQdd5wfqAVOxyjgdFy6OIAJfFwK61x8O22MS71a -fAIRTDALahQXe8hozqWxKckChBJQf4XJifz0QksvCX72tS5o3ty9+B7umXew -4byZq12OK5TzEftUvj+0+B56Mh4VafXUHKTvkIOE1bV78braODVaYom5Bfo/ -WrXixTN4sUhrpwjzj2nCjylN+Klyrd1HyvkOwIA5WXD1AT+mYAKFo4ao1Km6 -qRn3ayEmRYyjK0uUM6yfD34kKmcxbmawX+4FGl7+HkYQlPO7JcqZvJszrXfz -JqWc24hyDselZuEo8G+OoKBtwNn0XzgYVjJU87KoF1RZEAWsfg/xcToT04hC -OZ9G1n9vYiqOxpVUacE0MCSzBxPTH731H5XzTELZJMpZcTs2ja1HJYQ8iQA4 -5fwiMXl5Vc1YG3lgUgPSLXvF1QxwO7xyHl1bWe2V8yBi7hbKucOw4HVuzSbO -wO/4AvkdrZzXGUl510dZ0EyT8jS/4/mUlOeVM3B4W8TB2kQarDGsQEh5hTxg -Fu8TORav6bm4tt8PlauMoSmnnH18yq6kT88r5+OUHKhyXBLl/Gn1mkVC3bR3 -Q5uAuslwbmwmoNorM6DbHcarrR/InOmv61Q5Z7DUdYilrgkrS0rcnlD/l+Jl -uXnl1PrYwHsZ72OWcCwm+P8jv8M3FjjlPAlWMYKzUbOWuKFMUoUlJWByWzAt -jxmqiWOjQ3RsmIJzyZz35fJdTBY6T5+bsRwdZyztR0TUzZ5hO/nlLudCM5K7 -LYpj0gLnzmE109DsPhO8LXlcor/PhW631+Q8RlV7z3MjIMn7Mp5nb2jy9Cez -lgF6HiNuzjuhxLmRUc9Sin0Bzp61embnxkBUdnd8B+qZEjXePQAsiEaYxqie -cfbcPqGthaRhaFJ6nR6m2vtcmyQNHyiOWlNIeldG94ZUw2DaMKjnbXvu87uV -8/8tlPMRl32vy1mg8HCQcmeskJrLSLT72qhmcmdkXij9kzRnmPHrVwqtykpe -KSmtEPDgya9xXjV3SBwa4VWy9wKtmjvSrHmf3KxZtVMY1bwoV9bCa+hWWe6G -SgQqWbCxkQXgbQ6NV4pfxIS7D5ds6BlGLAvQwMmSAL+DURKAeRPbKVgOSORp -b+9v/ik3b5byFiDduUlC/+4h+yHJQDtJOBaGbqlT43MqRozIr2sk+4FTBMT1 -6/3bu7EcsVDOthwRyaFuJf2sUc5MvBsPsuAGTFUfXI0trcG5OeUsDRWsnHPr -52pwgRzGS9opFMbLKedSkldJkUs6RwtqoEw5x67QoxLlHIKzvczj0qmC3Yk1 -0Lw4IltVRpaFZpemoVmrnDk0q4l3fwgSAVbTiXKmlUyMRUUzEx6TfzOH4znm -cDCMAFYzd1gORzvhcPjjAspZ+otYOQuPY1SADexmlbMl3wXl7B+RzTX5brFS -zi8p8h2CB1y3UQjNoqGTGNMkDV5oF5WzxKFoJfNAdx2Hkoa4Qjlf3T+upC+1 -DXHHGvBAmXKGMO1x2AxXopzTQG2Zcl7qryJnFEQcewdV6GKLj/Jp2pgJ0EWJ -fFY8h30hM6ZDoYvPA1D/lyJD1mUY0/WOfLdjcY24YPkWlVPqQzzmfEI3XUM8 -jjvp+nikgXkcGzKPwylnzpOrknI/bwnWf1WWONNUfVXjoj65Q3EMwLHBj0fL -5GDHxmm57aSbsexbWdm3lyLgrcjcFt/i3HkvRtcgJJI7RTUF70OfiuEH5sMQ -B7DF5QyHBI9fi+okPLWWAZLTQwoOmUHW9P8xqucMDU8AkY3MntncpNTz+Vo9 -DwZGRzJ7zqhnpe4ebWL1HvtuwUHQXqr3MpyOqW9Gktp05hXnkoMPi/fZqmd2 -E6hiRY95v9T3KuXKX7bp2XWdlPOgy783TSvFr+XVxa+ld2cQFuhmp5qZUOdU -8zexID7naQZPuXqZSCrTOmOoArlKqU5sXIm+cmlcmZGb6zddNbeeX6aaTypV -zbErOarmw0Q18wqamNCkmnMcDRymXScGzo2jgXNp495m7kxeatfQOZeGmx4A -MECnAs0gTZxrsH5m+O3VMG+W3EdtnDcjn8i51/rtS8lAcK+JU4OmCIDxikVX -EeEV9m+Xmv3b7cCJfkica+nuLU4PPBy3UM42LY1cjThUy3E1VsquTZQzd7yB -gdMqZ8uKFuWsut3WhPVzopzp3Fnl/ARXTeQrEHWvm1LOjbZNzLrHpJ6uU60s -qRo41Qdo97WtLAszrOhFjtDVLUOwC5SuoXBMLNTxhqW4ktG4GSTYvbdsfUOw -C8rZcjViUdHmKcHuPSTYNSuUc3NJBypDk5MMjwJKfTxAHq/H40IxqXM7Vtac -0ZmU80BZuXybkOxGUY9yU0h2t5JyvruQBZpkV69Idq8lZs6ZzVg5zxOEOvM1 -UpAAYGfMSlqDBL43IIGMmbOkjkIZm0qY0Uo5+1jAagjUWma0Vs6hyKg4MnQl -4ZHppIqMZjRSGRrPSydmq0MVYrxmorMpnpUThUHTZxGT7IAZXZch2dXvQWdk -OxrABLPfefSy5JUlXyEPE90x8jU2dMr53WVxW8k140t5U+m9zkhh0t1dkRsd -vX2MmPn1ad0oYBkbIRGjN5Q/uqTgMCIwUXdX4xvKo8nvjDfGqSoW8DmnYuCo -/F2odnxrlDGkU9eGMjW1nN+kOMAzJg6gGkPJ83wrPDBFPR+c0u2EJT0Easg5 -d55Tz/DYZPV8DJqcLot1iaiehZTm1fO3mpKWmT0fQHXk5eo5La5uz9yNyZxa -i8nBWqXwsMWFAavG+0zXFJLuOs7m6sRrMu6Ni4S94VTf1r3WTTkPvHKVnjNj -c4ohzomHfHymCNx5LjOeZu5ZwyQgUue8ap7I0E2oeZ+CaUwuX7M179Gh4b3k -sx6mT9i9JQ4NrHePqjl2FY72qzSWAEkaUBwah1PcyRnSWApkmlQ8LICBtn+p -HF+/ZWXk4s2FozEWoh3X0rDg9uJzdzcnAp23ealGbXFBOH/35mFvoTSqbOy/ -fZqlEQdpKyUVaGABvHcToucOWgrcVkiBm/YOu7croZxtTMfKv86EsnBqVvHO -NZ+YZhIdJwM12fPbJDENTg0ke7asRqNDj3OOoQ95D8cZ2h67DBdDcfhHNFQD -rgakA9nEyeCAMEz7sZRIxxUSaN4UqK0dol2MytnCAkg525K2J2JJm3NBhdUz -DdAcN31i0ogclHO1UmRoWckybVk5B+8mb6B1xefxRjkfmlHOXQE5w0S6HYpj -0kwemKNypUOknLl0KMWhb2COyR/gmGSIdHRMrHJeOcWVD0Wp4I+Ke2BiOhCi -AL8BkY5Nnv64AFdDKedRhXI+wijnmqEhJVhCpotraIbcFo/IFoZMpxqTo5Gz -jh6TCyyZzj0omzFuJpLp3s2S6fJmTndEHixRztdbSVAo50tJOV98VK6QKIRp -z8gAHIfDCjrEAlwspzHlHIqJGBYQew3Tx6aLBnSitpUa27YiV1DY0XQmMl3S -SuSD/8Shmcscmgto0OWU8xnmlemUsybTHexcTXVMpuOQOadonaOpWWgiKpTz -GZCgZb7GbVx9S12fz0pK0CvnicsMjckrZ9MUII0rVVpvX9Vl4r9OaFX5zc1b -XEvAA7tWfkbGRhUKk7QEcMehJ9RBKqYnp2IOrXwnpeJ0a3QYpklMfFx2DskY -aV9pznPnGwyKJl9MpMvF0bVBXj+6QZjMxH4/DXD8h0rLWAyN8Db6hsSgT82Y -RyaiaMTcJOq5OC5l6nl0DNaqkqKS7kOfZrsuzkp1Xx7Pnr8omT1/bJwbSxOl -11mUHpHS/I0ESs+6CijB1nkGep+f1pzomZYTfWfxk3qfA3uD3Bvzx6lmlq16 -dcsr5//r/npDq5evXqn7T5rAmYt05s/Dve1clo6JRU5mZP71Iyezyv8ZynaN -fX9MMe8PnN7PyHV6PwaTe3aN30F1apaccRmw/uKEWQofslrZTpgHUPFDfwLb -s1beh0YCgdTsRmfH+CqplJ4R4FohzIEiQDmaG9DRHBfPaNmUxTN87T7B8dls -2rJJFnCbmAV8s5EsoA05sVUTx2YyM6iFvm/Xj8JfvkxGWvKAg2LnlOQBoz/j -K1/Lxl417c/4JMugyzmbbU/KLA/dmoyNhEzA51EazAh4jMbzgTsBF3Cdt23q -wFNC0xi6RnHoRlUhODMi4IjLq1etJXo5a9Wso7cod0axXp4U9XJ0a3b2kdqn -weJk29bK9XJ7eWaeoqdnzKFbaAnOfX1sNuplApvX70odx9t58/9JpAWsXr4J -IrPyrDR6uSwymz4rAdGIHDp7VJhDl9PL3sqkOXSlevkiOjLnWL1Mo7OaKlSN -XSJV4/NCL3/W8rKQDWxxbXEcgEcnevnBLI9O62WCbjUDHl1OL2cKIqIQ4Do2 -HX7S9s20IAKRteeDADgno5elM+XcnF5GdONqXb9mljNRL5e1Ey4iq2DQy4rk -7EM1TdDL8L6MevlC0csdFlqS8wnQK2TJM8ijaxd4dD4k06y4VraojCzOyCij -lwP4f2N/lbjz8bjuAnd6+R3aVXI2cCEx/3lPmWUwuWnLZE1zts4+vZ/cRd8c -1+MxiJ3gQnTmfOBgmLIglw5czt8ZcqmaNv81HJcvEUKjOlRixdBHze8qjgtn -BC3ZOR6VubyUaT6t0MwzqbWQ6oWkyNMsZdpXX8okOUFy/V2Xo2wcDpMY0Mxj -uGbIpc55IuNcgMavMcK4nY/Jup0hy3bjqjTHNj66nZVmVi2GSBfOdXJoT0EH -oQubvOC0XF5Q+wqE/AC051rybHSyjue514FmvpyusEDc2Jr08oaikZsyad6o -MvDaFcWvV+pk1py5b4AzB+8N1UkTPkf+zfHMR7HTROX/6mLv+tspNaPDFMua -Y8f4a4CahY7umeWquZNXzTepSb2aMCve3OiML2MYVKUFiyar5oOgLi2gNm2/ -yd7AnHMjgW0K1RysaEzPYCnAS+dbE0dzhtZs4FrCnSO75sclBcWc7MCl8w/Y -KOjTHS2VR026TmDK/F81ZW6XdTXb759s2+D7F7dtgzPbNkAJ8HhtR2oXbMkY -AaxXu0txOVNnsyXah2/e5B1swyDZN9tXoWn479xKxaFzyjkpdlAcujXCoTsj -w6HzyhkRAUl12sqonMezcoaF85PaqtnLdJ845RxepQTWYuX8jijnzqScO4ty -fphqgqj7ZPYtsKi5ooRMG6qBuqFjM+HQESmAgDPxodmWCLUxAODr7b1y3kRg -M6WIxoyNqbR4k2AzKcF5M7Bylijn11Pl7CFcoJx/yXDo/utoGgzjuohKIIrH -5ppCMqw+wtk3DzfKGRcuOR4djc+UcobQk1fO91bl0SUjNFLO071yphGaLKCX -0gKaoFvqiFCRsRRxuujsdwobEINPRjkP0iTn84xtEx+aZR0oSjlfxbVcq2K5 -Q6KcP5NAwP6snA343EfTi6u96cqZ0gAfcBogbRsMynlsJJ4bknNvVs4LB8Er -k8gzizg/GzYyR/vqLMdr3NJnZ0+n16UbxFzBVI2lQNVw52OpdHs75fwWPSzx -JmH7UuLw4wWMo5dOKWHSsU+DdpS/cuvgfbuVkDU6yAMyEp2hk/Zwcvbt55j/ -vZKZy3KZNh9rQDSn6Gkz2Jo+beXcfSEjGPGNd5SQndnS9LLxakwOTCaO0So+ -HYQBMEZLOcGnbE4QFjP+VjH4xqx6HgwOwGOxH2WtLGj42KC96feo50FKPa9M -1HNQgdXU80dVG+28DYppGx5cgzk2pj+8S8cN1R9k2RLaMynAD+6jB2zk1dUk -vDrdl7J1r+7r4NEolPP1y8MNzcSMmxrnzB2i6Mz0a+adzGQZy/y6KdVMn6bg -ZDasOek1sb9uLyrGn/SnzzKq+QPk+10PvozLVAYw2DLPBl9GuWreT2bNcdEc -sAAl/SY+4BTQAEPqtyLm3GZqgOboGVf5hVraccJDguczUgBr0BaZSQGDtWzP -SQ6sxZlosWr+LfrTfn1ix9B1YtEA17eu/PfqtpX/XAZUIclG46aNv33dYsKj -V2wKXJEhN3+LRPu9Tk/DUNvr5lVNtL+f0h05Z/Pb0dnsF9Hxe5dtDGRZgIkO -mhDENEfcqF0FxQ4+7JRTzjxEyyACVBVatnS4UM63EmPTKWfk0D32D1HODNbK -SYAOwqHzSNqonANbqzNXBolyfqjSiQgBtjWwXDmfBpQAzjodA7X1A4wacIyt -SHAO0dmdffdJUM5beOU8muHmi1kV/NkEAPRx4UfmxIaU4JzFNHpk7SYZDl1+ -PbP2lRAC+On5yKH7heOzjwBNw5UUew5d60hwBppGopxx9QLK+WurnAm0pZXz -NVBGfDs9JnGQFnl0Cw0yIBwNBm7NCsEnisx6ObAbYxqXJsGnCRR8ehyCT2jd -xEGaK9e8ukw5H22LHtY2SnI+3iBqVaX9DXTl59oD6dgEfOPH/orvgyTnNwL4 -3Cvn97CkdlI8M0Q97/z+sxRYSnO0HWeHHC3uaMKKk3h0QjyP9Bl31QTlfBi8 -Mlk5d4k8urrd/DkZ4teYrjprs0DVWMJUjXBG7ip+7ieMqVPOlA10yvlN5jE1 -xIdlvbEvIaKRlfNy4v6vLvNpUC7mF98iuFM8DrdzW1abkCYfB/HYHL2U0+T7 -d4eeWeL+49yFcjHfqK5ZTJSfU/l8J2bT2U4UnjtzlPYBiNLq4zJPETammBXN -/GRFk8M3Vjsu44vjYikb1/Yn+DlvNE0oAPtRMBhg64PcbYPHJ+d2dml0bBgc -jE7dm1emzgPwawwojtQhqATFcbDMhwdYBVpWXSeiQKjZacZxUMuOZyFBaMez -VoJIe3aAmzspM2g9G1dJX8o2vfZdN+V803eJO8O/MO6InDl0Mg/IqeanNdfP -+1vsayNDJxFqxuRIaI6QLCA0Z8jY4XP1ELiZWTUXD/7ZN5WqZs2bCz0ynWV1 -NlKp5r5ONcvQzA0CQDXX9SZQUFcJN2lSs5MA2/rYc46egc2AuY6TxNEs3Lk/ -CHtzMbYDKk+aKQ8mYrPkod+KONqfcllA260t8+Y2Zt7sctEdg6uZ+064X/tQ -7oFip8Z+Kg8oLaodjyWa/XCi2Wf2bb5FlWj2La5J/Gnum7dE9Ww/n9KbnbO5 -GZY8UO/J7kvE2fxSu7Rr+1GVCVyu6fWuaxssm5amwUO0JOg0OqQ21ADtIlTO -1cBasY3zINN9ghJgH1HOydrZK+dpcX4WlDOrgMeUcvafHWBrtae1jQP7tJk/ -RnqEo3IGgjNRAqLB6UCjnDkIwNCZnfwszQHOXZlmIDhvUrVM82Eq00wIzqSc -34ehmg8/KeX8J7WeSVGN+qHJAzaWCUo5P5Zy6P7LEVrPoWsHyrmjX1OvOa1z -ZfXgfkY5g8m/zcmaqgE8Or+CaUU8OlDOvIKOuIBHoOTBSYEMj84r5+laOTMy -gJXzX1Pl/EwjyplJzjfCCvqKMh5dI8r5lNHroJzLwOdybD6myiCtnDuLcp6t -O1Cm6vZAe2aQRxei/deLQdAp572Vcj7ddAVpbqO7auJ+xvDo6nanwMy2fjdz -6uLNA1VjCVA16Fq5D1CmlA10ytnVA/m+2YZo/nfJcsY0WuO/OxrWxGSZdNgi -qAim9xS3CDo2ro6OjYRgio1ZLh94YJg76z6UJs6d90znzimIxh2Z20vIzhMy -gQDsRYmtgs610WhVfaZwUx2ZaqEAYtRddhjQTm27YDX1fIZRz2NTTt0RllOX -qOcV0e0snt0qirDUr6sZakjbSPy63DQ41XSlUNNdLecFZwKBeNZDQHvmjBuq -5xt8xL0D8erWVTkffsu31AK4QrkzNGfuC/I0f0bFpcFfme80gUxlmcNlEhCa -J1umX9prEubzXM6AqjnSsDuSrzlE/4trfg6o5vmXSIdM4tBYUKaaj86o5gDS -sq2AitRMg7Mh9X8ppWdcwcXBS2MOEDtOrLeZO7XfBxyt485JkoMGaJ8pgsbm -eTTAW+UNgb/y2jmLorVYgA7pvPl4SHb4fm1H0chNDAaCU21IdKrJkM1+8y7O -EIVuk7KHaOhMUx1CE2Jp0Dz2nryT6T3xjYEddQ4au7ZvB3L9NaScPWALaRrH -IE1jjXDoRlXh0Ilydt8uKHMYeFNuePaFrJ0PpLXzfrR27mm6T6JynpEo5+mk -nL2BjDfPqXL2MJq513s0Zug+udhHkoNyPqtSs+AMw6EjJeCV82ChBByMBOdF -3QE804YenKFQc2j9NlBDT49NUs7Xg3K+D5Sz5dDFI7N+JDi7mTOjGuttHCAE -oDA+q4ZraOrMPjah+MGZm8qU8wWFXBhDyvlUq5yP0cehlEd3vnpMxjWMHaKV -8ehwBW2Ohy/UnJeBbRGPjpRziALACrrrl1lcAMPOrXJWEiDpQFmreHSqA8VE -A45G5XwlXfd8bEQ5Q039Q7ED5QBY1egOFI4HzI4kZwktvUnXNzmcZjxdXN+W -R4fKOZKc48z5TD+wcQUB2t2ExHPM0PaAhk0+KzsUZyUAmk4plLPrCDpvSeQ1 -cn3WPbSXcWckdG//0SlnN4/xa5kGyAbaUk32/RmvM8dl7YNStQg+hUcC/H5S -BQRYxnM7xnygNAl2hdasfSsr+/VSt8iK5BZxfucT8sfGVgfxqqakrl6DaErg -5/LYDCnzacKnq45w9DOZTE6w7Nhcv1/KqLsMH51V1POZGfV8Um72bLKCg5V6 -/meinrPOjQfAu8tkCMVTy6Td3ghpt1pD2+igaBtAhvA8NVTPL1JPdPDuRhJx -nKmGNVCOV3cjqedrKtv0XseZ823fAGfuW82Zu/fLUk+zp5Akc3n3eAcSCUUu -IokEnS3gC1fUDCY0Y6/JM8Dxy+QoQTXbWXMbUs3OoRF6y6Nq5us+fr5cVMOt -zY6GNTM7NA5QrYCDsqo5GDXdMMDFnUcu3iyhZ4hZk+gZCqgFEqDxtbOtP/uT -Lw7+co4Znk0tH57FVGDxvXu6VUgFKiwAlga3rvx0Be7Zwrx5zemd9Lz5iK6h -7wSmBSt43rzvIaXTAj9k2zt2QNmqNO/UsJMCQ7HXZs7XoseZi4VpQoCy4M09 -QjqQv2/PgXJ+DMj1mA70EwH3Tdtflzq49fNFNvIEWNqEQ4c554v4u/VjZRCT -6UvWzodA94lSzobg7PLLWjm7DMa7QTm/VaKcQ/dJJ0Nwdiax9kBw3tsr53NF -OWOwtmdppwM/PFk5cyMaz9FCLMAp5xNJOZ9NHLpxYG7CSAAfm4RD18AEZ4pA -8YqmjEM3O8Ohm4QcOlLO3txUKOe/RYSAHBuMBdB6Okdw/ve5AUATlLNzaxwG -iIBGlPMuVjnb2NOtBjJTzqObK5hahM1wycPCyqSd6ij6tCRCztt8BA/MCDp/ -rKs7KsUjc1/3yPy2Mr4Xr2diwSYeFzs8E8jW0Az0/PR8scNxqJzpwemv+uu/ -zyrnQ32h7eeKR6eU8yvhymIeXUeKMHF7YCwKfo2gTn/zhstUOcdsgH1tcimt -X3Uu0Dw6lwvoDy2b4bz0pWIATtO2lf3McZ48s03lpOKseKrGEkgJAnWGU4J0 -tTjl/Br1zk4ufmZAD8CS4pgsoxiAlGrODRtMNjJpr3NoERTHxgv0oHRMOp8x -154/z/9HorNPChbK2T0ksUkQN5cHMpeONpc90e88OB4daRMEuoZPy3AnChwb -5fvDxExuVfMcuDbeMP4/ahV0m8xWhXre0ZqctGtDAdD5hmHKRnHD3COUjVVZ -yobbbl6OjDqjnsfy43O4fnyqEk4I28pRQk4dega9cyOq53CsVuj5qvh4rUr8 -e9oyaFrvugMrotNE7huYHblqrJ6hpzvOVfO0Z+19Rl4deHlJPTu1uE3vHus2 -c77jq4Qzdyj9WvCnJs7ibRLww4wXHPh9by5Kf00mG9YcEJqVahbzpfs0PWVe -E/dHdp83Yto0IKnmeZdAX7lTzWcTCGCUUs37gmruC6r5gJxq9kB67DchUnNd -AAMwgnaEFAZvovq0rzYcDew4mUAVT8rb3MCk+sidy6Foo0tjc+PSYGIzf+ea -+bbUf7/cInQ+4bw5s3KOBQ5hxxb8zSXzZtWvHZPRK3wy0DjUasmhZicF1P/0 -BfY/JUwNdGqkPdtBGkRn2qzmU/1uzdObW5rekz3DZOClNu7b9vckHchcDena -7g1d2/v/qLqeWDm7llSffPbfsFgi7EEBo+K3SyFpYXimlTNHnVA5c/eJhgTk -cLQd353jcbQdIlQrKmdQAEKkzSnnG41yvgiiAmcWyjlHcNY9wjhDC6HabrpL -2CvnMEcbWr91oZw31wTnxRSmtaWavt8hVc7R3LS+Njclx+bP6bERiECZcoZY -wITMgxNqglIOHQzZTmHlfGhanilHAgqFeQ3jJIDD0ybGzRuNck55dItk/fwa -QQOimSlUA82WUk1GNVYnOX8K0ABez3yb1qOxcq5Ccq6qnM/IKGf14Mwo51vC -FX8YdaD4IRAqZ0I4ZmuDSDn71aiL4EydQkbCnHLWJOeOwNdo73h01FHvq8sW -8GuzuIJEOQ+XVWdYcx6uuoKQrxE5NKGb3nVvu1emTwku0SnBW5eYlGBwNDnl -zKuZSQ2mB4UatCLN+U/R64xMOujfxsTMf8CxERgbO6aMDdhe/sRoRrxNhiOX -rlvYXu7fQ7aX+jYxc2duE2xbHJ3WJXNnlTW/Vt8oqg/g0YS1Md9gHD/gY9Mc -j81CyJ4vUeHaF4TuDMWbyNso1LPu5V6terkd9fQKZNSBer7AqmdsFzwlrbAf -WXX2bPu57eyZfc9aMTr1PAB7o7EBD9Sznz2/HFh13ax6fndupYNb90zC+Sok -ByUBp7tSdHIQ2BEzH6Q5K6tnx6sL7g0XR1gn5fzHjYrPypfB9409MX7+TqrZ -uzMgPQnMPs0ficw+TgKWUq8tdW4aulheiqp5xgQ9axaHxj16/u5U81xSzfO0 -rzn0xJwrUaboa45xpp5QEBxU8yDTCrgfdWljKyBHm4rPWN1ucu07+txI4Whs -EhKBZEDD2jOVCGxgOMCGCkObDs5MO2B9rvps89LqM3Gl8W4tS6Gjzqc799Bw -AEVtdjyhjp4n9ONJxXduWPGd8/Pm4lt3aKGcDwjJwFXC4NxfGJzLFYNzCLjT -GCSATg1gcCbdT3dVode/DPCASdT7xCbO+f7b5npPcKfG1HrtcQauhura1srZ -pwMtV2NI/H6xaRORtLm1c+ghcsoZDJsZHO0hZnDmv0nPO+UcBmfuJc8VaDXv -MRldyoODcn4zVc5AcA4PUyBszb1WdZ8E5Rw3z0hwjrX0R4JyPgja0HqC0ak9 -pJ4C7NxtoE8qlDNHAyKG5k9UR7+xKIJHSTljLOAdkASR4LyeJzhbWSB19MmD -c2uoCIoE538VD04p15RALR2dBzPRgOvaKOX8Lw7Vjg7GztWDUDnjYxLJdGTa -9FVAXOxwoVHOZvVMEqDBkOlS3BZLAIOoZeXcCKIWV88Ps7FJhWlBOcPgDGEB -cu0PMUWbFkfDw7KE5MwrZoKrMhiAlDN2oAxIHp0lytkZndywh5XzFFLOU1E5 -h10Ntm168yCS6fyVdIVHxwblHBxOLhtQu0A3bVYn0/GOJpyXsN5s6bu3R9bH -/cyFS3T39p2wmwkNgl45vwxxAOwD8C1alDlPvM4fhE1m6N+Ojo1ci6AwNqAL -JZ+Y0b2zLjHzI/XOakId9XBjYkZtMCkm0JFr60dqShPNYuToSB/AVUl9UKis -Z6MT05qeyRqdZiNrg9Y1k1txJ3eczfDReYkg6M8R1PGpzhwTyJRv0vFJ1bMh -POfUM2BqvHoGyCOq5+rOjZLZc0J51rPnMG+top69alzqb6ueLyPp2arn6OkV -fgQ0DcaebqsaOQ1H3R7SI31/lly3Te+e66acx38hxLnD7vtSe77hn12YI5gE -5Nol/Of33u8F4dMz0fVnz620y3XFTImqGdsAO9vXA3Rol3eUG9U8v0oacAGq -5uFaNfvPllXN/WFl1s1HNVJm844E2NyyuPY3q5zCHI3iM3aBN5+FVTOaNDkR -aMsbXiu+ZW9lOrV5IhBdGhvBPq34thk4wApDqw/ft+18KlBFnGxh8H276tqz -HIz27CrzZunX7hFIdL2YRHeInzcv9/NmnQyMZQ9o6Cy+cTuiPMgwNZRT4ylI -Q9vG1Olhp+ap9bpQmPueYu8JKed9YjrwPpMOvKFv/I6hcrYlwoGrsUbyGpZI -p/BaqJzl+i+rQAPlzOT5xKwJMadJM/PKGfyarJxn8ezs7hi4bYJyDkQ6VM7H -UJ/DIDI8sXLmtU3XQgVElnMgbTmj0zae5XxyoZzPgDlaYDn/SVUE+Vmaf3Ru -BEQ6XkMjkW69QhIE5cwmTl0RRMr5/QgQCNKAKulVuWaLOFh7hpQzcTVUwaZ9 -dBKR7l8KxVVNOccy4a9ROUslWoAFfNaCTZsYnL1dKeewen6CsDM5Mp1Rzg4Y -QCHatBrtw/jIrInlDo9nyh3uQNSWUc6Xm2IHFQ3gSjR7bEA5D7XKmYO1gEGP -R+fb2IECTOcDc8rZRATaT4Zw7RRNpitXznfRQIfOjb+WxtGVNDamahfYVC11 -1NNrU7Fo6phM58yB3LK5faGct6uMcCnB+pgJcPsZPivSIBjrAZxyfomOCnsB -o9c50JqWgQ/Qc53ngteZHRvINy1ulrWv6C6UpBVAHBtpUtAdi7XcCmC7Z11q -5sDiVunXPRDqlN/50GTuHG8VNjullLpQW3+pSgsGNE1Mz8Tc+ROJawM3muIF -ZFId1Na/Q+1abHaKFUKfhG6UWg125DnNvYq3ERKDN/T7wdPqpEEgo54vhEdo -yKevTWIDOedGacPgJfFWktSgbRhMfM/EkrDq+clCPT/9kWE+L1EzV1HPlIhr -bzgSHZOebnYqRO5z7XTdldJZ+qQfAnLd3UKu26Z3r3VSzofe+3kj3dmx3aR/ -kgRExwrT+hZo1vWkDyq2nzyq5jfJbGkbTqBDeyZ2aN9Dn6WYlOxQwtDI8Zq1 -Q2O4d2j0ZvCsDMgyqtkPybob1YzM5gAEGFa/ua9vEI7GEs3RuBk4Gr7yrCG2 -nbxAiUAEA8wgl0ZS41DvahyqDM0oxxG+bX/RbjTeqfnJAH3f+OrH1hOP1CIC -J8Sbor+5NvE3S782Uzh787zZ7dbsNy6XDOTpwJjgStsBY1DGqSFGTqbRTTCr -aN2Wyvs0x3FmaAA3oEiGg/doClJL6UDu2u6jAbWXl3A13M7MM+qBqyHpwJxy -Lq5/BtN65QxcDSTSHUqAgIMREAAs532B5RzO4OxKzSR2jE2KypkYAUCk84/U -WdGvGZRzSqQLYMexXjl3YE4AKece4NmMTWjQgiLKeR9Qzn8tjlKYoR1Xv7Un -0rnH52jybnJh0LWgBhBFM4HWNS+DHGDlPJuUc72NP2XLNbfw0QALcGyycn6g -mnJuH+sfGCZwklPOfUg5g4Gp5vhSMt2XTKaDdQwq5zwsICpnd/0vEuWMqK2p -dEyCcp7aBOX8XCPKWUNqf4iYrYOMWdMo5zElyjnHdD6WmM6DL11rYI5UIGSM -TlE5h+PjBkH9nmOm82IBOoaHJxsM2VzIZLrIdK41yjkynW/zq1BmOocXJ5Mc -ga+xEPkaQ5RyPgj553WRrxG6t0MuwLVsDq/fyhMcz+TubcoE3LhEpwQfL87J -M0E5s7EJseexVyuWBSmvs6LTZVoEX4PbRWYy2shkbxZJCp6vk4JrRhY3CxDq -Vh9S3CxuHsPNKAmpiTaZtdXnzuH4YDtKeHimK5u76eGJZif0Ar6qt5r24dlK -d3Kj59mFbENLyie6gLPLF6WJQeRtePWMYdsM6xm7uRFXcya0C+Z8z0MbTQ3+ -UxPrbrJdeYZf7NQzdnS7G8uo5z6JegbuxrvI3ZiZ4W5MjEwJVM+SHETXwqNZ -ct26KucB93+murMj2xo8zfDPyqq5l0pJ1kWnChWWtves5pQ6J68FZDXPoIaT -97FVUXeS135wD8zYb1HpyEQ1J92A7Gs+WXzNcdb8e1QzMJtdwLluJ6+ah9Rv -4/FAJ5VyNHTxGXcEPhE+Zd7b/Ap5m/nan246td33zBHo0sYTcKEZMEDiRBOX -BoIBcvGmv8bJQG7VfAY0pR6/j8yb/feNm5/6xO/b8qrJwBMNvx4ZzjEJ/UmL -a8HImfYG1it2/es6x9GcOM6twOO822IVe8o1oIR0YORqSDqQStF813aOqzEk -Ds+cch5dwtVAIp1XzpchIMAq568zLOdIpFNoLSLShUTubGo25fP3Tqqcn6X1 -zmNNUs5u67zXgjE+NtCB2bRgfoqP0SPSzbPAHLuA4cnFBHahdgeHP9/cK2en -BMb61NMmgqO5GZQzq4EJ6gjFQVok0q1n1jUQf5rH65otFJFOKWfFctbK+TdX -Tf94iAco/DnJg6Cc2wXlfCEUp3nl3KU4Nm7mPCCsYnJkOlHOzv4fDZtfKOUc -IwB/RzJdMx13WizK2TKd4wMz8jUWyFF5Z9fFmbhTjASEavovlHK+p8dyYDrH -egelnPHYKDKdVs5NYTp75VxaVQ/KubHjAw9PvzKdBGS6KSnT2ZVy1TKZbhaT -6dw1FZjOHeZAPmAe9m6e6Yc52B7Um/kaizJ8jTrdHHR0fYCfunpa726qD2tO -DqIzh+ZWaUSRV6ZTzs8DuclWbdaXmpqoVwsMTYpOl3FsKGBjcbv8zLcLV2sa -xil7AGUmcxx5AA+juXN/bkbpKzOZFd2M37lTropTU+r0XCZNCzKeZhm4NnJ4 -mnno2qCQbewXXJCQ6njDyUeI22qziUH/ANWAR1XJuX+k1SHrmWvsLzCs53Ny -3dzG9zzcEusuis22stbJqedbStSzOBjK1XM/k5Lr/ro7gnVArZvvnQvZ5OCU -SVo9TzeKkvgS4Yg+YWaxgcy2TZ/e66acH/wsquZHTCMMu1PwhUDpyB6omt8y -DhVxZ1h/90Rad2VeCKSaa1UvIL8OclQ+dGhcWlzpFxefpQuEPNcGVfOC00g1 -nygRJlbNzlgWVDO2aru1cj/VD3iEqGZmNjN9bpvKCcVny9HnhKOxxDRrL8FE -4EZ+dcb1DbxmFoNm8Q2bRu3acyHx3IDXfqYnkK99nAYIuxlqg1Uq8OnMtS9T -gdaV/15j+UE1HgqQfNuOpG/bQeHb5ptPbJZjH9uZeoLpTB1VMhW4PBg5W1wX -qx6ajYeJQPym6QQ0f88ijW4qMevDt2yJaUAJ6+enM+nAe6Q7MHy7XOu2Tztb -rsZRoJwp6nTWieXKWdKBWeUcX/SK5fzA58LVCJkDvvp5aFZXrpxDEVpQzq+X -KGeOEoynR+rNfq3jGQFzI8s5KOezZOu8DxTWswKILGcm0u3nj9Thdd3N/GxP -Sjw194an4VJSH44SP0B9N9oSHRHgx2dc2WwoVZvvyyBtvRgPKK3aLJQzxAMS -o9NrpJxdOtAZnZ4ND0+vnMno9Ov9uyUgx/9e01axnIM84MphUs69Bgje/Lta -Vs5DC+Xs1jEnFcr5VKOcqVbTrZxb5AC1qJxzZLoXEsxWNGwGzNbUMswWlKM9 -Z8vRqpHpyKjpKzczTOcLFZlurYcDyNHJKOdhVjmPWysr5QSF3qhy/lCUM5ud -upBybudRUFo5u6FPJ+d08tfzc3J2OgPTOUSQ4Ox45Rz4Gm1VstblA0Yqvgaf -G0wJxmxAp+LcFK9NOTMtKFoTKgPOpTA6twbdQhya+2MmwCnnvyH6nG4c4Tob -clNs4t4MHBtpI633OvNa5vmWxREJCZpf/ErG1WvSSuYOZDu3yc9lrA+QmlF0 -I/d+4AOMc5nvkLPh586YPecjdF5xhC5Ua5swd45rm48M41mvbXRDipDqoF9w -WnN9hPyNw0X2EBdwnudnyPOcJgZ1Q7ekbPoBb6MJrGcM3uKNxJl1RNcI7/l8 -PXt26tk2dQ+26vk2bAIxdDajnrGt26V0ApnNMCbeimQ28T5PMmQ2AUa+HY4m -eH8tnS0mB2NqrlQ5+ybuDY1edv8UQSt/qvN/2GmS9I87Gxh9WOg9oLOQkZqR -/hPZDnLtRcEOmOhmRq18o69kyrmZ44T5bDVh7iITZtbKXAh8JD3rjVZe1I8u -dm4FZOac08rFh6puZ2/FHOLj/46esam3lwk9Y0nap53LAT4HGIC3KAc4lbxm -7GjOcefKvRlbx54T6dVuprKAjAJgWtBvj5YFmtDV3CHjau4SvmbHmJ4noQb1 -A2rQoZXvbB4w2aJx8GlMpAZVIWksAyFg2wLTnu0Piq9XePunzmYTdKLL34/N -un2trJq3ElsTR2bZru0hEUebKw/2NI1zQyaQ9bLbhB3BHjJF00jrzw7OFQdj -sOnN0MvU/r158HUhvTwN9PLLVGL6DNnAHoVpGellR3CGaVlbuvP3ltDAGfQc -Rb3MEVs+WlovR6cm6+U9hK01pH4LgdGcRWTaS5ivRXpZV9NrvfwWVdMj9Nzp -5SWN6eWZWi8rmgYSnKvpZV7UKIJzoZeBQ1eulweBXh4S9HIpydnqZcY06jo0 -G3DKlQnPy+nlzGXPFs1AcqYy4Q5pmbDXy8VF32S9PDCnlyOIBhGOCcn5/Mij -O6KaXi7rQHlCl26GcMBif1FzrLbdpLl+9ON5dFMmC8lZ6+WnPQCr88zclib0 -Bnmqhh/pOL08xp+dGrqeusIYpw/oZXclHbLowOI62g8oNJSlrXdUjV2LM+Nq -tpyvaTPFbsQGQSSePxn0MmdpeLeZOJwdk65q//ZWGZ+Gu2maqy6Un3mXyR3c -9+oObr+IuSJtEvSNWi4fODQkzldTH4p2APYFByCzmozL2U6b97DTZsoI8lQm -A6ZZppCOCKbheG1aX6/4dFTCic9OhNPwzSNLG8wJ7ou93LGMEyuFrgJGXVMJ -z36J08jEeehYANeAZi7zawSITXHcjGY+7F6Tmct2dIdke/AwLDUeBuCyvatp -xh1gGttRPAzUNDjdNA2i41l4dQ8VermP18sbikZu2qT54Ec/SfJ/+ydOZsw/ -0hQdPCg17wK9ulH+XiQ063+eJyj/GHvH/SfIx5NvM76Mq6UxUbpNFjRdNbvP -UwBlRdV8EKrmRb1MKyCQmok5F+gZWxVP/M2JnrGpV82+TxvoGToHGBEA1RzN -QmteBo5mBGgV7/5qpQ3ozYilDfT+R2+GjMgyXSfsar4EXc2dtKv5OE5uxH7t -VX0hueHnAMifO0pt0KI/wxY8ELm5hSXVB3/Gh7J8fjRpC5xvLZvNiBTEUmBn -cDbvDb0n6Gwuvl0PQ+/J+J5RAthls9A0bCaQlXMOC4A0jQuih+yIpP5sRfEd -Wp4Em3IcuhzBuf2kDBJg2lteOUu9kFXOsTu4U4bgzLc/K+eaDBkgKOd4vHhi -NmBRP1HOgyXj1Joq6nf0R2pIcZxGiArYVAICV5FyvnWJIThDXZA8QClSO9Mo -Z32MoC4ISja/Mxy6H8C6icr5Z1bOKlKrLU7/4fDT5SXLGq+c+4ByPrKER3dq -wqP7gknOopxzaABLcn4SlPNL6ZKZlPMMUs6uDu09WDJHS9OHhuScKmd7bKSi -HkJNl0IowD46A/x8TawNOj3fgcIYx1B79iNUB6Fy/s6TnLnqLHagBOWsYrXF -EWKSc0c5QrPoip4sPLpORKOpBY5j55k2Uwv+JkfV8BbCCyptvHIO2cDYGzRc -qBoxFQA99XU6G3iUZANb+WVniKNDNhCwp+hpemKpV87PwJHBGO0csjQtRiYd -5GgUk25a2iKoHphM1mCiM1JObwfKaW4+o/KBxKVTqfPqLsDvmlwlFJyAn3JG -sEVmYWP6uOvBq7Ew8WoA1hH5dBAUwCJOfITGnODn+ZygjdrSkWLEoxCem6Ce -z8moZ8upQ7+GVs/lDYOsnnniHDlt1dVzbBk0DXqmCwTVszTosXr2eUHs6Uay -8YsU432WiBNRPbNy/r0ejYMe/7t/gB9EnSb74ysAmXs2//d2VM3tbWPiZOvc -zjcmct94xMeSap7FmUdSzb709wYafl1TaQdu5tYSUgI388LTs6q5V4lqDrPm -A6BLO+038aTm+l2FOReWyeFzNdovxzYVeobOAXL8fyN11XPHibdjLgvpjASe -Jdw5Y8lUJcEh48wTgFXizaCc86uZhkDXdfK4Zs/9cmecN//HzJs577yG580j -Ay2I582RFlQo597Yr31w+j2rGVL5Vr5nJ1e+2vu0ype0PfuCO7YVLSgO0zQq -QJM0UuXMDDpeOju75iKhN3MrKi6cg7P5s8pjxXeLkbT3qMbA8L26plDOV+6f -79rWNA2dxDjFcujOx8ZTKA6+bnUGpkXKmWgaBzGHzijnbq+HbG6wZs6Baz9V -zjPw5rfK+U4B1uSUc2vaM8ceB0NwFuWsu08Ot7MzUQA7+BXOCYVyPlEq6sOR -utSsb8ZDQABhNFJPD0vnuXCUcuDzz209/bStMmubTDiAKoN+w+AT2zf9MdpL -HaOfhOBMytkN1UZWU84WNoPKGQHnFqoVw01BOd9XRTmbImE6LvzQ5CLhd1WR -8DJVsinBpkI5PwbLZVbOvKq5SYoc1pTy6C48FoMBbji2xkdqG1XOF1H0n5Xz -1asBSLNcSM4BSJM5QqCcc49PN+Cq0Y/P4geU8wyrnDMcx3lXFmeHsoH+ugoD -HtdVj42bKf3cXU0uaNNburcH+11N6Aw6pm57T6EZWpybkylLO5aCNVfRmcEe -lMeCcp5gijYnNaDDmcIAtKLJ9W+rWY07Ku9sm8Znn4+gc3VM7tk9dHA7J+AN -nDxvW/m3f1zWhHygm9EQl872oaw6gJq1HOkUkudM11iOc2c/ozEZGtpufrnr -mHjbUEbwH0J2vjELp2mMsBGDArpVMB6lOuV0Vt0oNZ8IGP1JVynE6rm7U8/h -JpIjpQjPP4ZjxZSNKup5rJo9r9F+DZrt5Pwafr1TpWFQu525hzpVzwOSNj1W -z257+nFktYF6TvOCQT37dRD1gtRIXjDXpsddKS/SivXZCtKet+nTd52U84FP -fCww+OBkJrtXpucl5v/cZ4UT+9hrEvOOrP7D//+vqzbAzqSao1v7UWoD5JbE -8fTpiR0v4fp2a68rwieoSRnAE9NZM13pYR3GXdr7UxkwqubYbxIi/7tS0Wmz -4nrfxlswT0Z6RkkOkK/5sFreSHWcxKs+0pojd+4P2tGccWl8A9jZFYKdzWcB -pSGQBmW8P/sVBmU/Q9WZXjF3LJk3637tlapf+0Ddd9L56NI84JeZjm0mBX2i -IFuapKG7nRBNG4dojhA0rfh+ebhWFWcz4gFkeOa+Vz2/UzR6l8pgX5lkAi1N -Q65+o5xH5zl0RzKHjmBayKGzGNowMPtUDcyS0mBQzh2SVTMpZ942Z2ZmJQTn -tsSha0Pdwe0o24QE555McCYywIGm+ySC0GspLLCXcLVcTX1QzpuKU/NC4dDp -2qAHMhhHVM76ERoMT8t+F8HZKOdXY/cJEpx/MwTnn+/KK+d/X9xBih7+NbpQ -zg4bMIKV8yGFch4Iyvm4FNNoSM5aOV9GyrkxkvOTBjxjSM7Np8ZhWfMYbMLr -3loz9VUfOlAQ36hIzv1+8I9OXi9bo5OO1EblfMap6comKd28hAZhV+IRWqU6 -UBLl/Dh3oOQNTzEg8EGMtvtr7a0qbidN1Sg/P1zFlcvUlmQDF2E2kLq36xwG -1b04t/Tk8zNo9XkxJwLUa9OfGaecn6ZczSsNluZMDmeDbsT+bXloomMDaoIU -t+k5066F6fPbTD6QcY1jc3PnfagPBdu1eqW003WYO8v6pmXO+FRtfWOh6Oja -YJ8g8elazpe+Wq4UkuOU6UZ5mrpRfC93N0PZ6KkZdUzZUITnTLvgBdW6uVE9 -uyABqGd2bhx/nlXPdvb8z6x6HniH6Qi5Hzq6uVXvyU+Cep6QqmcOHUTS8UJg -tmFP9/tpT/fUtzWBInE8P73OyvmApz5SdGrVBKjyf4tM/o8cJ5NSQrXuNckQ -qlWHduwW9wjMTDMiquYA9bk4wOTnj21CBnBoRjWnXdqHkmoeaFoBA2J2N/+J -CsGlAMtCesb5i0Ps/3JzxfNaWXecMK1Ze5uR1hxdGhuUFATHnVnOpSFEemhC -9a4z+ob99jiwNXECkMHO/nus8TcTJcjT6Z2/2c2bD9xX+5t7HAB9Jy7rXG0N -bbudCjmwPU8ArlLmzQ89SeMew9ecUO5xlp7tcnrzSxmPs3OZPdj9awXV4utf -ek9MJjChaTijZglNg5EAQ3LXfmbVXJVD91yeQxeVM4aavEnTKeda8GnWkk9T -eoNn3V1lZlbc/AtYOYf1js029aG4bcKhW9QHHqZAcK4PBqjjC+U8lHCOrAAu -Ko4THiuG0jwQ5mfqSHG0djIgAjDoxGXCfy97hBqCs6xuvBwIZZs/vdBSFTz8 -pmqDdgflvHeinFMgDSjnHIpWGZpOj6jGZDVzGdQDGZJzcxtsmlBc+el17+Ez -zYFH55XzfKhBqwceHUZpEULzBV3zeHT0ozMo5x/TiIA5PghAPwOqg04GcNYJ -9ghxWcPVem0jJQ13fwVRgU8lKuCVs+LRxWhtTaGcaxSU5j26jstytVE5l7ud -0vPDyrmXRHBs93a/TPf2Xz3U6djiWjret21uETuDlkSv8y1LVQ+KU85PwoPT -ep0lSuvIGv7IRMcGxgKSFsF3YoRWkTVcNb3fcmZ6aW/J1NIbLp3acA7WfueY -qCG/czfjd068gaYTRY7SBZXPW2q0IwNqQhI9BdTkXRuwwhGvIPLpyLWhPM9I -dw4znJgT/ILq7L9OYDWaskGP0ir9KMioi3GCSEv1aZxTNfrx/416DlED3ZMS -1POAhwPpWajH0q73kfSERNpGvYesd30jZdVZn3AN0TY6Ir9tus0LhvntNn37 -rZNy7j/hQ4+y7G86TSIZJDYBMhmkRpoAbSviZOXT1r0mug0wXNWPJKq542yc -Nd/gMZje1zyXZs1UXuocGnsXnx/sNkkdGkPJoXEsrcCOKFHNsRXQGclSUnNk -zpXRMy4rfrgU+FZSzZwIfIyGY2zIjFGm9cnb/Id4zXPFGXLnBJy1qepw8rlm -d9VP2lohZ3/0OzMYktmGQG5CvTc3b7bGTNid5fzNB3evfI8TgJ79Tc65HLIl -cmC3sypf+kEavf4dIajl5cZ1xlIgv35OPc7ObUZkIGLQocf59b3A40y9Jy7q -9HgXhGrRfgzNmv0L5UxX/+U2HQg0DWwMtEgAS9MQ51hWOZfEmwAH0Mdw6DrT -N6UEpBWUs2VpWeWc82mOg20zkWgXap6WUAEWHpEoZ0ejHWiYWv72r9+lOF6t -fAvaMFrljDbKGdc498TNsyhnRXC2cYFMyPZTXyZMypnWzzJEQ/MmAWliTb1V -zlUA6Mihw5IHKRfuUvn+8DLlbI8KXvdQINwqmDT9mrkF8ujKlDOuaF7RyjkT -b2Ll7I6N5dE9b3l0cnQaUc5QG4RV9YlyHtEE5ZysbXRUYFAuZOugNA+H6/oA -S3KmB2g3AKHXeBD6TAChv1OF5fgQRXRCwrZmjiXScMLWXV1E1VigWzc5vK5p -NLF7e5A4nfYS+vnx9dtWRi7eUq6l873LaRP/4vTk8+KHriOnnJ9QNfUbKJrz -bN56Ovppbk3D6MYkFkC3zxvGscHJGltNf1eEnbuj8pNKCma6AvDWOYRunb55 -usZ3xvz0rZ3X7DWqOE5nmthAeIh+Vtw8n2bTgtEnqB+iz5VDappNj3y6FrDG -yRwpT3eGOnuVGETKBvXYonrmLlvPqCtupysPAsLzwCqEZwrjSmrwlNS5wZ0D -wwEByase7kgZzB0pvPK5NjChBrrNKfWkHH67Uc/g3GA+RaQffywPWlTPwf1g -Fek8001taRvOYPWOoW1Ez/DW66ic93t2Gc3HG8jT7JoAo2pWNOqJQKMWhT9d -K3wigghPb/qLSa+JqOaZgQZSy7Pm2XfQiotU81yjmufxrDk4NPSsuUoacGGq -miXsL6o5029Cof+Al93WV5qNLD5L7vN0plztkaNx/dJMM2BDVM2YCJzYoL3N -0qlNDM2gmqO3GZtPv05cGgwC2C4DAoBv15ORqcmpwF/uiOy5/2BZw4WwNyuh -A4m/uV98/a8sY2uyeVPSzqeWJgODU8MmAwNTI3VqBAbdfC8D3gSm5nTTsx3W -zu+4PRlHndpGevMzgKNlj3OMOa2K6UDqPUGPs+JqHK+v/Vz1WWLS9GCANcEx -xunAm8q4GjQsoyt/v1x5wzu2vGGqdA6VKmfk0N1NRzEq58AG4ITTWAnidjLb -ZpyZpd3BvQHtSDTaOmZqtfQVQsMhcDsWt86mPuihDM4Rh2fvgwTIK2ckOG9e -SnD+UdY3THBuCSvoHSLKsZpyHhePU145HxwHZYmpqbpy/pyOyz+gIkh1oDS/ -FwZlKUxLA8+nkHKepcAAZco5ITl30bEmq5zFlLl/vNrVyuZoWCcPSx+fvEZW -axuICuTwNIlyvrcRHp0q31zoYzyutj4oZy7f5CEWNm9m+oP8Gbo58jWE5YgJ -21GQsIWUYJXubZUSrOOU4F8qIxZv5WM30oNChbVumHPbEvY6b+yU8+PkG0Qk -jfMMIs3ZHxtO2bibyG09ORowC4o2p2QcG6+l6ZpfuIOb587jbVKwTTnJycyd -v5ebp1DP5uZhj2A1MLruRLG9ATYteFNoFKRsumNtNBjWRrrGeVtcG8ynm+E9 -z/P89nPSTmSCgmqhV8W1EdUzd6M8Tj222MutK4ZiCDeoZyA8H6bV80W5fhQ7 -ez7N1A6dFU1SQ3O+Z2wYNOp5UJl6vpfMUw+g7zk27mHijtVzTNyBen47znPb -C3djZpznTplsuBtMew7JwXVVzk4x9/1bQ+ppNklA3eGSzsU7IXVuuiY01yrq -nFHNs1A13wqqGRkaUTXvPX9s6mtuTDUvHJxVzX7WvMiqZiI11yOpedvKUK+a -N/e4rDNzHA1XzqASgRtXHvHNgBsJNos7Tt7JeJsXEnmer/jcWjmBAKBLo7GK -M//d0qZMTaFrE1bLlwemJu7MfJwp62+GpHMVx5n6djGJbu/i2/VX2JntbNbP -za1TQ+/Lck6NuYZG54dnLYJTw3ucncPM7cj2ih7nFzxHM6aaFb3ZpAP9Tsx9 -l2AfJnAAVeAQVs2JSdPEm7IIWsXVoPKGpnA1Xm6kMHiqFAYH5fwyrYGMcoZ5 -Gd/67YWqFZQzU7U8wdkr55OgN5gIzgsjWSu9+QPB+Sj2atJKxynnEY7gTIBH -rhDCwK2COy7l2IA2bCZV9Q0QGWAJAManJPJk8TRU7JAM0Z7cIXA1civoG6Aa -zSrnUWXKuSlX/ZlROe8YlXMs14xggA+zYICnS0nO/ppH6HnLiNR6Z9d0QKYf -nZ8RTisq53uwvKHv91nlrDBaeIRwbQOGp5N5CJbpQKmqnKFCKJqePvUGSyzf -jHGBOq+cO5JydqvgqJwnwnDoJYrtcGd90/uDIpvmNMWm4YQt99XHnU3vtEHQ -r0RdutY1CG5dOXlxdDpdTDRnZtKEfIBXzo+CdzDSnDcQJI0P1nJXLd1Gmk63 -WRINKOtCwQ5ubW6iXDrPna8hQp1JCpbePgfZ22c/8AnS7dPFzp0bCd1yWlAM -ULbKPvZxp3B0RNa4GQ7GB9IHqfcO7lLmeY6Q9BDABd4GeZ4Vb6NPuKEcM/U6 -rLc/CGh1GfV8nmkXtOr5tJxzY0y5c6Ns9ozqOZqn6DjeF9UzspGz6tnwKrx6 -puY9p547SF/1bOirxuRdmhzcuu9+66ScXW+COEkSit5C8TRjkjGWkJYlGW3z -oes1sR3a9xtf863RoWF8zW3nx26TvdWs+fRMGhAcGsXnZz/laz5Eq2aZNcd+ -Exda9vS5+l0othTiFyM8R2OzLEeDy4BvJ9V8P3E0nmhIO07eXuawWRsobBZX -my2BGFOAzlpvc2RprBCaJiSbiajJLg1MBcq8+SF9zf8XKHRC1rwQ/M0up3FK -58BvBn/zavE395BXf3CbHaz7tTuT24wiT99kv1vAcIZCh78XMkA6T5qPN6CA -AKddmHFqzEIaHb3yfc/2rsU3ij3ObUo8zvtgiQP3nlDEiRlA9to3pcESb7Jc -DU4HjrG1Z2uSwuCq0SaEAhTKuTdEm7oQFMBd94GroaEAxfmMyjmkm7AzuBMp -Z+4MrlHNZ9jfEHm0lkjXzyWcFmaIdMnMrLVSzkPrtwks5/pA10Ll7FnOxdG6 -EyqEnljKj9IICUAkul3jlEYGZm6ePkYnNk05y5G6zx2pPRpXzmeRcj651kuB -cuU8RCtnfmjuwcqZw7RsbroiqT+LyjkynVMUDT84J6r1cog1zUuUM5LpXgCj -E0eaYgcKYWmKq92T6bxyXu2ZzhJlOqT88TlWyHSZqACEbJHpLMo5U76plHMm -aCvKuThKPeAodZyIynmGv/I68VGyytkjohpL2XJnPZPpRks0p/vCkRTLOa5q -g2DwOrPbaU9qEGxRGVacH8+lqSev8+JNxOl0c6Q5OuX8CLFRY7h2g8o7RHiK -GPRwdCRYSzX1blXzZVXGhutC2Q7WNLGDO8Gf52IBkBRUD81k49k1zJ0znI0w -dybOhsOk28dom5OLWygeKb/13AWOVMsy2CMxnptj8PaJ7INUodLZO6hIdQsr -7+5ER2v3yHl2HsKXnOdZEoOfKnwNNw3wbAcRNkiru7p/hvWccW6cZwxS7sid -SSYpxtp49ZxzbpyXOjdw9jxI1HPIvA9i9XzHd5Ia5JZB96Ad0Ih67pflViyq -dGVuxTvBYNV+kqG9TUmbBmt9cvD1Qjn3Xyfl3PulxdBuUgd9LQsTep7uC5+S -UfFMz2NW8zMV3XCCqvkeCiBb1XwtXdVGNQt5LsdrDqq5h1XNtDI+QFTzgRJV -OmxRVM2hjgmaTkg1B2bz1n4Qdgo95s8h1Pw4KALG0D8j58vaTrjWbAZEmDx7 -Hl0azNIorvfP5uJKmQl01qWhaZp23hz3ZK6/Kbz2fy6h0AV8FkWZRgMbSDnN -wrw5+JvDi39FL3KaIVkzefGnyUAcoAWG86UQdwKGcylT4wVl2FQ0uuZUgSYr -50ij8x7n9inH+RHVeIoNKDEdeKX1OB8ZPM7nQTrQKeeq6UBWzpesUco5h9M6 -tPi2DAAQbQ6nxYOyLm9H5dyBiXRTQTlPJ+VMN/6MCYVyfkIetJ2AC6CV86W+ -M7i17wyOyrnzwkCk6w6FnKVEukW9IIDLyplZzs0L5Rwa0E6rj8FbZjmH+MDG -dPsT4HHpRgJ4ROU8LVHO6yfKWbOcjXJ+T6+e5ViZx+gvSjkDy1k9Ri0SHUuF -9wnKufdBwZwpypmqz+DIfIVHRmFobCwgXvMxUGuVc64NxRQHN6fiYBOstTUO -iXImvkY0Oy2PMQE8Qrm1DTCdx0L43x4jHn4NG6PjAl45S/nmP+W65qOkETV5 -Mp3wNYqrsMubIcTf8V3n1uArWB0lOkfPU+gdXqCz+Bzl+TR7Lwjd26Gz3mYF -YvOmTgn2VQ2CwVTIOYGWxfnZNrDQ62MjyqWUrr0pdtU75fwQPDz5dnob6HTi -dWbCE81yYosgIGl82iY8OFe/axDoL+sOboej+TVTUf9ffmyqpGBNTApSM0rp -LVRt6+lvoSrtKHtmNp+4yvFz5zDDYcbzh81tyZBlbbwirg3dKuhIdcbzvAtw -nnmloxKDbIiixCAibCAxGHgbYcZzAxUPXQ2sZ6HVDYRQrlHP5w6L/V3r7txY -U+Lc+N44N2ijOj6nnjV3I9swwuo5odaZhpHJ0Vcc1fO7Qn7bah2Vc89X6hXz -w/MzuBPwPWxsmUnzq2mUBHzPOEfKVDM2nORVc+jQjqq5/dzLE9XcGHnOqub+ -paq5b2g6WWT7AdvQZ4iZza7QbCvP0XCgzBx97hpSzUkiENpOXmyit3kZcJuR -PR84QJsr4CyaMaVXG9nNNtVssxn+it/T1zWoKx6KgcNwrDYMx7L+5m7pa7/H -QdJ8IiXC/rVvQQFg2tzl3Mrnvs2JJEALmwxkHv29VZ0a8sJvPhmaT93KWaO1 -YnHwR4bj/LniOJc1oFzNHudcdyDwfrAw+FSTDhx6XoQCeOVM6UC+7geqdOA3 -MR0oFQ5/j4OyFwyRjrgaLtbEbi93XuOK+dWgnF/MKGfNou0IN75Vzu2Z5Zx0 -oB0LPFpL1epZHDnDcnbYGjpuwxwfAGZmfPNfDcp5PKx0WDm/WPzw1f97lLM1 -QC3P9Qeycn4ZKzgDy/kXPlaI2vItKHvn4Y7nhjIhUc5DQTl3O0zXbNZUw2mZ -NpREOesHpzVm1kkJWlwtzwXlrIZjbHSC42OVs6xs2OzU1SjnXhGhJdUNRjnb -Cs74AM0j0XPKOdTWB7jjoFK4Y+NYdOZr7CPKeS4hpIBMN+1tKip7OVYseNeT -Vc4pX8OhofZecL45R6fQVRb5NPstslmBfmmXYHGGQpdgYNMMo5wAu53w5Ulc -Z6ecOWA7YWlgpNqHJ9LpGEsTjE4bm3UNOjZMsNaualxGfcL2yW30s+oUbK2S -Nn7zeRYQ6ri59mjcfIakTeJ37jZArXK+41VOyYP0y9yDtAV3C2awNc3urcLa -iLh01S8oro1AqmPPM7o2oiHqw0xisDhm+wT6k0oM9lwulZ2RVhdrO5mhiur5 -4lL1vDY4N6jCEzPuop7Prq6ej+B5UFY9r0rU8+Ggngd49cyNKUitiwS4wLLA -fj5NreNUnm+1VtwN9j5H7sa6Kmf3iUiSgO+SapZu8JmGn/EepRU1P0NYHzOw -4QRVs2s4Ka7m2XcFX/McrZrR19x2nibP8ay5JutrHkqfm2P9qjh+cg6jB7tR -zZl+QN90Isxmh/nZhhBZQTWfTVXAkT73J7nO2Xz5IEBmbduJ9zbLtQ4uDcxh -ONWcWSd/xT2BGP737Oa/qKqGf78MzjKaN//2VJoKTGNMvCNrH9FZo2MHau6l -v2q/7ibZHBxmK9xLv2vT5s3pjgwNmzdoMG1z7dSoz7SfKLSWo9H5l/3C8G0S -o6bmOD9bU1z7xTfpCeE4fyUc57sk3vR9AANQcjnbgCIe57XSHTi6DAoA5WdH -joPd1jWUSL55ZRNjTctUrKlrVjlP8wljSgc6Z1dUzg5183ShnB/PKOdb5Fi2 -AyJdUM7n0I2vWc58BPdbFDyaTVPOu4opanjxUD2JHqnn0raZw7c3+pt/Y81y -Xsrr5j8Kkc4dsfAwXV/WOUE5O8CjO17l0YFIpEv7A+2DVClng6nxyvm6EHn6 -D65x2LgJynl1oZxXknJebpQzH5tv4Nh8RVf8lwgEaNVYfTAXBz2a1AfPN0zn -bAEaKmcyZCbVwRRlsspZYkyIzwIcOj5AL862ocTVcS5oe4J6hEZEVlXlTGHb -Q3KRgRdQOS+i65CVM0cG1HGqdAa+BucFOs1i19PtVOTlUoKFcp4bG+zbzh+T -7G70OcqkBOus1zl0cIYmoUB1DGyaTTTXufihAY9Tzq5hIBwh5yXcUAVs0ewk -21BI3gjliTehmdJ679hgxga0cfsNKPoGnckJajcVoQ62n4JA50Zu63dObqMw -d17RNRyr5Z2rtHKLa4PnzhFbEyh1V2QYzy6vHnHpOdbGQgrg4krnAzleIYGT -5zxr+KPuGPwMGrohMQj81EZpdSVNKVLhCbNnVs/u4crq2a19WD1nnRsX5p0b -3ouYUc8DRT1/o9TzIQ9m1HOGn+zUcy9ut36tPqpnTg764xs7B62S3bLf/nnl -7Ju4NzR6OXhDOP8333DxPkim24qLl2jl50yvidbKPGEOoSOqJfMfEvZlXO7t -X9HNrBsBg50yRPjdJd1dJszhA9MXtDJPmJVW9s901MpAaq6PI6/jfb/JlpUT -FwdS81kU72d6xlVit4yFpg9QnzbnAGPHyQYyApsKOcD5hjLPYzD/lue4/5zo -zfh2emRm2p6TnDdDtZ3agobc1wkXyWfRpS5v+30qPyRve5dl7vX7s8w2D5h0 -NxWXfgs9Lgt5wLLOk9SfIQy6ltizjc7mUOCADDqmN7svkAJpQXmD7z05MONs -Vr0nMV1R2hhIXdtKL0OYyX9JOBN4d3rB+4ZP1MvAoQsbqrmFXi694L1envFC -DB4gwfmDuysdP7A0Dc4zXeiXPm2JQ9fR75b52YoTsiNVpukQJjgvioWcTHAO -FfaBCDB88VZ+qXMG6eWLFsfuEwwPCMF5KRKcCajVwFiA9cH+xED0P4pFM09w -hqcoEZzXlOjl7ALnLlPqcFVb0ssd1MJZnqFOLx9m9HLxzPzWPDN9IABrz1gv -q6ODI7F8B8pS6EDRCIA4DvPBALrQUS8nHSityYIpehlIzmxz6pE7Ru7Z+UOW -5JwLCbhL2+pltbQBHt1RQHIWvWwjtnic6Pl5gHp+Lo3HiZ+f3qaYxToWPwyN -CjT02ixVg88SUjUuKK64oJdD9/Zp5HUaoTY2STawrg/pZabShGztsdRZfzw0 -b3J/kDicfa72T04vs5PwyaWRkCpHKGHS/aG0RdDvQL1zMAYDVhuyhl7SEBHV -9AiECc5f9QSHczZjzLR5eLiREq5Thuq8wtxIftrcsbiROgxLKu2/gqzN55lG -wU8UsIY6BehmagCvhj5etlUwBAhkmdNint+Fiosw53QmeI13E3b8VFE2cr3c -qJlv5H6U/uWE50ty3dxy/MLO1Gvm3MTZUp7txHlc9YnzoJtXlmpm3dFNmllI -z66pz3SOJPk87BwJtI32qnMkUC1YL28oGrnxSfP/bLRR+O9/awF0scyptFPU -jOmJo7rT1Dd1r4nqYoE2wFmxQzv6Mm4Pn5LZN4Xn9xytmsM4q8yXEVXzvqWq -mUdcxpdRhxNm029Sr0nNQ+u38Kr5tBJ6xnVEz+AyBi4AxhzgS8TH5M0XArLm -85VuvGOaO+eu9cwCuYygkfs++cg/LZHv301H/jnDTFPmn5gAdA6Q57Hx1FKb -7ZS5O/Sd2Hd9rgINNmKfSRJDYwEief5e03haRtIAf0bLwKCbTMxMR/15Y8+4 -YHahpudYOTfqbA5JC7ftarz3ZK1aLNuy4AQDgM7mRDlT39L9VUZjZMd03wte -KgfKulkq+ySEVs7vw3TMK2eajuWU83xUzmeTKxM5dEOl0l7vloEGILao4MwM -y51dBFozfPGW0hs8hpSzEJxJOacEZz0mc8p5Binn+VwltHT9WIGWQTt+Q1c/ -EpyVcjbWp19EORPB+cHi+r9394ClNcr5p8vaR+XMCxwamf14glPOvTPKuVoH -yugA0LIIgOJ6d+jZUBjsrndUzkxyjsdHl22+kSySZRTm4/+LVDjgdYj+I8nZ -1dWH65zqg7xy/k6UMy9srgXlLCEBE6+NPDrAy57WiHK+GEZb6iEKi5vxvLj5 -3CxuYpWQtTx1mojKOVI1QrDo1QiOen+CUs61H5geoTnFWZobX6Ft5sNZWsjZ -wBGSDQxeJ5MNrOtrOoTa0jna2fegDPER9s2Lq2szvxy9AJo3bwosR6ec2U0Y -o7XBp2Er6+dljxDsQZPSTdOF4m4n8Gn89znyabib6dEdE3uT6hLgJc15HdSt -tMbeSodRH4q/lXpl6BqH0u4z7UT5muY5X3vX4KjKV7tDExffTGKBsrX21quB -hI1YbZ9rFRQ+XfM5Gbrz4nw3iskJljULCmWD1XNx5K5nRt3+axTh2bYL4vHj -gEFj6jkhbSTquYxTl1fPh7F6vjejnhXpOarnfgnpOTDhRD2/g7SNDxRtY8t+ -B/xuj4ZTzvzf63OImf9epkjHHOIbusHQl44+R76Mp8iXEVVziOjfDaqZfRkw -a55Lqpl5c1SwoHlzuVmzzQBq1eyGXQO8LyO2Ag4S1Wz7TVpSddmWPpx0avHp -Cap5U/9wv5ToGdcBPYOtlg8TGItzgNgM6OBYU2BxzJbLwJ1bP+XOOdslEDS+ -5Re9mjcX36W3iTSPr/rnW0p2+Vf0ZjxQ7WrnbVi0X3rqfJmr+UB+3bt5c9/i -dd+/+rw58ZJhCgPIzS0iuVld/c1wyfwYkH9CdnmekDSgLbD5B9qaKaGmFKTF -V37ibO5lnc0/+O8OD8rGlfSeeOVchgHIDsl+zHZtD4Qg0wAIMvnvxtN/D91K -z+uiYKZpuJ1RDSAAwp5I7JhBOWOWiQnOrJxv1/RZ96il2qHWC9ycLK+cezPB -eVGGQ1cXCc6DheDMN354sI4olDNDa8aSHYqjtzfHXFPloYaNBEP7PCAeJ9JR -w+4TB6pZmlHOHgug4rZbRRwtKmcODbzUPLQGCtqRqtCg+wSP13/QpmkepV45 -j0TlfCA9Oo1yTkIBoJwz65r0+CA8K6ecXzABJr7WZygAOpKccwtkxaND5eyL -GohHR8pZQOjUgZJ9hFZRzrZGCB+iR/OQi6/oa1LlzCWcA1QJ5yepcn4lXL1c -8NtB8egCVaM2AGpoeBSUs+PRcXd9rVA1yOE8B7OBrJxzPUJ0lhYdTUCo0IOS -5mtjD0rY3bQsrrGt/brUXV9nka2QHc43BpqzU84EdA4OZzhGb1IrVwqlWV/I -GvL4nGsg6NP03Dm5oV5ooauD3Nz54Z1UPlDDaGIfSpw7d5K584/FzfQD3Uzf -w83Em9AVZhMqnSi2ixuPl587m4fp9qFiiDOCEVpDAQK5oSxhA3tRQv5mrmoV -hGPm4DVuO8p2KEV3djnBj1RO8BmbE+yKOcEAslGMuhLCs3ca8sM1082N8dyz -1sWvcRHAbqq6nVcatzOz6ox6JtKzCyW4B28IJmj1jHlBUc9vaZWLs+Et1lE5 -d5wYZ825WbabV3VEQjOw5kIgwrYBPkoDLJ41g2qecwuo5mv0rHkeZgBNIyC5 -mYNqHtGkWbN7oAcAFqrmLrTi0qo5fHIcqXmrQjUHUvNoWncxPeNKqC+zfdqP -GXqGzgFqWvMCus4Ddw5dGvSSn7dpIP3wGhlsl6yapefkdePSoHlzskoujfu7 -b1O7tOvEvuyPcFc8+ciAoiEve5w3Q/3ZNzW5/LK7+g0305ObLfnnNvou5TC0 -uYFZ+B4FHACDtAIOwO3AFGVeMeg+zUO0hN78vayYr2TlzGGmIzQPM6Bn16pM -YNIYOBYQAN6OCcoZ4VkJTQOVc0AAhGs+wLO6ATyrQw6eNZWveVDOExQFoDah -aaBy5sazSHBG+qwiOC/KcOi8cu4OR7BNgNdAZ/CIxVvIg9Xd+JeQcr6OlLMQ -nBvCegfh6G+Ccn7fHLWscp5bXTljdT1D0bPKGbpPflEr59aVn3LK+QxQzscX -x+pQVM7h+KTKOVfaEMuCuT0wXu1QFqyws49krnW7To4DsXClM8l5EXSgpNFa -f5XXMskZcY7f6YozusKvMrX1thg4kpzT44Q8OlUlRAOuwaqEc5UcqYF3ZJSz -OVL9DI9uH1HOc+l6TNCOVEP2fKGcw0u0M58nmw1ErqPrri/OUxtPpzmz0nHh -KK+cu0o2kPY3VWjomkyzG0GgtvUpgVMWb65eoMxzvDUo57toU8pZHNW/vSxu -SBMQunFscGrd3VTfAVlDQdATIE0rHw4ozQfauU5j29Ajuobb6eBCOfffN6U6 -w+20HMs5EzadDhAIIdV0C/Dj9GM4YnnCxjOKsKGB6ZMhSJBxOmdzgqyeP1HN -guwz5LlP2Jh+B5QNzahThOcmqGdOup89QqtnZ57yxxGcG/5IGucGP2pzHSmD -E/W8Ijo3iFWnZs/uFnwkrIq8bzGnnpm28bKlbSxI/MiuxW/zfgeug3LeOPx3 -4Kx5ygzoW2HV/Bb1mryaqub3STXPZNX8EKnme0U1dyKHhovoZ1UzOzTmB9Xc -pnTWHFUzoy6dqbK/Us0HURCpmmpmfOzO3mR5XP1fiqt7C2LObSbMOU3P+PP/ -3955BkWVZ1F8p6ZqdMY46rhjt4o5i4RuUEAREAGRIIqKGQOmUTGhYs5hEEUx -i4qJUVCCoEALdCKLgiDSKNLtqOCHLefLfj57X+p+3TSDO7VVa+1K1e1qul5R -r6j+vXP+793/PS2mZ1zie5uF6RktM07aG8MYjAHAbJfGdy3mzjGu2ax7rK2c -k0zzLo1/iic2syt60V5Ai04y8XXJLOtEvGmJ6Sabb+omY1b1H4WUQH6KBpuv -7S4knYaY52s7tTESYLCpU6Plij7G4lmYeDVvbZJGDnst0orDG0SBwcIK3jiD -zoHbaZEknt4svlEmymQyTm8Wb2b6s9wTQeotHi9bH571h3F41udkbVsbO2uM -OqPrA7OylueU8flJhWaPlrl9CewNMs45W06e5Z1zsbU5dPwUgFYTz0wTnL3N -5tCZ7pNxWwwYtRdnN4zgnTOztYAWreSchcxgwTkbJzjzzplt0LRwzsJClc0+ -qTGPFKrinbMxiNM4EqCrxWB00aAaiwnOxsfNZs7ZSginRbNmC+csikJjnPM/ -RM65yWzhSQg5/XkGil6cu231ppjYObedgVJmreFJcM79K1rMo0u35W6EpRhR -em3aLuBq4ZxFg2mOiUbMmm0ZaG0huviPFhko4uYnS6QsnXOo2DmffNf6PDor -DVCCcx5r5pw1ZlM1nPmpGlzDouCcr/EyaNobKC8xzxKyK98hcs7EU4XldBph -m/ss+FWY7w0MtshBMeUIcb3OzGSaCHLOQq9ztLHXmV2BMs5ZWISyvc41HUT5 -21zOwGN+7lORSLXMwjdbDEIX7vFYNjy1svi0yLHlcgVGWMkVcDDloWxyYnfg -fFrdRr+ztRxb4xNR6/edhcc6b1tJFHzTzzxfwGoedx/xo51E0dhH8RZc6/Pp -jD3P/Z6wzVHifYLGnucxop5n3j3f4rcXJLoKC1bhqan1KRvGGXX8lA2r7tki -XdCae14ruOdVJvccIXLPrWaktOGeZwjumelVjBO7ZyFlsNHknq+au2e/JG6r -Are9l1sAm03bMEvzMyUN/jXn3BF2aQrYp+XCPj0bDulZcMh4AMeMNKr7kD1I -oUqGLPMOZFm3qW5C9jCR6irkjxKoLlNdgCz7HNUZyHJOUZ2kioUsN4bqKBwV -JL8Kulwo9sJeQU75MTnlvO0Yk7cVtvmbMTp/A0blR2JkwVqMKlgN24KVsCtY -DseCZZApl8BJGU6KvxAuyvlwU87BBOVsuCtD4aGcDk/lNHipguCtCsBk1RT4 -qnzgp5oEf5UnpqrcEaByQ6B6HILVTpimdkSI2o5qFKarh2GGehBCNTaYpZEg -TNMT8zTdEK75Ecu0XbGKap22CzYWdsZWqh2FnbCH6kBRRxylOk4VV9QBZ6gu -FP+ABKprVDeLv0cSVUpxe6RSPShph0dUCqo8KhWVtrgdiovaobSwPco0P+CJ -qiMqCjrhWV4XVCq64nnuj6jJ7o4Xj3rgZdZP0D3oCV16T7xK7YnX9/6OhpSf -0XinFwxJEry9JcW7G1K8v9YbTVf6oDmhL5ov2uDj+X74eGYAmuMGoTl2MJp/ -HYqmw8PRtH8kPuwZhfc7R+P9Nlu822yH39fb4/e1Dni7yhGGCBn04XI0LnBC -Y5gT3oQ6o2HaWLwOGIdXvi6o93JFvcd46NwnQjfBCzo3b+hcfaEb54+6sYF4 -6TQNL2XTUSubiVqHMLywm4cXtgtQMyoc1SOWoHpYBJ4PWYnng35B1YB1qLSJ -xLO+m/C0zxa6tkTjiXQXyqV7USY9QHUEJdIYFEtOoEhyCoWSs9BKLkItSYBK -kgil5BbyJb/hsSQFCkkqcqUZyJY+xENpLjKlecjoW4DU/ircG6RByhAt7gwv -wu2RJbhpW4pEuzJccXiCBNlTXJRX4pxTFeLHViPO5QViXWvx6/g6HHHX4eDE -V9jr0YDdXm+wY1Ijtk3WY6ufHlFT9dgUpMfGED3Wz9AjcqYea8P0+GWuHqsW -GLBikQERiw1YutSAxREGLFppwILVBsxdY0DYOgNmRhoQstGAaZuptjYiOLoB -wbteI2hPPYL26xB4qA4BR2sxNaYG/rHPMeVkJfxOP4PP2Qp4XyjHpMtl8LpS -Co/EYky4UQi32xqMu6OCPKUADvfzMIZhmuE5IwuOD9KJ4XvE8F2e4euMc+YQ -ZvA9T+jGc9jmHieHwCB7iMd1F+weR8M2L4rFdGT+OsJ0NcYUrIAD4Skv4NB0 -Vc7DeGUYJhKWnsoQQjKYkJwKH5Uf4ejN4aiewKMoJxTteQyHEob9MVPTixDs -gYXabljK4xdZ2AWbqbYTfgx6B6mOEXaxVPHFJuyu88glC8gRXtk8csqS76Cl -YnHTfo9ydQdUKDvhaX5nVD4m1BSEWk431D7sjrpMQi2jJ+rTRJjd7QW9gNn1 -3oRZHzQxiF2mumCD5rP90Xx6IJpPEGIxQ9F8dBiaDo7Ah70cYu9EiL0VEFvG -ICZD43w53sx2xit/d8KJMHKZwiJUxyIUglrHUEJoNiE01wpCK/B88GpUDVyL -yv6EkM1GQiiKJHobKqQ7CaM9hNF+QugQSqXHUCo5jhLJScLotAVG11Agucli -lCdJJozuIVeSjhxpJh5Js5HVW4HMPoSSjRKpAwilwRokDy00oTS6DNfHlOGq -PaHk+BSXZM9wnlA64/wcp8bV4AShdNztpRGnAxPrCafXHE5ejYj2Jpx89dji -r8fmQEJqmh4bphNOoVSzCKk5hNQ8A1YuNGB5uAHLCKklhFT4cg6p+RZITd9A -OG0iid2iR/C2NwjeQVjtfoWgvfUIPEBYHX6JgGMvCKtqwqoKU+Iq4Rv/FD7n -CKuL5fBKKIPnlRJMTCzChJtauCZpMPauCjLCyj41j5PK9EeEVSZhlUZIpfDS -eIuKkUVGEi9Cnn2WmDpNTJ3gZFBxhJdARv52cNKXt4mYiiTJW8NKnoMygpU7 -Z+UikjqBp5kcT0pG4vxF8uaBALUbgtRjiScZsWTLSZpmAEmaFHNIzhZqu/Ms -dUEkVZS2M3YSQ/sK2Ykah0Uqdo5wuswr2C0GpRJCiSqTEMoRKVcRoVRCKDGq -VS5SrSrCqJowYhVLwIhVq5/RkNyLVSv9bcLoJmGUSBhd5TG6RAid64fmeFKq -k6RUx4fwCA3Hh32kVLtJpaIJoSg7vNtAKrWOEFrNq9RiOfQLSKnmiFRqKqmU -D6dSOk9epcbzKuXiizpepVjE5IJKEWL2hNiY+agZvQg1IxejevgyVA9lEFuF -qkFreKVaj8q+G/GMlOopKVVFb3PMyqRHCTVGrWIJsziqeELtPDSSS0bUlCxq -SYTaXUKNVy0JqZYki3DLQZZUgQd9OOVK66fC/YFqpIiQSyLkbo0uxQ3bMlKw -ck7BHCtY7C4wKkbYMSrGoscoGaEXQ+gdm6DDYR6/fYTfHs8G7CL8GEXbTghu -8+FUjcUwgDAM5pSNQXG9gCKp2xoeR0bhBCRZlVtCWC4jLEnpwlcYsHAVp3bz -CM05aw2YzeM5g/CcTqoXwiAaRcUqH4dpEKt+rzgF3KdD0AFOBQOP1PLIMkpY -bVTDKac4RfQ9w+D7BJNJFb0vlWESIeyVUArPqyXwuFYM9+tFGE8oMwrp8pua -xdk5WckqpeO9fBbrf885f8s451SqT6yP/iS8qNjfv7X7m+inQxt/EcaXhv/C -kR2tfLbBymcb/8PHWfuso+W5sjs1O3xBZ/i98aw+/7/Zw8pnP3097os67ks6 -l889rgUtX7+L/xPHfUnn8vW7+P993Jd0Ln/lu9iLeQ/mPfvSyLx8wx/3zb8A -+0GqGA==\ + 3.843299075084392*^9}, 3.843299107422085*^9, 3.843631924942247*^9, + 3.8437288035082827`*^9}, + CellLabel->"Out[74]=",ImageCache->GraphicsData["CompressedBitmap", "\<\ +eJzsvQeQUMXSP8oHZgHJQTYQzZLZQBQkg2RQURAkiqAoYEIBMaBgxAAiggoo +CEYk55w2sosRFSQZgMWIVr2qeT0z3TM9c+YsXN599f/q1btVZ6/CAst6evo3 +3b9w08Cxw4eMGjj2rkEDq7a7f+Do4XcNGlO17b33ww8V+58iRYr+VaTI/+yr +WuQ8+GdRpEj4w+kiRc7xB65UH89/dNiwYfuHDh36Dzzr4WkD/15ktPq5Yjvh +3wU+RYqqj8Wayo/0v3vkh/P0T5TjP3G3+njebUOGDPkOfjwTnnT4je+L+/xR +Z/kHjirkiy7J/14XDIcfSoWfKn3XXXe9BP/8L/xz7cGDB9+r/6Ru8K+/w9Md +vsIiRS8aMGBAEfk9t/+7R/8N5M/Iz/m/4HPlP+sv4ILG8EPyD+8C//8g/O4F +8M/yLzQ6/KtGn+UfOqrwr72E/qxq6ntQdfjw4UWKnTdp0iTzy4vqP+YAfHpn ++UfI/w23n3w+/2T5N+grv7V3x3xCZ/z68TtxQTb8s/y+089/Cv8+i/6bFilm +Pl3+7379Y+bPkP8bG/jiiuvf6ir9XSsKf3qlESNGPA6/6yh4xuhfcQp+RQ/6 +g0N/Gn7X5Is2in2B78DnLIQfC/2S0G89OvxV4Gt1tf7ZufAj8r38DB75mfg3 +lf/FjsMPqW9gIV/j2/Dvn7IXurBv4pjY3zryVeCLga/+FfC71IP/nuUHDhwo +f7V8USvDo1/9Cx6Df7wFPiV50KBBS+Cff4SnWO/evfElvRl+xd3wQ/y/vfwP +KV/CXvBz8pe7r7v7S+4r/E+5t/Cv0fmbnN8Jz47f4NkBn9VW/gH6Dz3/dvj3 +LfDv8r/h5/BcDQVC3/oP4N//pC9I/4nqLJJnRgb8ujT43NCn3lfIbz26kC8J +35H/xrEc+YFK6gfUqSg//KBORf2jRYoJfjgWD/xYucCPlf8vf97/V/6M/01f +y//2v6961/7n/38X/9/6M/43fS3/2/++kXdRIcxLA595//+hH7vYfFVnXy3/ +p77Wc6ns/61fq22m3/7XPlP9maIufdhYV31K1SLsf2f6svDVLCV/j0tqXCEf +uO3Ij+eJS+HfL60un1ryKSaKV69VVBSvBv9Uolqt80WJqrXOEyWS4cdKqg9J +8ieS5I8l1oI/pkRCLVEyoaZ84Le8LKFmUVEK/rEYfKwhSlWpIUpXqQE/IT8W +E2UurwFPdfnAj5W9vDp8qFz9PFGucrVionylqkXOF+UrVhUVKiazJwk+tWKF +pCIXi0oVEkVlfC6Hp4p6EkSCfMoniMTyCfC5SeUTiork8lWKiqrlqsBfsFq5 +KvBcLqrLp+zlRUWNspfDH1SzbGVRs0xlUYue0pXhK7yidCX4JVeWrgRPRfVc +VapiMfhQoZi4ulT5ovChHHzCNZeVg6csPcXEtZeVOR8+lD4PPpSC3+fakqXE +dSUvkw98SfCxGHwoKT+UgF9+XYkS8BSnR36C/HCZ/FCqOPzy60rDT5SGTypT +Qv7yMiWKievLyg/lShQV15cvAZ9yffni4voK8GkVistPqSB/7LqKJcS1+oEf +u7aS/LFrKpUU11RWD/zY1fDxPHH15SXFVfRUKVlUXCk/XJFQskhFUSuhJDyX +iZrw1ICneuJlopp6Somq8CTDkwRPIjwJ6iktqiSVFpfDUxmeSvBUTCojKsBT +Hp5ySWVF2aRy8JSX/82TKxQVZZIrwhdRJrmSKK2eyqJU1crw3lSF/ziXVYX/ +cCWrJshXq1oCPInwLibCr7y0WhK8m9WS5QtaFV7Q6tXOgw/Vi8h/rwFvLjw1 +5CsIH4vBq12ryAXiYv2qq4decXq7i8q3u5h8u4vJtxu+Hni95dstX275FJMv +eFH1Xp8n32v5WuunSg39Pp9v3uey9FSWX495m4vD22zeYvkOwxucZN5i/w1O +lO8tvLzwhcPbK6rKR726+PrSq3ue/+qqt7aYfFnViwqfcFWp8vJtxadcUfm+ +yg9l5ZsJryy8rfIpYl/Z88w7WlS9oxfA21hCvpHyhdSPfiWLqXcSPpYtIV9J ++cA3Hd7L89R7eT68kyXk22gf/S5WhF8JL2Qx9R4Wk++hegvhgZ+F9xC+WfAm +yhdRPVfIR72J3tto3shSReXrWORcXkjnpYx9J0vjOynPsqpV5IspnyLq7ZRv +TyJ8Prya8sXEJ1m+WcnyjaxWVb6l8lHvZzX5vlZXb2ox9ZrKY7eWfEvVA++p +Po3P56+pfqrVKhL/qpZkryr8YnkOX4YPvql49F4gylQxRy+9qvDAF2be1kuD +b2vkTeXnLJzJyfiaVnVPWfmm0nsKnwtvqTpcK8tXVR2yReX7Kl9VdcRWoKdo +3CkLr21R+c7KD6XVAVta/hMdt+rjdZf9dw9d7zW3x6/8UBx+S3n+sve9qHzZ +1buuD1/4G1QqQYevfPT5e757/uozGH53cwgX4689fCnw4heVbz38BJ3C8tUv +Kl99+N0CLz/8HLz+8HNUAKwI4OegDIrKGoDfEKvAHs/FVCmc75aCLgdVCfIA +YvUQOrBLqAO7OFZIEquQpPNUhZzHK0Q+8iivdr4sEvlPEipcWkMd6PZQVz9m +aqZIMfxYrHjxG/4zGCQkdBIXVKwsGq7ZJVJW7xZpqzJE4xWZosmyLNHs8yzR +4rMc0fKTXNHqo72i9ZI80WbxPtFu0T7R/v0vRIf3vhSd5n8tOr/7jej89rfi +pjn7RZe3vhNd3/xedHvjB9Ft5gHR/fWDovurh0SP6YdFz5eOiJ4vHBW9njsm +ek39SfR69mfRa8ovovdTx0XvySdE70knRe8JBaLPY6fELY+eEn0fOSVue+iU +6PfgKXHHA6fEwLGnxKAxp8Tg+06JoaNPieH3nBJ3jTwl7r77lBh51ylxz/BT +4t6hp8R9g0+J++88JcYMLBDj7igQD/QrEA/dViAevrVAjL+5QDzau0A81rNA +TOheICZ1LRCP31QgJncqEE92KBBPtTspnml7Qkxt+6t4vs0v4qUbfxbTWx0T +r7Y8KmbccES80eKweLPZj2JO04Pi7SYHxLzG34sFad+J91O/FYsafSMWN/ha +fFj/K/Fx3S/Ep7X3ic+vyxPLr9krVl6VI1ZfkS3W1sgS66tliA3Ju8WmxJ1i +S+I2sTVhs9iWsEHsSFgrdiasErsTlok9CZ+JzISPRVbCEpGdsFDkJCwQuQnv +ir0Jc0VewmyRnzBTfJH4mvgycbr4KvFFeJ4T3yQ+K75NfErsT5osvkueKL5P +Hi++r/aw+KHmA+KHK8aKA1fdJw5ec684eN1I8WPtu8SPdYeKQ/UHiUMNB4rD +jfqLw6m3iyNpt4qjjfuIo017iqPNuoljzW8Sx27oKH5q1U78dGNr8XPbluLn +9i3EL52biV+7NxPH+zQVJ25rIk4OaCwKhqSLgrvSxKl7UsVv96eI3x9sJP4Y +31D8MbGB+POJeuKvKXXFX9Nqi79fvE6cfuVacXrGVeKfN68Q/8ytKf55t7o4 +vaCq+HthVfHnB8ni9w+TxG8fJ4qCzxLEyc+riOMrLhe/rKosfl5bSRxbX1Ec +2VRBHNpSXhzcVlb8sLO0+G53KfFtRknxdVYJ8WXuJSI/7yKRu+8CkbnvQrEL +nq37LhIb4Fm972Lxef4l4mN4Psi/VCyAZ25+cTErr4R4FZ4X95YQz+4tKZ7Y +e5l4LPcy8UBuKXFvbmlxFzyDcsuL/jmXi9tykkXf7CvELdm1xc3ZDUTv7DTR +M7uZ6J7VSnTLaiu6ZHUUnbO6iI5Z3UX7zD6iTeat4sbMfuKGzAGiWeZg0Thz +mEjNvFs0yLhH1Mm4X9Td8wA8j4h6uyeIBrsmi4a7nhaNdk4VKTteEKnbp4u0 +7a+LtG2zRNrWOSJ9y7siffN7Im3zByJt00ciddNnInXjMpGyYZVotH6daLh+ +k2iwbpuovxbqedUeVcvNPs8WN3yaI26EGm6zOF+0Wwi1u+ArOdnshKWrynYW +lOwMKNlXfxQ9Xj6MparLtPfTv4reT2CJyvIcD6X5sC7NAeNOiTuhLIdAWQ67 +V5fkyBG6HEdDOd4/6JQYO/CUeKB/gXjwdijDvroMH+sFJdgDS7BzgXgCyu/p +difEs22Pi+da/yJexNJ7DUpvpiy75odU2b3T+AcxP/178V7qfii5b8USKLmP +6n0pPq3zhVh6fT6WW65YXStbrKueCaWWITYl7RSbZaklbhbbE9ZDqa0WuxJW +QJl9LjISPoFS+xDKbJEqs71QZnkJc6DE3hT7EmZAmb0KZfYSlNjzWGJPi2+h +xPYnTRDfVX1UfF+dSmyMOHD1aHHw2lHi4PUjxI91hotD9YaIQw3uFIcb3iEO +p+jyOoLldUyW1w2dxS9dWovjtzUTJ++E8hmWLk7dnSZ+G50ifhvbSPz+MJTP +Yw3EH4/XF38+BeXzbB3x9/PXi9MvQwm9do34ZyaU0GwoobehhOZBCb2HJbQ4 +WfwhS+iTRHEKS+gElNCvrISObqwgDm8uL36EEjqwo4z4fheU0Z7LxLeZUEbZ +xcUXVEb5F0IZXYBldKEqozX5F4vl8HwGZfQhPAuhjObBMwdK6Q0oo1fgeQHK +yJTS3lLiQXjugzK6G54huWXFHTnlxe05VaCUaohbs6+BUqoj+mQ3hHJK1+WU +zcvpJl1OWb1F28xbROvM20TLzDtEi8w7RdPMISIt4y7RKGOkqJ9xr6gNJVUb +S6r+7olQUk+IhjunQElNg5J6UaTueCVaUltsSaVt/NSUVMqGdVBWm0RDKKkG +a3eKRlBS6fEldXb19AzU01O/qpbXZ+JJ0Qda3a3Q6m5/SLe5O6HNDb4fa2kU +tDeopVHY2nQtFahaeghq6RHZ0vrodjaxG9QStrKn2us2Nq3Nr6yOjqg6mg3t +a27TA0WKbBHvQinJ7rUw5VvxQcNvTOf6rHa+WHbtXrECy2gtlVHiLtWxtiVu +ghJah91qOZSQ7FYfQbf6AEroPexUvIRewRKSXeoZKB/ZpR6HLjUBOtQj4vsa +D4ofahXWoQZA+fSDDnWbOJJ+izjSpDeUUA/oTl1VCf3Usj10pzbi59Y3Qoe6 +QfzSsbn4tSt0qF5NxfFbm4gT/aBD3Qkdaqgur1P3QocaIzsUlNd4KK+J9VWH ++nNKHd2hXrhe/D0dOtTrV4t/3rhS/PNWLfHvOzWgxKphiSWLvz7QJfY7dKlT +nyaIgqVQYsuriF9XQqdaHSizreXEwe2yW5VR3Wo/lNo30K2+yrlUdax9UGp7 +odSy4dkDZbYTu9ZGeNZCqa3M153rE3iWYMnNh+ftvOJiNjwzqOzySoqpUHZP +wfM4lN6j8MjSux862SgoveG5ZcSgnDJQfhVEPyi/23KqQgnWUiWoO1p9KMMU +LMOmokf2DdDZblSl2DWrg7gpq5Ptblm9RDvT4W4TrTL7qy7XHMtSdrq0zBEi +BUpTdrt6GaNVx6udMU7U2fMgdr3HoEwniQa7oUx3PYWlKrvf8/C8pDpg6vbX +oFxn6JLdNhvKdi4870DZzlelm755oS7fzUughD+GR5bw5/AsF6mqjNeIlPWy +lDeIRutkOW8VDdduFw3W7BRl23YaILFwif8QMTdYu0Oh5kaAmlPVsZAhmizP +jKDmGz/M00eERM0LNWruON8eFTfN1ai5C6BmdWQgau4hjw1CzS8eFT2fB9Q8 +DRDzs4CYJWp+8leDmvtMLBA3P1ag2nJfPEr6M9R8J6FmOFKGcdTM2rRGzQUG +NT9YCGqeSKi5I7Tt9rJty+PmuDpunm8dQs2HxOzmEjUfEO80+cGiZnnuAGqm +Fv4Jnj0GNV9J5w+g5qoZYmPSbmjjO+AM2gqtfBO28jWqle+GVr4n4VM4i+Q5 +tJih5ndsO0+cie38ZTiLXhBfJ06D82gKtPQn9XmU9Jj4vup48UONh+A8GicO +XHm/aek/8pZOZ1KjfuJIal84k24G1NwLzqTu+kxqIc+kDnAmtcUzqUX0TOoP +Z9KgxqrlF4yEM2k0nEnj4Ex6uJFq+X96Lf/vl+E8eu1qcRrPo3/keTS/mvj7 +/ar6LFoCqPnjJDiLEqHdJ8BZhO1+TWXx07pKcA5VVOfQwW3lxA87yiBivkwj +ZjiD6PzJgnNnNzzb4JFnzxp4ZKuX585ieN6HM+cdaPNvwpnzOpw3LwFilufN +k3DOTIDnIafNl4NzphKcMUl4xlyH50uq6CXPlqwb4FxpAy2+g23xmb3gPLkF +zpPb4Sy5A86SQaIJniMN4Qypm3EfPOPg7HhY1Nsjz47H1bnRaOezBjGnmvb+ +FpwP8pxYAGfDIng+BMT8aQAxbwXEvFPdgGUdyxqW7b3Vx9Del8jaVXWrEPO8 +r22HfxPL9TUs1ReP6MutQsyyROFi+7i81J4BMY+KIuYxgJjH+Yi5t4uYJ0vE +DJ1eIWbT6X8KIuZ5DDEvLgQxy1avSi15F5TadkTMG0yZccTst/s8BzG//F9B +zIclYk7vAy1fXkihvG64CRBzG7h0NhMFgxAxQ/n8dh+UzjiOmKGdPw3lM7U2 +ImZdPv/MhPKZDeXztm3nfy1KVoj594+gfD7BS+cye+n8iS6dG/Wl0yJmbOOZ +0MYRMecZxOxdPBExf8ra9zwoo7fgmYmt+3koo2fgmcwQ82gooxHwDIZS6g8t ++7acBIaY60IpNYJW3RhadfNYxKzbMy+noQoxN8wYpVpy7YwxgJgfhHIarxBz +Q0DMjaANpwBiTjWIWbbfN1XrjSJmuIRuWA4ltRra7Hooq80aMUN7VZdQ2RaX +AmKGlqja4Qf5qhV2RMSsWuBsaH9yaCQHRq8csoh5mkTMVEsndKvjiNmrpRF0 ++xwGtTRED4LGDrBDoEdugVYGiHkCImZ18+wo6+iEqSPZvl5peUy8Tq2r2UHx +tkbMunPtV4h5cUMoI0DMThldmQNllAUXTz3j2SxnPAlbzHxnV8JKLKFPvUun +7FJvQZd6A0rodZzrsA6V9ASUzyRTPt975fOjVz6HGnkXziY4z2nB5jmtaZ7T +HOc5Td15zmAoLTnPGZWqyus3WV6PQHlNgM4kywu701+yO70E5fXqNeL0TD3T ++XduTfEvlNc/C6qK04iW/1ySpErsN+hQBUupxAAxr7pcdalj6wAtb5BoGcps +a3mDluXFlMpMXkwJLedBmeXAxTQDS4061jp4VkGpLcNykxfURTjrkZ1rNpQa +ldyLgJanwfM0lJwuO+hggJTHwHMPzn0G50q0XA4uq9jJcmpC+V0dj5azb8Su +1t5Byx0kWs7SaLl1HFqGspRouSFDy3X2SLT8kIuW1ZwIut6uZ6BMNVpODaHl +rbOxZDlaXgTPYijdDyNoOYXQ8gaNlhuqUt4KHXI7oN2doiF0yHNBzBfKGfPa +bRp1r9W/Twqh5mWEmvURIbtua4Wa80S7DwKo+R2LmrvODqPmHi9z1PyTQs29 +5BDryeMOau7DUfPD3qz5foua1ax5lEbNo+7C44XNmscGUPMjhaDmJzucVKj5 +WUTNLyjU/JMZeM2A9j2r+SG6rNsWzm7rSxp8pVEznD+fXY+o+Wo8g2ri8Euh +Zt3KtyTKc2gjtHN5c19tUDNv59kJ70M7nwfn0dt4Hs1S55G9wT8PZxK1dHkm +TYQzCVBz9UegpT8YM2ceJn6sPxjOJZwzp9xW+Lkk58xt4FzqAKj5JjiXesC5 +dLM3Zx6Bc+YxNGe2t/i/6Bav5sz2TPpnbg11JqmWv9C2fDqPTsjzCG/vCjFv +qGhu7gfgLPp+pzyHSqkBmWr3ey+GM+gic2PfDs9mPH9WqOHYxWw4Bq0+T7f6 +6YCYn9srz5ySYhKcN4/s1efNSDhrhirEXJG1+WuxzacAYm4CiLkFnC2t8Wzp +DOdKN3ULb5t1sxmKyfNEtXhAzI2wxdfNGAvnx0PQ4h/F84MQM5wb21/GM2Om +Oi/St76tzgpCzGmbPoEWD+fDhpXQ3tfCubBRnwlwAybE3BRvvap2l+Sp226H +9zVilisiKNkuc/br1RAh5lfwcitLdOrPeKklxFygV0BUkuPsJdbMxe5miBnK +cAyUIZWgRMyq0yNinsgQs5qNtdNl92LrKGJ+S3b7JhYxL2ykW/2H9e0FVQ3H +rs7FlY6PmLcgYtbtfjdr9xYxz8NVjkTMMz3EPNUrL0LMWF5XQ3ldew8g5rs9 +xIxDsjR9IT3SpFcYMQ+Htj7qDIj5BSid6YiY6cL5tr5wBhEzlY+PmDcFEHOG +HXypEoJWnpOv27gcem2B8lmPiHkZXjyX4MXzXUTMctg1HRHzFETMj0IZPbCX +r2skYpallAilVNMrJYuYuwJivgkQcydo0x2yekCL7u2tbPACmjGCIeaxqiVT +OTXc9SSUE7TiHc9pxLydEDO133nwvA/ltFi33I1LXcS8brNuj2t2qWGSRMzN +GWJuq9rgl0HErNoeIGZbSz/ptSoh5gkaMcsWR7dPuUYdgitUhZihpd0LLe2+ +IWdXR3xXIwc+L0PrehUQsxz2zLI1pBBz+nd48dRzno/VxdNuRlddkWO2otSp +5EbUXdMshRKibej7pnzyE2br8knQ5fM1u3CqLai6cI4X3+M85wc5z4mbL6sN +qJ4vH22s58tH1SynkziG8+Wf2rQSP7fT8+VfnFlOY3FykF3f6PlyIz1ffhRK +a1KoM+F8eRaf5wBaft+W1x+4BdUrHCgxhpZlhzq2gUqsnCmxH9Qah21DWZnx +i+k2KDHZrdbn28upXOd8hJvR9xAty671Bm5HX4JnGpbcE2reU0o8DM9Y3JKq +i2pOGTEwp7zol1MZ0XINRMvXQ/nVUxfWXgYtt8CtqYuWOym03FPNlmnd0wrL +Ua58mjlo+W6FluurLgdoOQPR8u7xDC0/gTOiZ3ANRLPlV2znw3mRg5Y3a7Ss +y/Yj7ISf6W64cQXOlmVHXI9dEdHyWo2W1Wx45R5R7lwR8zqLvtX6abXc6u4W +adBx5REhu25ziZo/JdQs51V0XHDU/LVFzXOiqFmtqF7xUPNUffHWqNnOmnvT +rBkv4YahMc4yNIYwhsaIkXGouUBdzNWQ6ywYGk9Ihga07int7MDrBWjfEjXL +y/prN+j1lj5+NGp+l62KNWr+WiyROy48g+Stfdm1eaqVy3NoTU24uVeTu649 +atelzyKNmi07Y7l3Hi1y2Bn2THoN2rpmZ+hbvN177U+Gc6kanEvVH1KDsAPy +Jn8V3OSvgbZ+nW7rP/I5c4qdM6u2TmfTDZ3hbOoAqFnuvvBs6qTPpuNwNp2A +s+mkOpsa46As1Wv77CY/Ve+9Tk9n59IcuMW/Wx1u8XrO/OcH0bXycW+tTOeR +YmbgnFm2fLq556t2r88h3u5Xqf3WxYqZsQh3W3PZ2fMCG46Nh2cs3tCH5ZYV +A9Qq+XJo89XwrNGrZNPms26ENt9OnS+dsrrqs0Uh5r7qFk5r5PRMvUaWLb5e +xhhAzHJHNR7XyE+KhqbFy7PjVbxla8ScJhHzloW4g/oEW/wKaO9r9E163RZE +zLtU/UqGlazdlh/nKsSsalYj5o4KMX+jCFWyVLu9ccB0+R4MMfMu31t1+QKz +/qFLrNwky1Lkl1fV6T3EbGZjve02mS6rHDHLktPdXq52DvNurxDzglQ7HJPr +ZIuYqcyQBOUhZrfElqlVjl0p80splpciP+k1jkbMfEgWuJAaxHwXIGbd9s+I +mG8nxGyHYL+bIVgD8efkAGKOtPRqtqXLFc1HbF3ML5xxiHl3DGKG0pGIeUeg +hGhV854ZeGnE/HKevnhOwdXweGjd4/bqMhpuCE7+uqae6I2Xz56yXWf7pdQD +h1p9oySnjBE4yLoP2jJHzHxlA+W0ncppJiLmt3FY9X5MORFi3q4R80q5eoVy +WqrXrq0/NOVka2kuv31CLU0/pPY1PZ/DWpL7mieOqxZ384QCs6/pT4gZ62g4 +1NGIuxli5nXEdjWP9sL21QXqqJNck8rWdUJMpRoK72kkYp6frvmEasZjGBmA +mLGEDLGp6h5FbFKMjIRNONdZBYiZ+IMuI0NvQWfpFU3CK9iZkJFBG9DkxxQj +g5fOgWuxK9WGrlRXdqU7oSux+XI6m+OE+ILOHKeJmuOcGABdifiCo5Av+ACb +L1NpPVtbz5fV+uYacfoNJDw5nMFk05k0GwMvpMuj5UVsjEOKjVFGcQedEsO5 +Tj50qFzchurZjkbLRH6S852ljEf4Hl5O5+RJLmFx8RqVW57uWk/gdlTOesbl +6rWO2pACWh6QIy+qlaGDJUHp1YDSu8pBy5pfGI+WOxJaznLRcssgWrazZYuW +GRNjF6LlnU/HouXU7YiWt73F1kESLb/vouWNDC1vQLS8ntDyJtUR5ZqooWJS +WLTceHmGKN/m3BCzROH895Ydt8EaRM0rGWpemm02vDd+lIeoOd9FzfMQNb9t +UXM3jprVEAuOEUTNhteMqLmX5DVL0qRsz4CaJXHy5vEean7ARc3D7mWX8hEM +NQ+RR00BHDUMNd+ObZuhZrXW6s5Ildi+1dHDiJXy+Hml1THxujP4+lGuuQA1 +f6/OILMubsg4zQY1IzuMt/OkPZbTbIiWa3AItgyHYMTOkC19Pu692LnEBmFf +Q1v/JgnberJu698ZtphmZxy8Gm7z147E/dcwQM2D9TBM7r9SOeGyV3jO3LaV ++EWdT83hfGqm58y3e3Nmny0mb/NPwm3+mTri7+fk7us6u/uCs+lftVqWZMxq +0ParYttPwnOpimZmOHNmaPmSmbFVMzPUvgvPoy9zJTPjYjiLLoKz6ILISnmZ +afd6vyXZYG/i+SN3W8/mEQFTc5lHIwNsYE5ZOG8qituyJZf5SjxvLPmyh1wl +Z8tVsjxj3Davb+ID8VwZrs4USbzUiNnjMss18o5p3lBMr5HTtsyDxx+KccS8 +Wd18G63eCbW7R+2JFGJ2WvwXGjFDuTqIWc/FujPE3BMRcy+SG0zUiPnWGMQs +y/CsEDPjXz7eBfmXHWTJHVfd/nkfMbewiPldtk4mAuYndb9U7V4jZj4gywTE +TAQoWuXwS+lnprziEfN0DzE/GY+Yr0HEXBsQc93CEHMPKKkuFjEPjrb1Pxhi +NjIAHzHPQcS8ABEzrYw/jkHMzgCMpAD2wskR815AzNnYynfQ4Mu7dH4gEXMe +IOY8S256GQdecj0sVzXjc0uxi2dpcadCzJWNJIAITr0VwYnWNRIx63VN9PLZ +D1p0HGKWrTlEcuIrmzdwaEXrXX4BpZWNvYBKxCyHSLIVNl1mVzaa5KRWNriv ++Sa6r0GGU09kOPVimgA5ELp1vG5r/dn6VK1O+c0Thz+K3USImTjMvbB1dcEV +Kecwt0YOM0pw1Fq0iRrwSMRsuIRsTaO6FHII1yBi3lgVyidpB3SnrezCudLZ +goYZGa8BYiZGBu9KE11C05XIyLhulDhYm3ekgXa+nHYLdCScLzdjXMFWbfUM +p62e4fzKZjiKK3hnYyYPSNXygIfYfPnJuqoj/YUd6W+5+WQKm3/frSFOE19w +EWNjMMKTlgjY+bLagG4qb+Y5B3ayCyltQYmNAd0pe59d4xjuMjwrFVrWZUYc +QrkRJeWNLrWS4nmJlvM0l3CiRMu5hJZLKbQ8VHWucqJfTgXoXgl6tpxzlSq7 +WxRabqjQsuQWysuq6mKAlrsatHyT2pgSWm6TFZ4ta76hni0Tb1mSpOoo3qHH +W0a0LGdDjXb4aPl1O1tGtJy29V12uV2E5aplB7oDLnPQsl4PaUSrlT2Md7xy +j0G0sYhZeV9c4uFkzYamqbXFyo0MVsbfeZnFyrLvKsGDj5UXIFaWiynEyl1m +SwGEPjy6zfCw8gtH9SEyjTSAv2oNoMLKesIseRmxWPn+M0yYh1CzdrGyWmZx +rNwDRRNdPKws9X9tJFb+1WDlVw0dTGJlq/971+j/9jP935f2zs71f8RkTnaZ +zPruvt7jZBA/jMZf81Uzz2ccMTld/hLv8JKT8U3iU0iznOjxxFhDh3v8wTrR +zdcRb/PlsJjhZPrJV1b09jdfaXq6PJpNl0MjMqX7u1qfSnPoDl/Nipa8E0kt +lPH+rk+jCpaPQZsuPIn2If1ScsLozr4J1RMrGPVSMZih0c82Tb6kmJpnGcwP +5koGcym1zZJKif7qtJGbLKn5owZPd/KWgJOJwSzv4j1QpORr/oaz04Q0fw8z +nPw08rheAKzMGcxzACO/q3ByurlXW7qlrGHJ0ZInQyO4Q6cyuqWq1w/3iraL +Va0qnMz2x4STu5FEFxmXPZFx2espWvoUmP5+u9/fqQQDOFmxLvvbKyrHyZO6 +kOSWpmJsj9wK98gtDrE+7wsG5HX0S0diu+pKvcAhnLzJlNdGMxrzcXI2Ky2N +k99wcLKlXzKcLMdjRrB0v0N1sjiZNfwQTu7XLCqXNTi5vouTicb0OjTzWVg2 +gJNPx+Hkz6u4oy9s5AonGxFSaVcAgNfMvfkaJ+9m5bMOG7gjm81zr5q0GH4K +cfIjiJNHKZxcBnCyFBtdrsRGt5rrZn3TrLUQwNKaOqkm3Qtx8m24pBkomkIp +pXulVIddOevvJvlsCCfLUtJigPRCqU1boJR2qAZL1CZXDLBPS9+5EmCWj5Op +jn42ddSHcLKv9bvP1fqFdLMhrZ+znWEKADXWQeayvGeq+kn/XuFkojMZAcA+ +sdQvn6p4zUwKkf9p7ymvmAtjaExMKst0ft9VxcnNFbpsFA/j+rDO74i8Xja+ +WRxt4k1tWjJ2oGQtc3agnNoM1FObUyNsWf2mpsoN3KnyVFfjd5pr/DyKk5kq +k4yWNH5rvNLaEi+lVfoa4mAoVfoFhrFMHWolW974+r438yzlSer7nmWlJpc4 +D+RqzY1iEObAtTRHswglRr4tp5qa8GiMXDeCkbszjNzF8C+6sYmyZSvbifJg +Z6LcwEyUxyi2smYayr0p518gRlYT5Rdwh8ox8pt4lX3bTJTTfIy8CTGy0vWt +1PSpDRIjbzBTX8W9kAxj5WixR099V1gkWwFx8iUGG5/NZLkS/HlrAlwPO71O +QTyeju4Z8s8iHeCN6J4hUXO7hfqarVoxXLU7cfcMQs1y4ctQc4+XDnts5ihq +VlJijpp9NvOZeBkeauZyfTnmkkfPo70CqBldMxRqRjoYCZG4a8ZsdM14h7tm +pJBrhstkNqMvdSbJu3sWYzLvYAtjYjITJ+MTxsnQNMs85GTkIycj0trN5otx +MhRXLHSXj8r5j5rtl9T+dYmymJ1zqknknPrtHjZd9u7ycbq/01z3559PhfEx +8P6uuGFM4r8XnTKIj7EOzyN5Z/+IGMzQ8g2DGc6g5/LsWOzhXK0vvhv5X1pb +nAiIuRbcz691lshSIdEtuzXeyV1ZvxQptVSKCE25pHFYfan524OaPzhTGuzy +xmE7dJtPZYhZKx3Ckn5yydCIebdGzNjmmaRfIuYOeLm9yVH1hxAzn4hZxOzv +kB3WZUin5Hd7b4/sI+aXnI7vTMbEfLyYGsRcz0XMK6/klKfdgWXyaoOYqe1n +q7Y/34zHNGJ+3RjRWKqTt1Cmpc1VnII5QokDDtX3RmRc4u8j5hEeYlYGMzHE +fxp/8YumkctGEbMcff2yphK0dRIicdk+M5nJ9hczJJnFC6cZeWk6paIzQenM +ZcvhF/NKoExfj7oezuUiAEDMRp5fDRFzbWjdDRhibulJZzVibmtGWpzWNBzb +9L0RxBwynPEvn4YGqQRDupT0eGqdEQNIxCwHR4581nHH+FLREG+a69fRj7E3 +T9nSbnlMc5r6PRTVzUZqaJB362TMZUMj7HjSYS6/FGYuS9qyRMyWueyWjyX/ +Z6HBjO+Msdq5bGaHeBiJ2hnDlo2n80PvpgNXcpmsR/rnrGXPFeMYn90o1nIz +zVqOzG5QQluI6YyZKr/K9TS6rP5B0xnejX5jjhgRihNzxDjglRZf2pD5jNx7 +7mZoeV1+vL6P3DCsvk+X2dPGDaMUumGUtpfTnLJKKGDQcs4VSixg0XKqpT9l +xaPl9g7/wkXLdqLMnTDGYFcjtAzlyNCy7G6EllNkh9tRGFpeoPgXvguGQcsb +Vzq6Po2WtQtGw7U7rYvFSu1iwee+FVqfCxejkkLo+phA9411GzVty2dIr7Ko +WRrraNScYzznFGpepOlcHd77SlG6IqgZPecMap7uouae0zy/OW/WfMsZUHOI +l3EvYzPzgZfiZXio+bEe1qBH0cEUaj6hLu5TyTWjtTW80gMwMus5qF0z0vWy +mDZdVv/HmcwaNa+Vbb06ZzIjJyORC5OWYWuPOmbsjRhgvWy0f9+QOCnZDsTo +Zv+D5Ithez8YUVgQi5ltwJr7GzA8qzq10BswOKtO9IWz6g5kMQ/35P58sfy0 +bf9/Mz6GNcdCPobR/XnDMtQgK5n/Zr1Q5hRM7pQht11Z3BQr318my5ZvGcxK +3p/HGMxsQDYUFRP91fkjN1pX49nTCBnMRLv0NH8KMZPmbxC0+qFKpCQ1f/WJ +cpnBNX9PGsScYhAzLpD5YIy1eWuC5bX55RkuYtaDMYWYcTamEPMccsmQZYk2 +kBHEfNzskCOsSyo/jpiHR7u92SNz5iVSoJ6UHb+99ZWLQ8zvMMTstPw6AcSs +6Jc+Yl6Pbd8OyjKNfaNHdUokSW1UHKBNsZhoiSHmg4iYf/QRM18qxyHmgOGV +QszPBRDzXBcx/4mI+TdEzIr4v5zESCGjqzLY1tkQDBfFEjFzERItiVd4fnKE +mN+A51Vs5c8yoxm6dI7M0TTKgdjC++ZUh/Z9lYeYmwURc3tEzDcy6awso3TT +qrUQQCHm3Y/oFg2IuRFf16gh1owAYv7ADK10Ka1WhjPkJydLSV0+jZ+cHhTh +ukYNiNRKVSJm2eIcdwxco6LWrxfqZvso3WyB4zRDNcS1fvcMs3RCY5HaFyXr +zJdxcmd+47Ts/7Ano0LMCyVzudHX4sMGRP7XVCZpMKN4GPKymbRHOWNIHsb2 +xI2eVPYTR1fjXjR90j8ay9Dshvs2FeIj586Xu0MH0nObY9wVw1efIyvwZMDl +9Peghxx0oenXsPlyTTO7Oc04GH98BGj5k4SI6YwqK7yIHmEcDKWp8WS03D8u +y6xvLjQ0J6vvu9hcSBcwfd9Mcj8txA1jlBEJlMWNqF7nKLSsZjx1sNwQLWdJ +3U3LWP5F+8zeMdo+jZZVCWaONNo+8o3TaPkRPVt22MocLdvZcur2NwxaTvfQ +si5RRMuKf/E5PNozLtV4xm3EDSu6YKjZMqHlTCU8aOYxiiueA2K+CBBzmuJ+ +LDe0Lfnnp6y3qLkhomb556dEPOfsn9864jkXQM1vBVAzGe+8eMSbNf/C2MwB +hkbAOWPIfZb+FWYz61nzuJhZM9nDSsnxpJvsuvhpRM3ca+4VZhPL9X/vBPR/ +H0b0f8RkznHdmZN2mBu9y2R25fxRx4w3VHv/Etv7V8jOsM7MqElWc2bLGTvA +JP1a+8fnzKHzqpOjsvjFV1ngnPnkUI/F/FAjPWc2t3ttkPW3p/v7h3R/8mbv +28lyZobvlGE0yIwjhoqK7H1hbznNzLCaY4fBjOqJR3NLGTfm4WbHhQOyHGr3 +DVFb3DwgVOoJ583N3nAMWn2GbfVqf5VBmj9CzFrzl4Kav1R0ydA3b1ole5TL +DWsjiDktskrO8xFzZ4OYz8S6ZDqlR8/SiXkIc4+N0yoZ0QBDzG2sE7NLgKLy ++s4Zkn3klZbjxOwIljY7pCda32Q6ktp3IsMyuV7+Omgj68n8/xMaZouuGjH3 +b6osYm25hCmWf0vETKT/GdZcJkJm8k3MC0HMB7YH1saFIOY1AcQ8L08LkCRi +1lawzMycEZvuztFrmgEKMScyib7nwJwV78CsVzXcbIYcmAkxP6gk+OTATH5y +KSgESPWEALodM4LTRpfg1DCO4PRRXgAxx6sAXN0sIuZCtH6uAoDZo3pO5oa5 +3OmkYv8/085lLof2MwvSNGI2XnKWucwvm9oZY7fZfEpGxs4AI8OSmUKMjLDO +73uu87smYCpDOpp0a1x+tHk3NbM5hg6nZr5MrGUzs2nCZjbMQw7nyxEPOdp0 +OhaN1Y3jsu+IoWc3UktT2eEIElrmbIz92I1MWSnvJusft5Ppatbs8/V9lzj6 +PjnPMaSnPGtAQ24Y5B03HPmDA7jTcg45LddR2QHaCZVU6i0VCUp2LVty3Ywp +TZy2L8RWrmvYyna2LEUEfLacsoPNlmVnU7NlRMvb5mCHm4ddDh2WPbSs0Sqh +ZY9PvG4HulawGe9yO+O1rhV5otKN54iYNy5lX4ecN6/2nJ63GPcMQs1hHWCu +cWqWuqJ2AdTcZa6XbzLjAOM1ny1qPhWdNY9zUTORwRRDA83f+YorhJrHkxCJ +MTRMrkl7FzW/gERLsrh09H+0Osa2vlCyMxwp/754d+ZkYmdsdVbI4fb+HnPM +IGfmOHbGJHte+QwyNhQ7xFq84TM36RPdickzq43dif3ataneidGcebAr+f+N +dMk0NGMqi9OvWkvZf99mcv8gKTPEzCgfWS+TqkIiZumUQTL/zTQo2+e2/Xfx +Fj8DuczTaEiWy7jMquVLxKzzS25VXObrzYAsGrigucza3b0velWi5i/DrpOV +P2XGA8wlA1v9DtbqHcTsi5RQ8+chZnlmyDptssz1lWurEXP790jZH0LMh2wp +TkWiFHZ7Qsy0UVYcTN9XLsLBLHD8sLh8gCNm4mE6eiUzJyPy0w+OIZZDxKQV +DjkxK1ntnoApFhmeL42IlpzghUSbXfK1Q8jUl9Dv6RJakyFmtmLWZCeOmBkE +cBBzmigg06uQKIkMzLlFrGzvc61M1s8s4cOwX2kY5iNm1to5Ys4PODBHL5vo +J5dHA7DizorGlcyWEnflUCuvhFKAGqaNO5fOLO0nx0tIDrrIgbkld2DO1A7M +GjGP1cIiBzFLa1d28dw+0/jJuYiZSWc3rDG2rbLtKbMZks4u9aWzWuZu3DFm +uzXUg906e0+xt84+cVqAez2XmaEMMSOr6eEAh/mJTieVi/kz3H0ZEbNlZBwg +P0aJmBcBYl7SkHnJXa+dT41UtioxMmzZ+IyMsDOGv5aRHQhtGJNR58dmNlJ5 +rozLsfscNt0HMwFoXtNcz2uOtWpveIFWde7xl4cif/lMGSUv2ZXNaSQ5ca8m +OV/+AzV+5IhxkrExfo6wMcqqS2gkTcuxabwwyl2GbrRyH88mudTq+5DwRFLa +5z03DO4dd1duGehQZZXTcj8zWw7lkmi0rJQ3iJZvQrRsmRgWLd/ooOXBQbRc +J8JbJrT8JKDlKThbfg7XPYiWlfcTzZY1Wk4jtLyF0DI6LBsXjOWMEWHRcgPm +VKHRckaAEcFS+D7I/3+AmD8xzJC0jUxruMF35tCz7gaAmhut3qNMdqQzB2eG +SNSsZs1LYlAzd2p+Ew3eGWqOmzWreCSfoRHwm7szhqFxN2doDGGBCoxgaawt +Gcky6tB8gjk0/2zkSXYQdkjMaWaVgHJ9bFu7585MBliG04wDsUQ8p+TNPvHM +KYBWlzwrEk9m2RkhFeAYe8v33Hz0nJntxZr2EsfUnDmgu2jn8ZmdbCU4s+6V +LDKcM+Mt/y/SXHhrZukSryCAGprJGz7uwxR7DCEAnFc/r9a7sCPGKYP2YKWZ +hMkdljnecng+GTKmjCozXGbmxpzrEjGHKG+5StpCNqcmnEPEZcb8kuxQfgm3 +jx2otRKAmFMzKPHvPtxj8XWyj5hZ2MLWkHUsa/VG1r9bG2FhfbJWrxDz+wwx +z/2O+cr9WIh7LG2UPcQck1BmyFA+YuY8TOr6Hb2UMnkpRcnATCgv2iybzu+F +LhiJfwQx07CMrBs3eKsbjpj9S6iPmKXUn1bMEwExB6T+EcQcMp9BxNwVEPMd +TZVYViHmOBl/KPKHLphng5i99n4IY3/8YRhfzdBFkw/CVsNjDWZwCJZnL5vT +UbI/BdfFj+6lwExCzGVV/M/tJjThGo/YRIjZ85PL5EYz2oFZh2aSA/N9iJih +Xe9+NLKq0dKi10Tadusnl6YMqhbC4/vJrXXKiBBz02VRQ3N+67wp6I5x1CJm +0gLg0IdUf4SYKVgg4mQ+qMAm/MV4Mur9zEmXw9zKcphlS5I3TW0kpxAzlwAo +RsZ1eWIlcpjdTqTJTDbdT6ZqfcKITK4zhlbUvMxyAbjDKc1rxrmKv+CGkwdj +hrTnLeJdl5WhuTdfngDlZDzkMDQzZGhuEv0CoZn+BZRkAZsALTM2hhMDRNxl +9I/L9KQBoWwSrfTTbhhvohvGdETLz8a4YYxQ3UnzBp1ckhw3l0SXWTMlsO0e +h5aRiUEWjhSsqdHyUOOyzGfLtfdwl2XJW35cxQZZ3jKiZZwtpxFaVnOgOWhY +Mw+TuSi9j/JIPsM8khWaf0iecTTPXbtNpXWZzGpP4dfis1xHddfmAy1BqNzq +3BBzukLxH9ljQ6Jm+tq4IpBij9ChgxSBjVdEUfONHmqmo6XTvChq9mfNFjUf +9XjNPmo+5TA01Hrrgeis2WdokBG8Rs2MIOaj5p7+2thFzWp13JqUgMciSkBq +7VwJyDNNfHfmtSTuT7JrZFehzNkZHzHvDMvO2IfsDOud4cuVcJVciArwkL9K +jjjG+44+LfRurJvHZw4YZRkmWUAB+I+nADzNNBfGJT7imYHnFfPM8M8qaY7F +JUwbMSebWr/cfy2IcWMmG1kr9i+LRMwqZkgmQxcc9V+WVv91Ji5zJvll3G79 +MjK0+s9FzK5fRpxkKYyYl+NuSFvHNpKIWVnH7lEMqljEjL5ymLfQLS5vobCE +Mu4rF+eHhSudiBNzD971XcT8gsldOGrVS15Z+U7MHDGvYnH0Zr3MzM5d28Zo +dokyOmeBmiHEHDTHCiHmAAzQiLmtRcwjGWKOycX2xbJa0I+IGa0YjcXMUrdc +fvZS/nz6vyNN8hAzv2hyS0ZFaMrjDsxaOCsR8+NoZD4OEbMmNUnELK1gk3H4 +dS3awDIH5pCZeaZvzejGzDuhmVJAG/CTSyOfjG3aT04Zmm8O+cnZVU1DHgHk +IOY4nwzWyvyEP/Kbka3Lz8SOS8scohGzqZ2+AdUfagCmtLcCdaobzmE2itmU +bxVi9spm+bXaxcnxksNtJ/fI2B1hZMxD5yZ7wfzKITF51jLBYMywSFYr/ux8 +2eRgy5yS9sQHbObMl6N8wIbi90d9V1Of4FQrQnDy86915ixX+1V0vDEsWvYu +oKRBZ/xAh7u8z42a95V+PPc65IthnJbhGYhOyzaXhDstp+AapxlmXrtMDImW +Ozqz5ShabhxU+fmZJBNQv855y8+p2Y9lYtBseTZG1BNajuaRpDqIdHVkjtvA +eFRoZ7eowg/Q8sd7I+wHiUjPHTF/4GamGETPEgbXr2OrKsttJraIRs2Z1n3u +Y0L0Hmpe8DU6NX9jxcWG16zN3ilQtEcANSux8RPHXYYGHT+ImgtjaIzE4Zed +NQcytPu6aYBEFqPV8ZPtraj/Oeaf8Rpr7b4S0E8C9DnNKx13ZvL0sY5zOwLk +yyxvMJbH80yc3Oypaje2P+kJ8Z1iZ6BauQZ3jY/6+xzm+ovAfozPmdV+rAug +5p5wft3S1M6Zh+k586nR7m1fe/vEGADQnPl9uOkb78tEk5WtiZlhCCD3Yft3 +U8iv3YXZ1D8r+ufrZWr9byJb7MW9jMvsBC+UVWL/2+Fc6qvE/lehlkIPyXqY +ls+ssTJ74RnkrpRTMaKsrjp3xpp2Xz/il+Ej5nCgr4uYt2nEzOLJyGEObtgS +Mbd7/wvtl2ESyqxqySDmQMeXOn+OmEMOc1EnZkuCIsTMN8uTbrIustrQMQYx +0/qGIeYPCskuiRAyE7YyxBzKLnmPQYDZjOjES+npiJ2sDfh10/7clY0nDWjR +zUHMp0ysPB+K1UcLrDrWAiuEmNUK2ZqX/xZYIVvCJUPMxiK2ZAQxU8nsYO2d +VjPGWY5ZXpE5I0mSCDFrB+ZSYlhOaWVkrsMTqjKDRtdupntWa7d8FGK+2SM2 +oUmjQcz3xyDmqWYNnLbNdWBOMzHzS3DFuswi5nWEmHcanwwemml9MsJ+Mz1e +DWRio5M53TgjCX8B1d9o77b5MFf9dY86M9JNk6dkehxmWTMSMROHmedhr+Ic +5mTadHLqf5iRsZcJZV1rGew6slSqESPjbBV/ft4s98doabxNIzOa4TEZ2JPZ +ZjMS/yPVftWt2u8Dt+vwwMzIukY5ycl1jetxqglO2klOGjUSd1lHAF2I3OWL +DHf5I1ZSUaWftZ+ZxMIzrYuczgjo50gE/FwSN/PaR8sdyAs16z9By2PUrEfn +XXO0/KRBy1qN46PlWTZsc6ub3pe+aQkT4nL3uIAfxjryw9gVUdg1Z5xlg5YX +2aS9DvO/OjfEXKGSXk1tQWS/2eZyR1kj60w2gvHRcDjWmKct5+DMs7n1h/C1 +LgbUvOgL7aOhjhmaNcujZr83a0bUPP2wbdsUlUT5JipL+6ToM6nACRlVLZzk +SKFZ8912ZSwHYFyaZGbNt3mC/pDrHPNqfh69ms0xZdo7KgGbWCXgohQ300Rz +mvOjPs1VeSJpKAXQtcWSIn/rnYFssgQuWcL9WCImkybbBEB3OMbnzK4Gw58z +Ox4/7W8Qv3TWc+Zf+zQVxzFnyXHExBu/XDf/OakwBWAtVABq7cVfxMxgYb9S +d6H3YpUczwxK/SOXOZ76lwFnFrV/MpZ1uMx4qzfhC7j/UvKlvfo2PyK3jBik +9l4VNZfZscdKDyf+ZVr1H51BhoSZKRP/7kU/HrtSNoh5p3WYo3avzxhs9+Qw +t4HbYm2Clr9NO0OSZMl1mFOIeSFDzG/vj3XGogh72iprL9lTmoeJl9SB4wpB +zEMCiJlzMXnnDyDmV4wlDXrKNvU8mZ1yoih6i5jXSVFtEvNkTvQRMxGd3OyS +MGLmxMyQNAAR83V3hy+fnJyJiPmkRMzD09BaJpW1eVoj1zEEJmMai23+X2jz +NuWvqvgjLu5HGcZyQT9R/8sECZccMfNoeUm2XBqDmLUsSSNm48WMiHkUPNIc +doBq65ejcJZ7Maeadh71Yubl43kxA2Kur9Y0EjEzZ7ndUWe5tG360pmuhlp0 +6dRezKm+s9w67ZOhS2i3IkRanwzdftsbxGxvnHxHY1R/0yyrqQ9mYvvKWYmY +I7XjM5o8DvMEdtMM+jC31D7Ms10Os4zVkoh5CaP/a1e5vVaHLhkZyTsdVzkq +lwzGyOBmjPmOR8bzJhXA+pu6ir9ojPzAYBpAMAe7ww3x/GUjB3C7zV+B1Kx/ +UA4gyU3/IhvjL+ICemyME4yN8RNjY0Rtzd3LZ16+zZx1bGdMkp/NJiGln4yZ +t7nXdAnVG0/yxbiftp6K7FQOXeSqiL7ZZEFznYOWe5kUvxtRJtBBeaAS8UnP +lm9mTAxP5cf8lusy3jKh5frIxOC8ZULLxFvWTAyLlm10EKb3bfLySDhaRj+M +Rtz7eO2uKPrEi+0NnLOs0KdK7GK51N+Iy1ueC2KuKBpvXoDz8MKUiegRjSQv +faRY1zvHR4NQM3GtjY+Gj5r5rHm/5TXzLO1CULNaE6MdPCdXnhE181kzz9AO +oWbfda4Ld52zK+SIf8YNpAQ8aE1k01m6CVMpU5s3Ps0k8ufZ2Yad4a+TPwp4 +Z8w2WUuuCvCZgArwoYCZbODWH6PDCKaT9oRz7BbkM5NqeVRaWAE4hSsA4QyT +qaTIzPiXxTKQZVYBy8p20kwCUiY6t/Qt/0LHZc5Gllkus+vLXML4Mk9EfcX9 +av+ltRX9ADUrLnN2LXU29TFJJs1QfdxWscM6ZXXxEv9cM9lGiJjrYka26zCn +ZUs2I1ur/1zE/CF6Mkf9Mug2GzGSXaIR86IvXIe5WMTMeZjHdbnFOczFejIX +hD2ZI4i5QMXST23LPJlbepr/ptyT+dsIIVMjZjswI5ssHai5FW1o/BQT35P5 +bSey7OzFtCQLOIsyao6IeUBTcarQ8F5mxkgypVmuTOm0b2Eu18gxiDmuzXOf +DB1BZlfIxot5X3QgpqVJJYzNzNQ85sW8l3kxQ2u/w0HMNASzwlktRbIygE7M +oJFfOFX5ZNjAzDomCMiq/nTbnhaDmOfZNc3mjwAxW58MQsxKSoSImXwybvhE +Ew7lACjsk1FIvjzVjueT4exnPEbT/YNPORzmh73djBzgTMZWpDjMbX6JUf05 +/jIaMdtLJrnKrSZXOdl5kvgFM84jg10u1WbTM2KkMomQl6KdJn6jyXNmbXaW +8V8+k9Z8gmdpbubLrqW5ms/EWpqznFnPG8OSm0p75CZKBLiQcQIvdLjLVumH +tjOmnOzc5jkmC3gMyukh7ouhiE6UEkAJJbS6CSWUcLSsQzRNzhYGaZK49kx+ +y+SJUXf3ozowaJeHllXnehE5hQwtbyW0zJNJfK9lhjrXM/e4dSFeMFP4LQ0p +/FzUyX0oLr/h3BBz+uZ5+gjZ7LvfWbRvFYGrMX1wg8MkMbzriPuc6+dxJtSs +k04sau7hoeaeDDX3ZqjZ92v2UfPgGIbGPQw1jxlkUfOZvJonI2p+mon7X+Am +si2POCQyNxPQtvmoT3Ou2+qTfU7zes/jpxDZksPOeJ45Y4YSSsdGVsqO1w+l +mpAWg8+Z1c2fef1IPnMfuPnjnPkkz8umm78iafoZgEwB+DbAAUxc+pulkhIz +44TPzMA0E8Vl3hnlMucpFtkFjsscz8mm8DLfl3kK3uwfYa1fmsr2UznZ8kbv +qv96mtAynv2n7bHaZLnqPzsks4jZ98vQLd9HzNovQ5EwNy1Bttfn6OVuEbPK +7VytmV2WhJkrHeY0YmY8zJBfxsuHbXy9Qsy/OFp/6voRh7mgJ7OLmGmF85i3 +XVYlhd3f1fwfZYj5oDFwtJ7MTPR/HVsxM2NZkjFtTdzkrZkJBixxrM6tmPZ1 +DPn1ocDjLmKuNbbwi6eyOWcEzebdoe23s4jZpJdAeVAwGRf2vxgK7yUzxuQA +8TKAmD1nOYOYM6OIOYsJ+o0X8z4vvcSj/7/IpElSyP8QXjSpbPpj2dwmvZhz +XC9mEy8f4yzXmrVyMmhsZBAzXjh3hxAzRsyjeDZdroLRJ4PIiBwxK2e5dZsR +Me8yYbphnwyWiU2IGVV/PQKZ2JT1d8sjbiZ2vOovmlwynvj/Xe3K82l2yzRM +JjQ9ZT7M1HokYnap/8hhrqnLZaPyYSZXubPzyPgi4XV2sdTkJWUpkzRRW8qY +2UyMo5xD9fdVM8hfbi115i3EL51j/Ew9u5nfQ36mvmqGq/0w24/nX58oRArg +5vr5ZXQxcpcviJCbSEWjlX6XmlLiBCdS+llfjFLGF+Me5YuhhbT9c8q5nsuq +G3G03MSg5W4xaLldlq/yG2hVfspvOeogV8d4Yky0aFl1rKmGt6z5hK8xtKyz +ro3XcgAtEx/Y8cNY56Jl7YfBHNsUZznHZTYsybN8YI42mdfxOSPmLW9HElY0 +o4Rxm5W3B0PNG9YGvT0a+n+Xz/XfxWWUeKh/Hl7UlTGsPHpc1KxnzXhpR9Tc +E+VIZtb8uETNJ0VvqQZ8NICax9hgsmHsaBrJbLDu4wyNmIQT4zrHCGRkIhty +nVNt3qDmH0wm4MIUFzVrTjOlaDNOs2KU7TY+8jYPcBVjlX3sJJs43hmJM5RH +81cBQ9n95NF8tn7yOCA7grf/oy3Y7V/6ybe5QfzcAW7/Xdic2VEvY6IJDzSL +ywDEARr3zPidSZo0M0OazFaGM6wS4zKXs6vmTJb/hy6Ye/jQDP3iDZcZ4355 +eNmz6Hr5KEqYaL18h0LNVTDJ5Bo8o9zsP8cvw0Qw8LTsYewssmnZ3C8j0vK3 +2pafplq+j5itX4aqx4jDXK48SwgxK2nBvMK1/rTa6R3wy7gtlFnmI2am93dC +6jnpqasbs/ks2zA7DnMUr8kszwkxc4c5MpZdHUTM4YtnPGKeoQhOX2EIvYOY +k5jDXKSECjNsRMTcDRDzwKYucekMVlj/GFsZu0pWJRKKyUTE/DML/YkgZuUs +VyIWMW9lNua+KeM8tJihS+YLjP5PZKb7FJlJO8uZi6YpG+7F3FyZM3bF9QwP +mm+DXsw3cGc5DAGSbbw2ejH7iFl6Maea8pkZRcybtHiWCJMaMW9AxLzdIOaA +eFavd+Numwoxy1b1UxAxG9WflNx4zozB9J+A6o/XjE0u0f4yTr24HGa53pSI +WW04WblQnpbiAyZqa5ltEVc5EskGUkvQI8OYMBpGBnkwc/7fPU4spgqShw5z +2HQYxl9u2ZH5Y2CHkfzlXshf7k+bTLumUfNlLzLz74Bi5l8eAOSr/T6j2Yxm +Y6jIzIiduUtsctgYhgvo+S7nh5R+xRV3eTaUkJOAzX0x9pYyItq7jLU5SyjJ +JiFtHSfPrwfL87NkJ42WKXw+5InRmDnINUS0rMosQ3epeoyJ0VBtRKcgCep5 +PeNBtKwNHWcpwW2657Wczt3jGFq26jlCy9K2ZhvOgHY5/sbNGMKMU/g56jmT +p/d9PGJWidmXRHDyWx7mn8+4GYvxb6J1gGkc96uevM5xz+BaRRf3R7WKhk+y +AK0sPazcbdb3hWJlI92nUAXEytxvLjhhZlhZspmVuMLhZejDKYSVgw7NyjXD +NnfjNcdol7MdC1lriKWol7gV+9RhMpM7M7n77LY+cwl+CiAXLLmcDJu7NN3R +/pmkUgr45byya+45g8Q/xGJuo90yiMXcvameLod8MeV0mVzkveQ/bTFrHeRP +z6/ubMiCThnrKtnAX26UhZsx4y23z55aLoP5YnVivY9KC2Iwv4QqC2IwP8Ck +/gPUmOxyMyKLaP6yXdGSxcnaJcNo/jJHIE72M7KjLhl2mYw4mblk6Ea/wpwu +BievsThZKiBaas9HiZPd6RjDyWyfLBc6vZ6P4uTeTDAQyvb1J2T3DToVyV54 +5OZoz59sKE6Ikwt1Yv7edWKuzyS0TAzAaU1hnPx54dklBif7QoAYnCwXzdeO +Ej9eHzWcKRQn3+tGyf/JJP1/+ZTLQnDybwwnawOseJzsGMpEIuVZQCYGKyzf +5xpfSZxM9CW1jNlrQzKVn5wh/RNOLocpfzXZ9bIRi/2xOLkTmZhnWQdmXjpp +TC7r4uRJOOYKUJm22cVMurOYidoy6hGWLh+9mMmma6bZyjg4WbYrKb55ld0x +KSnTy8TmODl4v0QOkwym5V6MQa0fMv6tc/lP4vWWR528EqqVhY2+lbWicLLD +XLYJWqZUEqyXnGu/uMShLBkeRsKr0SzsZMbDqIVZ2GwSc0hNYrC7pAa6i/L8 +Z6zl9jhV7l4Ya7mR2V3++Xh98ddTtLu0ctl/HLmsm+j3+8fWcdk6YlTS+ddB +R4zC/eOIsWzclvNdAcB7QX1fSUffN36v5x2nOhC5YSQze8Y6SG0ijNwccwFs +il8ns+vsZWS0Qf5FwDdOR88/5GHkp6IYeTtNlDHrehtmXW99N4yRyWF5Y4yb +8VopJNAYOdXhLmRbprKPLBcypjLnL0CpSowsJ7FVWmicfInBxmc5Wd46y5mU +Wz+89wJ+eAHdosPE3oqoeadxgY344bFUQNW2+d/t3a+9CbNGzd0YanbZzPpI +6v1UFDWT39ztzG8uyMsIoObCMrR5GuDjTNof8Zq7kVwzDmvU7CQBfleI/k/T +L1deabdjGyI+c9YxI2Qlu9c4Ztjc7K/QSpacmfWmbIK2kq3xsNvynemybPm4 +TObcMuP2w1yZZfpfxxZ6uhzxxmQUTUoq5bo/k1Jql82n8d7/F9uSqfNM3vlX ++BkmAW85YjCjq48NYriQSf51EulCdIqXaosZpPnba6mYD2F+yV2GMyal/la4 +JJfK0cS/zjbxL8tq/nhUWUPMyK67Z6zecJFLxk5yyQjQL+HsSWMuGakYU0Yu +GWo0plxsMHTBHY1ZxPyFvayir1zX/xAx3+45MYezF9D0PA4x0wWUIWaOAPyM +37cZYl7oiQEipjOAmNcnM/msY9O4wgnRdA1nWOx8QrR8vk2cHEDMVjobQsxW +OtsHIEGPMGJ2JLH1XD85FfGjo+S5SIkiyX7/MLBEXhUIWIg4MLuIORcRs+vA +bBfIJrMEETONwyRiJvoSIWYKVBiEpH8t4a+FQb112UXTD8rkxP9bzVKmOTkw +G8R8n7g+g0rnUQziJQdmTy5rxls+Ynb95BqpNo2LGc9Prs2Z/OSgTfX03DEs +YtaZ2H39TOzRoUzsKGI2EnSkBNqsnxOO1m8Gk5y/42j91DJTIuaP635p8rBX +8GBM2mMmxKf70VxGdxhNV3JmMmi7+F1V7WD6A9PIHHR4GL6PXAxrWe4t2wU6 +S4xzqRMr73vIYfloHyaXgxG0Y/TLZ0u4fPhi5mwYy4sxm8Qm+RV3kvzIZIa7 +YUjvuKHKabmccsMwTsvZIadlRMvZPPM6jJZbOfyLYSyHlmeSIFrejWiZnDB2 +PWPQcqrJumZoeatGy2mEloN5JCz7g/Rx69FVQrpgeGi56bLMQBZJvjuHJRfj +d10/NkLLElUSYv5PuRhpW2eyiTm5SAcyVzZbtw9Hv+i4Z5CT9HbFaHYSCjFz +xTg1f6Szett+kB9BzSZLm1DzGyhEclIB2ax5Shg1F6oBLIyXgWY+Y88i12RS +ZytTVksxJlaiAZlCzeSa0YQLlpRk2SyTjf6PuTOvqUFM5t2ImnkKoO+YEdUu +6znz68jJ8J2Z0R+zOpsz+8ZYgcRSzWLuYRzlzZyZJgGdaBIg+WWN2SQAzrXR +cK4Z46xo8t/f8lzjjsyGj+GeaYrB7C2aubcc8cmMt1y+9pZzGMz7XAazyi/J +t0G/z+/VZ5e86T+MumQb8kt2sldEhEvds1s6wzJq/Tpl1FIwebivRswPmrZv +EXOcjewCx1cubaPrK9dgrQ72TVsZ8ZXTiDn/LBGz7vy9PSdZHzEPjEPMoe7P +nJgnsPyFyY4T83GzWyaT81ksTNN1Yv7GCdK0Tsw5bnZJkl7TbEugOKCVHmK2 +vnJ5XATgIGb0lUt8AqmZ3hDNIGaXnnmokefE3AwR851No7J+HJAVRrtUiHl+ +DO1yaQxi3sQoS94qhi+Rc5UB1gXWgTnfhivwzJJ34LEOzDruZwozLx/HEPOd +qs1X0G0++wom4U+xS+NAtDxJZW90/OSGqdifhujAfL2iU9J6JurA7CLmd2y8 +fAgxr7OIuRFHzCSX5X5y71qtbLdZ0hZV+8n1fOmwskOlNWgvcjCfoBGzn4nN +EbO5ZVK0Vv+oO8ZEBzHjoIbySrDdvBlkLqvhjETMqlTocomzGfKSo1DMHYyH +kYHMZXcN85bIT3SdMWwY5uPMeflBx3lZ8zB8Hzmeg93VycF2t5fNnO2lYS1L +11JuYD7Z08b4Hkyms8RlysZHylup7GVoLlNcmcvsQ89/KZXVjOWLLGPZY/4t +JPJ/vpbLkiWj0vfllUR9X9gNQ0f/SLV5jVi0LKWzZM/YhaFlzr9ojWj5Bp+t +7CT43Y/avofRv2mi2oBytrL2cdJoOU25n7po2Z2/kg8buRcvc/KllS7OzF63 +K8ltI8z7SGd5H4SWHefiGF0fz5fmLN8qLTqeG2Le9hrGIDE3acr13mqZ2enG +spJlnGzgf18vf2UdOTV7OsCl8vjJNn/feNT8jUbNjlPzQTffxGFoSJrYL4zN +XGBmzRHUPIYxNO5xW7xaiaE8WbV5HzUzh+YJjkOzbffaa853zdBxZW/F6v/8 +kN+9LLIsk0WWSe3yFmOOtfOs2BkzvQymqTYBkGv/vLbvuMorjln/GFdmmgag +hrk9IOYulmN28g5AzDQN4P5ymPz3J0v+U6ImCv8lNQZLKjVOGcuYxSzJ/zcz +FQYGMtDtX/PJLlLMDCv7t+fYYswveTtfM5gj+SW5Wm0xMkeLlwbCudVP3fJr +oeaPm2OR1F/TMC1i9l0yNGKWGdn19rir5YZOVJnb9gkxpzOXjLQYU6xUR+Kv +fOUkYqbOb1X+3xq5ren8L3Mn5p9dxPxYTHbJaGvfGEHMA6wBDV0+HcSMW+Zn +2p0ZMXNG5gceYuYXThcxk7H5RidAMyNQOo6peah0EDE7sll24XQQc31AzEhs +OhxCzCNT0YGZEZZkWRQmVGIr5VjEzGT9XBbr2i/6iPlCtIy1FoyEmD+PIGbu +wKxXMiogE+mWY415uUbM1oqRELNs86kO6T/iwJx1MzOXwWj5TArKvFc5MFPs +T30iNDl+coUh5g9xbcpLZyO0ahsvrw1msrklo+vHOBdJhHGZP1MQMZ/BHYO3 +IJ4pX5jWz8RotfHySm7QDCZyk3Ecy+t9qRCzz1xWWhnpJRdO98tw0v3m286C +0T76QskYGVQaNULOy1AWxqC8P5QFIys5/kteDjbpYm4l1nJ6gLVMyVhRD7lI +V3kP2RiLtePyb58kREtnnWRjVHAcMQwbwy+dQNwPMZZXIGOZS2VJ3zfD0fdd +ZnKvw24Y5LRc3XFa7u2k+LU06Vk0W+5EaDmzjyE5EVpujmg5PTPAxMggJoZF +y/pC+gxqa4it7M+WC88jSdu01KBl3wWjAarVlaWj0t/siWRKa/SY5+Z8SJIh +6vo6MV2fk5DHeL5Vmp8rYp6u2NlpkuylbghvGPaJ4kyi+wc5S6fF6gBXoXsG +z9NmDiDIbbbuGdmenlEeRfsC7JMzoeYjDkPDagBZtglHzQ+4qHloHGrmGdoh +h2Y2IHO85tr7XnM/oWvGUZuf7ej/9hv934dOpkkeEy5lWUImXy8n+uvlkGPG +HDjb3jSETJ2bTcIl5pOptH8en9nPzPYHZcwtw9UxN0cdcyDHZCRz/Qnp/mga +wBx/7OqZ3OQ5UZOGaCz1D13kOZc5H840npPtuzEvYVxmspV18kswifQeNMi6 +E86ufsYl/ppIAIO2k7WaPyJkyrgybSWrfeVSsPVLzZ/cfZHuWGYlNdqlyZgp +GO6byhAztf30EGImZ3eovdRVrq+cg5j3WSdZtl3u7mUv9IwgZr3CuZV3f39e +djfbMA+x8gAfMUd85Tr4qxotn+WImecwvM8QMyc2OWYzgJj1ioYj5nVe5HzU +njGfpAAJASkAOTGrFQ1ll5DFLIX+3mVK5xDGzR+m7JJmPTViHoSImQf5Plbf +vUi+EDAqj5Aw3cwSJ5ZsnQ3FVG3f8ZPTUfLcfjGbSWNVmTDE7Dgw59uon5cM +iamkESiNMQGZPLS3KpqXWwdmEyufrR2YOxvErAdiejXT39jC6rIZyRDzA060 +vO/AHIr9sURKFzGrNalCzMzEfKl1YOZ+cp1i/OR6cj85GRqAzjI3U81wdwy+ +lwm5yoTSMRlitlq/n+F2qYczrv+ibTGL9VBGIuZPa1v3ZR2KiYoZs8Uks/I4 +LzmKj9e5slQa39IcJgkZGTV5OtY9js//YeL6GatFmYOtdX7RLFnoJt2aKo9/ +6b3E+cu/3Zvi8Zf9jBLk+jErxtPk8f9BUgz9v3Jgvlw2GiefU9z4x2kyE/H+ +LrLGMsxt2WSTxCT5kblM2A2jPBrMEBfQOi2bFL8syppt5zAx7LomhJaHGbTc +MMLEeNiiZcNbfsbYNaYYJsbr0J0YWvbzSAxajndYjnjGrdptOIXaOcLLksYs +EnWBfe8rg5ZJ16c5ywHkKFvb9EOiSrNzQcwV4O/5InOXfgUjkWY6nnn6tkB/ +/zBvOw0VgamkbWTuGWrFxbK+XdScYzzzFGqWJDF2WwijZpal/RJHzdxv7oTK +NjF+c4WoAYd6DA0ajo1mGdpjY1BzKA1QrsqeibhmHHXsZGllZpWA38RwmnNx +b4YhDIadQa7yIYOsxd404C2PcfaiYyn7HakAndgyNmeu682ZfdaZ45aBc2aW +l628MlmOyW8hBSDpmGkigI7MKpxBnW8ADZCseYozM9juzKydJSTYVUYxM+Ld +mC9ibsyWy/wu6jDUqnlvSab+K2WImcPlGZZbAc4wNMhSuzEiZeoVMwUwmIxs +aP+ckGlcMjKsS4YellHrJ2Os54yvXCrKl9IYGVNlI21k4b4b3HDfVPSVOwNi +DvEx7RrHKv17yXj6SVHEHNowj/QunxFfuT6ur9zjcYgZXWXfoBBNTmxK4U7M +Xxg50/JrQmUTQsy+0cz7zhDNdWJ+MerEDIj5u+puKAMN0w4GjGaCiHkUIuaI +A7NnucjavkLMcq1shLHJ0Pa57eLlOt7HE8cGHZizGAmT2S9SMOa6fJIsXez6 +yeVzB2aKIwPEnKtJTMaBWUXKk5y/Gg7HXD85SWSSpEt9ybQl09Yg5jtcA/Mg +YiZ3DA8xKwkADb44Yv4IV8PLGWLGoMzVOyN+cjd6fnKUKx91lnH95HTNFBjE +7OxlyE9uJCJm37mc3DF81Z9BzNhmkARIdcLZS4zDLAnMEjHzVYx1X6YSIeIS +cZh9L7n4rBIzg0l6TOfJ1mRbS14SDfz5i1b8qfmLycFGZYx0xbiJz5dDyhht +LMMFs7Eecn6i38d40eRls8bTlG+1jqW+DWP+GcqGLpo2m0TT/7kV41THDUPH +/bhuGBXRk0k6LV8JJXSdkQHoXBLKvHZT/DqaVU0f5WqqmRj9ES0PsrPlzJFM +gz5G1N6jiU51d3uzZTXDmebxll+37ASeR2JmrK7DsosUrXeEQYqB5D6JFAkt +t/a9I95DFoZKw0O0KMW4s10WhjNnhUvtuSLmlO3PYyaLPF44d5s7Tc8RaWbG +viCacUJu05EkQ7o52DxtO2dn34tPcphTM30vfNTsZml3I9Q8nVCz69Jss01O +quNKMTRi/OYchsbIeNTMZ82P+Ki5mzsos0rAkH+GFTI7SkAS/jNOMw/7dX3m +dkR85vyBmQ37nWvZGY4z87NqKqBUgCrBNMYgSw7L6oXOOcY8Y8pmuUczeUwR +fzlXAfgH98qkgRo5/6DEiZ9xfzB500lvf2amAdvKBr3lFDPDuDFf5Lgxf8yg +wBwvv8So//ZqxbK89Q9WiPlyHJpdpZTK0s1H78YCGdkYwKCVyb6ESZ9VtQ1j +jIZlrq/c2SHmNQYxN2KImcmXFGKOUzC9+cNZdH+9YY4g5lB2yXA3ULMwJ2Zn +04wiWruioeQyJqBFUpPvxGx85a5iTsxVmXjWyADinJjdCLPoRdN1YlZlE4gA +OsjFs4SYaaCmEHN7cXJwU+vA/CBbK3sOzE58PA9bWOhZydD6ZTkNyqKtPy6z +RA3K8FK5m4v8WSxZoQ7MNCTLtQTMuxExD8AoMnvBrM385Jqh8VXboBWjjZVH +B2ZO/5cBvbGZJVQ22o4xzfjJvYdDr49xPew6MDeCVpWyGv3klhXmwCzXvlE/ +uZ6en1wvw2QqcNWyMT4ZJk+eKWXHB3wynuogGUyokmUZP7OQ/GfcylP2qz3M +Ej2MUYiZLGVMHnam5jAnuXnYlrREm8v5LtfPMDKe8xgZvJNQnA8pYwpR/JnZ +C+Vg0+ylqfH2t/zl1Ah/2WRgh8pmLt9YVg2r/TiRyffGwI7iR8mTQiYSJc+o +/7TBtDaMbjgmqWXG57q+GBotl8O4nzinZZlLEkXLnRhabpvpouVmHC1n3O0l ++D0QRss0W975AvIEX0U9OqHlOQYtaycnhpY3etnR3lxVO63tVHNVm9yX5Sr8 +PnbnqoQQOWc5xMJwfCNe1i2tF6DFc0fMU1EmMc3zB6F8ljc8HrfmNrsZLTz/ +exk6wkaTARus3W58NAg1x83b6QYRh5q7sixtc3N4sRDUjAyNwlAzBZRy1HxP +ADU/GEDNUvQ/yXOdm8JQsyP8D6yYaWC2KJhpYsX/62h/lmizs13HOV/8v8Bx +mNd7tIAKMJlpNWo8KA7IOfNVocxsOuv6Rs867pnZvmUkx0Tv0tKUAlATN1ny +H1lp0WSAVtDmnKtmM0x4OANjZqjUv0029S8ICRRJ004CyI2ZFMwLDBQobvNL +8jQ582F4CAYMzi1v/X2yrzB+GTYju1VExsQzsn2bLI2Yx7oOc2pY5rX+bbz1 +v49u6R+jrsC1yKLWb52YlUWWRMxk7C7XOZ3mx7vJKsRsEst+dTmZ5DDHETPa +0ESdmC1iftBHzLJ0utoVDRmbK9sZmp21JFNzuZ6hyPnvAk7MXzDEnGuDMxEx +b1aIWUOCHWg34zoxc4vZOWw1w52Yn3UJTdV8M3M5UIOyYVazLkS4BS6avQxi +/s04MEeF/txZzm/9/5zVahna//pKrn0skpb8sAVCzJlsDbPRI2IaZ7m84q4D +s0LMmoT5GJQIWcvIUhmiEHMl5SynjMsVYmYOzFnNGWLu6MT9tM261blkWsHs +KBTMavp/GDGz1czW2VaGpIIGOGKOKxtEzNiyJWJu94F3y5zj3TIdJpP1ydBq +2YJgvTiqv2GBTOy+0UxsKZvhdfISy5F3VH8ec+mTOgoxL5U+poqRobXlkuov ++X5uHrZ1lbOacsbISJjhkJVo9rKfsrANx49vK/nKJTB3acEd5QL5sUH+ckPG +X476+nPq/+n5gWDMABsj4rhs8klc7nJ+jNvyaqOQudiUDF0yOfWfkvweR97f +g7ncF6MsIzMlo8UMNy9PczKveeSPi5ZvMcSmwnjLEi3XRhFtXY+33JAxMQxa +JuUbpfdtsVnXaUb1xtEy98OAy6nh7m43ubSOT4Sj8OOcZY+FoHyJ98d4RXje +xNL0UbYzQIlVmp4bYm64Ywp25meYq57NAE8NqB8p2VArIJHTvZk43aSAXBGL +mhvIZEBUQPqc7tDcXX1v5n9tPZvf2u/Nmgk1Hwmi5l6UCIit/uYYDw2Omk2G +tjNrZmmAHmqOuM7hEIDCfqWYORLEwJWAKP5/HyUaGjV/ER6aVfdTADcFwhj0 +0Cwn5J3hSZnsWedPB8JZJq4/s+ubYfdprdh5B6j51qauH5CvAOTTAcPM8M46 +nAwYG62lZ0j988wA9hmzWcZlzudcZnTIzLNcZgkFnskjA4DL2Kq5nNJhUEb2 +rShlshnZrTy/DIuYrfpvqHK+pACG69UNX9tkWdG/v16eZdfLkdYfMyxb5TjM +KcT8oY+Yv4nJLgmomJjuv6/nLRvMYCAhLSsdmps95ucwKMQs7c1PsOySqMOc +48mMJo36kvlFVDgrHeaqMglAgu8wt9TzZCaDxjkMGmhPZnf9PDmMmK9miDly +0WSIuTsg5iFNNUlJlUKjQr2YjXRpLpTDPEvv/2txklm9cLtyQsw82idqjVVS +O8vtlSuYi5U4NnNfOGzhUywRZYuVV9z1Ymaypcew9RsDWSiVASTwN7R/Wik3 +Nog5ZC/TxkPMrinjaGvKiLHy1vLquRjEjKsZEy9WCGJeoREzOcu1Du1l5sQw +mRAxK+6/FKJzD/PATsZ3Y1S3yzvCPhmPM8Q8te2vruoP80pkIqaXV0Ke5RIx +E99PiWOrZ4kNSRk6Pj4hymHOdFzltDJGp/t5jAzpkcHnLsTIuGY06yDkvMRK +gSn+fkJu388yB7uNy+1z+cuplr/8sCUy/TWlrufuzzaV89zs2D+U2s9NwlLe +y0pHXjEQ8RO+YEZCMc1KhmLkL2G0f1fpF/LF0FHymvqvZzLaRe6WbELLDUyK +X49gih+i5Uwd+eOjZQrKTGW85bpstsxVfg0ILe8g3jJHhZaJYZI8NnOPiI8R +DZLXskWDDR00uMudoToKv70KLbdhCj+ldAtxdhULA9sXcpa7S1QoY4QoyUO2 +MlmagA7PCTGXl4j5SbyYWy9qfdzQ9+cVVB3ZzBZiq6SREnKLl3biJwPS92k9 +89FwbhWYshBCzcyz2UHNc/aHec0vs5uEnwg4WcctxTrPYcuPQ833MdT8gI+a +Pdc57p8RJGZGTGbRMiuVpZvUZ45z1+XZ0F/coynmWeKZ5UxRy6wZ3j7NzWZy +JwTcbe4Mc+bmMTs16QlEnvOeAvB3UgBOwgmBNKB9gQcBM4gQYGYYZbMappHL +HK2ekcvs5WRnoT6DrLPIV56mAe/m8SQTnbn0BEv8u0fJmeTgrDxmZNfAXVk9 +K2VSimU+NOuBSmUr/FekTAz5tZFl1lg2apPlIeYgIdN6MktCZookZK5yCJkS +MWtOZr6T8UsRSGfU/SvEfFIh5lt9T+b7ApKAod6muR8za2SI2feYnVYIYuYc +TY4IJGIm2ywdzWARs/FkDq5lPmExZuTJ7MfMW8T8DSczOTHzAauZUMx8094a +MQ9tamn9hJiNsxxbufjhPoiYjdjfJyudMdC3FJMv8XiyiyJifyIuhRCz0/6h +PCbjsIwCf7RsqZyyL7et/1oTjtlbhvdmtTArZbuS4YiZqP9IZMocEbAxh0vm +blYyO5+37T2EmNFZLi0SK88Rc4ZagRovZvLJeO+riBezr5ZVbdn3MJcCdO7F +zLxleK2EfDJ81R8l/E0LJPw5ySWAmBe5obKEmLkPs87D9n2YbR627yrHS8Jm +yGJqSbVHHZLSQTlzcTyY4xR/nbXi70b0KZU52JLX16MwXp/HX37W04+TUNbE +yLMOEsrDCtnKGDaGO18mJ7ndzH2JtOSWu0wzmEsDSj/ri0G0fxLK3qmUfvKS +6Xou93ESSlo4ZCbr/q+Df6KzZcvEILRc31f5xTExjO2MRMszmBexnC3zjGhX +4aYZBzg73bAOZ6cuTzeecbDXUfiFU0nCznGcs6xi6rmrmiRLQWlWaXJuiLnB +zscxKGkyXtCnqCPHUUOapMMZAdYK+YeEvKnZLN5PcnEyAhlzxfEP2WtuF0HU +HJk1u6i55/NeNuCTZ/ZrHhSDmlXCyTAvQ5tQc19X/K9QcxcW+IuWWVO5ErCV +zc9+01cC0qrZRDMQO8OzzarGoxm2BKIZ7OAs11s1a4/m6dGkJmOZBWdezXEA +AUKpJij+R39mNweQ6TbIF6gr+s6T09wQOPf8DMAJDTR5kwZrtFNDqdPpdxlE +WML2aYH8v0ObXeaZ4TJjIhP3Zd6Q76r/FqmVs41meJnpMmz2n7bMGqAssyoD +Yq5uBmfGL0OplknKxBEz98sYDIh5OCLme93wsl3kMHc2xrIfRhCz2umgJ3NK +GDHLo8jX/QcR8wvHWBoDImYooZtDiLmwFJM7AyXT2/OY7XQSVzOEBn52837Z +WoZfMBd7F0wqFTdm3iJmO0hb6ZA1tV/Ge2z9TITNqMPcfiiX73iwmRHNupbm +hwLRmRYxN1EW5b7YP4KYKTb+LULMvj0WE8TKgdkKn5CpfTIcxBzwYs7GFXMc +IXMxW8M4XsxsvUxkzHswItMi5mRmxmi9mMOI2Xoxt1KImXkxm0h5HZUZ9GLe +adNLlMXM1pDFTFQw28CzmFGI+dNc48Xc3nOW60LOcsaL+YgZ1ripP6ess5zn +xuhnZDoq2dsCmdjKhdEiZpONie0kXvWnBOUSMcvyUKQllZFlfUu3mjxsbrzo +usppj4wZjKg0lalhtEeGEcJG9OP+htJX/GFiSduWKgf7165svjyA+y9z7Xj9 +KH+Zp5W8XVP8M7+6ZyvDeH3LvSysjZ5PqR/047ExdtDsxXP4N0E/3jqGZ/op +pV+u9cUYjhfM/koom4CpslcbaxknocSh/lu03D6ClgcwtDxcpGRatEwOcnVi +0HKKz8Qgdds2yzZIi6BlPjNd6aLl9TznLuygRhl+vqrNKPwCnOWunnOcRYFH +lDV6z2koxVFIUK9+zhUx19s1QdTb85jxD1He1IalMQ0v6y8iambsFWRppG+x +3Gb7feMMlqWojlwZUUeSl0gjRM1pXv6h71HNbxnGRBZQc5c3vwvwmi1qJnus +yKyZMzQeDsya7/VmzZgLONqkaTOL+b7MNJMIZ8wA4Gk2OCMYQEpAawfEyGdw +1JEJAI8AjrIzOAON5wEud3ZqkWzTRKsC/BpVgNG0pnB6dqFpTUq7Yb2BfmmP +CkDlNNdEnOgXrwBUwzXv3PsHPTOMOUDEZS7BmRD4XObv2XSAdmk5qNPYjnu0 +NfA46r88q/57GVOY/Ow/ebbJtGzJMuubXU1NAeTOrDf5ZWQFHOYyezO/DA0B +0qVfBpxh9RVivp8h5ok27ncHi/vdTnG/ZzKWZYh59R6VvYnGsgoxMwRQOGL2 +88uOm8TfkFvWIETM/tzMIOYBbDXDHeYQMU8GxMxds553RLMUBMQtzflKJhqd +aVcyVjC7BRHzdubJHHaYm4t+GTODYfOxiPmqmBCgEGIe1kSbLY4LyJemai/m +00jr14i5pkvIpAvkRyzetxDEbFYvzFnuy0IQsw0r85zlHEKmK18iCDAKnmHK +iLGcEcnqwJ/rXDNGY4nV3g7KMnuaYDIXMQ/3ELNs949YsawKl3/W5P2Z4J8g +YuamjISYdcloG3OymMmN1Etnp1681B9UypohjVprWsQcSvyJqGRDiNlJxy6I +ZP05qr8mPLnE+pVDC1GI2VH90fqFRLE83OcTx1XO5PyZecvzkQ0llYIkKB10 +Zi08C0t3jSMhxV+bGzGxpJmdL5M/6fB06BppJt8vmhzL/UlJBcPSShy1XxXG +xojxxvDJS06QPJGX3CB5h+5vlH5aIPsSzl8oAfsR3FqSL8Yg9MXQYfLcc7k+ +81yWCSWtcB7T3pktt88ktExlY+n/1st0lHWQy/Bny08E0XIaR8tbORNjQSEM +A889jvlhGLSs5qSu37DrnBZNJZGc5S7EWX4Ty0+yMF6XznFIJnyJzZWn/WTQ +skGAT5wQVRrHIGaVmH2Jg5PLi7q7xitDHsnwlodNfYmVd+vvlp4yT1VOsKkK +KxPTGxnNW4nRHOWvpIeSATdy94wNXp62f8/w0w/zXP4KYWXkZVDogqFecg0g +cVaeYYcXYzM7E+YHzg4r3zeYWcv2j4b++q4ZcsL8dLuTnv7vWNA1wzGbjzHM +MhazjhvQ2XAy7JL5i4QZ8QmAyWFXoB8do6zojOAonxGQK1CnFpEZgfHQHM3M +tEj3ByfeX5SVPYPmAzFOGU7qH9ukoRVAKCc7FxfOO0x+yUUxmj89C3gOQYCT +kQ04eSCcajqltCrc/8koi8J+ua/cTUbARD6Yjq+cwsn3qFwl2fjr7WYGWTu1 +r1wUJ9Ni2cfJKw1ObkR2squ1igJ95SRO5qllHXG3THJaJ7UsLucXXWXjchi4 +EzMtZJzwTKQwOTj5DE7MCge0QJlsUxb9k2KxgAz9JYtZTl0yvnKMoumHZWY6 +VrOur5yLk9kiJnmSI5MNm5gT6Z9izfpCqfQBnNzBxcnMTy5qI3s185OrrnDy +39wYi+Pk5X7zR5y81cfJJTVORj+5PFy67Aksl30b2bcZwZ9k/k8xnEyLZW1Y +Xh7tF6tB8w84MLMljKFfZuoAX0v2Z8blmdaGse4e7Sfn4mRL+E9j9KU0Eym/ +yAvItFdLi5N9B2aoF0rHDOFkOZ6REvwXPZz8xHGk/wVyfoi3xNwxRqNjOd0n +Dcufu2N0xHwfFirLncqjWr+vmXw8T+Jk1UGuYHkljvsy18D4ncP1kjM8DHIm +pZ2k4mHw6Qpj8WEJkO4lso9sq3OwVceg5Ks4R9IJ1pH0rxhHUl4qIY2fDMOM +cDAi10m0XVTOpJaDYRjL+1zG8qKYMqFOQguYBxw3jLLiDiiVfk6p8EBMm+In +y4VySSRG7mgmyjfHYOS71PXSkP5DLstmovys9UxztH2zvPS+BSgEYNo1pTrn +GdCuC0aDtW7OdVTXxzM5vnB0fZ3e+VZ0hpK7ycu4Vq3K84tTLcvjXvTykF+V +xh0UTr7EYOOzmSwDYt79kJnGS5mxOnokN2O3y82I+M45bs2Emt8xOkDXrZpl +u2y00/kURwfIs10ymOdcjjqyaDovjePlfSOEmrsGUDPlaPc6B9Q8iKPmUR4v +o5AM7fH+kplPmNtF5f+vEWr27TN5RJMZm+XbYAbOyVCL5h1O+G9I42zl/2Ht +n44xm2ydgWqEzz/lDISuzMRi1nOCbuiWgdPlNkz31wPOP+Khke5PnX+NHD6G +Ov+e404Z2hbgX0+xwfkYcpQmLWePrbPiJjr39isGs6Vp5uZZUdMWhAUrPM0f +T/wjaibPyB6Rq+cA/dUcIFmfbznXM6Os5hj2q+/+1lb2FoaYBznL5To4KtOI +eYIj949HzAvjQ34jkWUuYoajSZcSIuYIG/NHWz7MV6735ONhxHxGJ+YoYibS +fzS75KQTM8+pS7OZnslfwpCvXBQxo0RWIeZtgXh5WsJE4+XzTbz8dOaSQZdL +HKcR4Z9TNiMy2QHGxFwh5h6AmIc3tTFlPmIOGGP9S1E+HAaglewpYyVbRVnJ +/iKl/spqsaLnJ1cmYiVLDsxZHh2TBy/4fnKzKN6Hjcsm7vUcmJVwSdov6sVy +35yrnCh5S/Rv4/rJZfYyC+WWvgNz5ki1iOGIuf5/hJgLi5TfrhadFJgQdmD+ +KkYfG4+YnWTMccxPjrnJRHLkuZOMn4mNwxeu9ZuBXKWI1o/cY/RNUiJmS1OS +5jF63kJsPpe5/CEzjfG95GjOgvvIJC/9ymRhe0pxxeBjOj82VVY5Je1YDrbU +vMhd5J3p7lRZmclwzUvtaOoV6xh/OftIf84iu0bYEWP/nmia39ksXwqjKxHB +/0FmIqNtFysoZp/xjsu5DkqlnnFaVrkkHlruzNFyVggt65JJzXS1fXUdtvIk +j638HDo+EAN3psO/4Ol9HOGlGrS8yqJlzH0mpnJD1KvJPafV9eWKlh/z3OcQ +U/lbw1Tu4jOVPb84FUtvHB8QLctBzxOYyzFJiwmqpHc4By5GefiejWPeew8B +gn5EUcHsreNJtuCaxhw0fN+5QhjNmz909ZJ+MuC6TREGuHLPMN/XKGr2s7Q5 +au6mvp80nT/kSv1pMk852pNPoEszJgI+bFGzGpqxoy1iLzvUC/5F1PxIX+bQ +3MML/0XUbPOzNZNZUs9cC4ADykLzPZ+eyQVNzAJAcTISeQrgem9aEM1qyouI +mZ6DM/AZJ5ThewplYEKmKAzw3DJMumk7o/v7uSPzlzO6v7PkY8zUtE2d0eTz +MRLttMDwMYh/Vs6BB2QFsDfvYqP54/kljuYPnjcZJHiGTQbGIhwYbNJKk/SS +Gc64PtwlI5v7ynVjtrKur5wUMGkfTE3HNEOz3Ty2DH3ltmtfuTMjZnkb3YKZ +QfoWik7MCjGjpSUh5o6Oful7V/HvI2YzNyswJUMapjuZofkIf3ZGUlnPV+7R +QHaJWccUgpg5bcnTNKmgzGVIW3KCMqFENGKO0pasE3Mc2f8MQZnMWOYg+sr9 +yHzlDiN9KYKYxwBifpAFXz5R1w7NCDHPRMQ8t4ZGzLhc/tOIlwAxf6YzS44j +YlYrFw8xU/iChAKFIeZtHmIOL5iLWwfmPBtTxqX+Q9RymRBzDbaKIcTc7D9A +zOjAnGkdmOt6fnJasm5tGNOMqYyUqM9DK9TFTGATQMzcT65QB+aQo4zcxxyz +yZhPENNfI+Z+D52Nnxyj+AXdMWx9+O4YZk1JzH7mUC45Ssuu1YiZa/2q+u7L +qzz3ZbpAkvzVT/fjSnFMvlLOGOThT3QkfP3TbtPRPcpHrpubE0s+cl2s3kX5 +KpHeZbQ7X/5jMpmVSyMZj+Sv2Hue7aKvEjf51/58OcBYhvLIZRyMLcjBWI1M +vk85Yzk/YCCDqvF4N4zLrXeckcQytGwyr6XTcidmvdiLsZUJLdsLZqpxLdWK +8toRtKyjfhoqzdpzwo2UR2+0bXOswwOm19nM50/RBUP6MzGHZe6CYVBdhqfr +yy1U19c56K58wEPLOFdWc1Esv4ir8Amb/YwM3HNFzA12jVE0MI2ax6GlJX0/ +J4j6ux7H7XDId24600wSo3mOywTf4qFmowP0biPknrHW5r3Q7J7fRixq1twW +Pre/ib63fNYMqLm7h5otm5mhZkoE9HK0B447M2q+n1MzCTVzOMC95nClZumZ +XpyZspplqzUm2jDDAs5kvpJUG67P3LZEPkST7IxPUevMd2zWZlaegV8aaubT +uHKe6IUyxLCY5eCMIsya9DJ652Nc79z+DOeg48hMUwNcSTNmxun51fVwbXGA +mUGqDXn+YawZiZvIjZnMs+xezdoAuIl/l7ouGSYjW/PNRjE40E8h5lqAmK81 +62aLmNt6Iia7apbnGjfJUnRMk13ymIeYX/AQs3ViTkMn5lRyYl6/BllPW5Dx +VAhiRkvL+Rox3/RWjBOzh5iNPaOPmAt1Yi5wvbK8PAar/rczNNeKkS6VP7Ly ++M7RNAXNy7kNo0TMdKks1FduQcRX7guGmLmv3P4kHzGHXDJcwv/RJjcrxFxw +VxN0YNZSfzM0i/GT0+ZYNtz3z8VW7l/grZqj4b7aIItTMr9mlMzcfReacF9a +N6/ZZ/3kQojZyP0ZHODDM32prGBj5HOuZjay6Z5wiTkwIw3zRpNZYv3kJGKu +F0DMDYOI2WaWyHbvIualzOxKm8pEELOiVGLLQT85N7ME2wzzk+vF/eQmW8Ts +uGOMcdlLDssfEPMDrI1I5tIEhpjpRimHLtwdg26TIa2f1cHulYh5pUPqh5IA +xEzuy2Hmsu0WfCtpPfyjjIwDnJHBonsUed+frRidH/dUauJ6Ko3i8T4NLWsZ +yUt/v3KtY1TumMh43v3HV1SBErkcukVlNyNWqsS5f38W+cdd7F0oLzK6l+Wx +F8pApE/ADWOgIvdX0ptK5U7qOy3HZ167YZghtHy3Qct1I2zlSSwY81l3tryN +oWVvDmodlj+yDssbuavDBkRzvguGRcsO39bo+hhTed7XUc6tLDWJluP84jhT +ecovESaBk8MBbSvhHBDzxYCYU3aPNs7VMmi0TsZYw3Cpu3s8cpv53B65zTv0 +9zfqy/cm850jRvj7bjLgRp4MuAotMNd5uS87vNyXTMdthH+fDWp+V7b9byKo +WWe94PeXo2Zn1gwQYFJB1DkjgJrvGnUWqPk2OyDwIcHkjtY1YxoXNbU65nKa +vdXzIrZ6JlHTCiNq0qjZpgByx4yVgJh9B3pO1JwJ5+CrZ/bUNJnZPOV0ECo4 +tFvGUcNn9ubMbfmuDRUcgxpr3Z/jyFzfZmXHOGU4rDRpPct8NLXtbJjLrEmb +l6rzj/TNRvOXzzV/l6hz7y22U5umzryS4rFc7ZKhfOVySquVs4YDNdFVXu7R +kKCZbX3lHGtZ4yunz7a0TOsrV09llzyEiPnxaNAvrpnTmRNzBDFvcBFzgzX6 +dt9UWcpma8Sc62SXxM/N0PCdZZcoxPy4K5dVs7MHXMQcdWJmJuYcMftOzIiY +n1GI+deAeTkgZqX5k4YyXkimZyhDcT+KvJQM8CDRGspsS7TlEfWVWxD1lUtw +feWMeTmPNmO+cgcYYqZ4s0PoK3e0yS0GMf9O7hgy9HJSPYuYA+4Yjp0srlr+ ++DAx6ifnGZMfdtwxAiImb4DmiJgiA7RLcYBW3BmgTUbbxQcQMVviUgV0YK7J +ghck3Z9H/Lj2i+0zXcq/78Cs25UulbrMgdldK7+KcpvZKEufD23IIubUjdaB +OQUdmBU5EBEzlouNGCPE7PnJqRYeRMzWTeYWjpg9vv//zd17RmlVZWvbZ4xz +jm3bQcXUgSKYFSUXVSCSQZKAkhVERAQxIGYMIIqimLMoYmjMbU6oCEiQWFVQ +FKY2g2iDih1susf4xni+vWZYa8651n6qQDu85wfvsT3n/dPsvZ5rz3XP6+a9 +2NDv853e9RsS3o/Qif1NTie2fi/Mrp8bsjhidr8Y87mvpOEK0Vcyn34tOKT0 +JEnJZY6PbaTXFz5oMAMSGW62AmaMKJExITiV/J7fMJqrYCLjK8rvfcVzFdmD +PSrkl1M+pZDfyz4s7yRv/5zg7f9bnreffilsaEnrFo0/zkf8d6U0hq2M300E +ln5B2WX85ZAR/9iG8Sty+R+QoOX21HmdpuVjKoeJldhAy+0MLTfzAabJXliO +22rXiMyto7nb9GyZ5p8xLSccaNy3QRaMVm8uJz9TnLPVmeUNipZDCiPO2g6o +zRfHc1BOYUwLuVug5UvxqscFCUvKd46Y2646mywjZ0E4rDk0jvG8+WK6ITYp +Df9VwjuUzjt3u0m9WKM1d4uLbDPYM6x5ZBH9dx7sGSD4mcfUXBk5+tJd2mKO +72f4G8MM/8bN/otkcNQIuE0lNEYlEhosAspNaJAGYPIwUdMkXXO9Axbw0Set +GSrTTKE0VdlEKgCUZ1VnWCDOwJJVOEhTV886nbFWpDP0EC3hmGt4mblyDk2n +G/19m/ZqQp65k+5tUvsc0kJ/ZjkamX1XdgtMZszkZEZAhu0P0b6znxw0CG45 +f8+2X5RlBhszb/9V48SgQm7/1cjtPzTNy45sPPd+6Yt/zyFhFq41BfsP62XR +k6m9cnnEHMp+JwpivoyIOW1iDsScnWNvYTCzbNELqrsE3yM0w4vuEkfM8qa5 +Vy3ZzOONiZk9WUzMw1N9v9Ir52P/2+IGsxQxwwfltmBi7rZFmJipyexoYWIu +l8GlME97ydbKAzGvMsSc9srxNYwKL6XqfkrEK9JYe+U+tZYMVjFKYp6QEbOw +Y/wlxycHOllaZYIFWOGTkwUMecTMSllPzMYnx8S8Jrpylj653TCgWRMCmrKA +gat9LiDtoluOPUURM1eVNfcLsqFG3hiYSVjeVYQyj1ozThVjMjG3SBLzLTnE +/Dj95D+niJk7S1oCMePqviRm7JJ/x3eWHFuMmNV78k1h6BQk5tSGbNon913a +J9cPiRk7sYOl3NoxHrRbfy1Dtj/7qQBi5r4S8CvR1p//gAwZ5kpVfEkZ5vrC +JdfgGkxk+PyeEJFDjF//QsDGCyUy0CMnmq6OoR1xmd0bHTv7vXVZzZeL74in +DKT4euxr5DGhn+S9quzVWIevxtqUanGDu5XcTS/EQjfJL4w4xr4aaMM4xWf6 +uM6HCzBbUa7PRfw7is7rQMu9gJaHRq9IyC1PUFt+TYvMlpmWObcsabmt6rp+ +Mu4j8T0bvJVmXQ6rkdwS3jOVWfb09oHKLPefncgs376JwoLsi/tK++KmMy1z +CgPnyj49QB+uDcp77gQx7104atUZ8OHuvkhc41gr+NWeJJoSdUoDvXNX1c07 +t3Q2dSzQTJ8SMG2NgUTZrRe8ScfXYm1qfn118Gi86NSYazEvLhMw6itFd2nz +f+c617xZ5JrNNuDldOVsFpxhtUnOms8Q602m0uxCoZuV1HyFMDTnbQLe02mT +R4OHWDmrapveU7Vm0fWz337W6Qzdmy0rzWYVNkSq2RDUhNWmA/MmCDk7HTBn +5h6TLrEpiJv/eIKQSmbcFCcz/j63sb+SZkmAcsu9KcqA5T0bbf8xMVfK7b8a +DGw+L4j5QZoWwCCtGs8+8GVUB1/GmIyYR9F2swtphgEaE7P2yvWsHKK8cn6d +yRMzXjWDV25VysScIGZaZSp7SxMzWnxqJeZom8l7suhjnj8wDQnAh3uCmHlZ +VpmYxxEx55iYp8i4f29tmeWb5+THpHkt0guyVf5jEomZFmRL2MSc0spor1xY +kpVeObcke5VQy7irmODL+NT7Mk43pcAjC5vbOWLuHRGzu17xH4s3mQKG+w8M +xKy2/jJiFj45/+E4nz0ZooTBaBb5dXArTWuJmKVWVmJBipgdFtxQHWMBD9FQ +J7MvdZa4daYmZvk/x8BsiBm0MhkxcyizOfxEhY9L+aqU+s6SO9PELGP/qrNk +aUbMdIXsDcxrAzGzgTlBzKHjx74n32bETO5FQczOJ+e/KiOf3Hfhp8Pk/J1z +kXt9nHKJM/6pTuzHRQNmIOZ1jphVX4nf+sPZStq+THMV65JzN5HsyFBdJTKR +YbuwB4tEBm/8kUdO9JR8a3tho1Y/7evfrjpKGoltlwbh9ZARfwosyVfDpzFM +vJ/DSks3pKp88OpFbvpFVT509SK9GCeBF4NmLVVyIRZ7SQYmOq89LVcEWu5s +aVnklptJy/LqHaPlcqJluMWEWaekZdnlHNIBrYwlmNMBR4tGulS3Bm6jGVpm +u/LdmtzyUhiw3VckheE31C7Cn6qGZTtOzLtlxNxh1elotF4zHj7g22S/3K19 +v/i5GTVzSsN9zF9K8+aQ0pAGujbSQLeMUhpL+WslYWte/LTu016k+7Rb0xdL +K7hZXuk9GkfZ+X7209/NU/M7+dQ8y1CznzV/mdwGHOy2AS/bQWq2Hdoj4l6T +uvgz7upMnSYc2pRlwKV/gHUOuebk7cyRcU6XNBRLZwQz8y1aCMD9Tc6vqTqz +RZ65tfUGDdR92d1oihBtAAojs+3KjpwZ5NX8XWyZ+/ZFXHly6TRvY16sbcwh +y0xbz9RqulQ0/r1AZ2DoyMY02k3V7vz7JfoyMmI+t5rOPujI/g0Z5g6htabW +yjDX3xjmelQMVyjAfkwmZvhKXcXrTGxi1t0lbcGAjlpZ/Pq3xPwmNGu6M6zl +fDy/DDF3fZqMWcYs29+YZUFoLm+bp2+l2+aYmK1dVhHz2BBcurCYiVkQM0f9 +g4k5EPMDolI+z8QMxCwMc66gwYsYiZilYW5N0jDH19F3xYa5kumamOFaGrEh +VSsP6OCuYxwxD8yI+YyjUCerPBnN/PUKCBbvOtSHkoCYH0kM0J7HV8BfOUuz +3OJ9881ygpjd68BmOb521oM0XfILg7TslbieiJm1snztPM6LyvejlaZDQAAQ +Chi4rixFzMHA3JENzETMrJVptjpE/luu0p0lgZhnUVkAvypSxhgTcysiZqGW +8QbmYxLG8v5FWjHZwKyI+cJaiJmEpdIsB8QsPBnYHR8Wx2Un9pxUJ7Yg5nmH +AjGDw99l+BqsEnXxcuuPfyHYvjynUFOSsMqBLga3XT6RiYwjaD+8xWmmC5t9 +/cKoRBt/eAPZwfRgl5tOWGtdpvmy6igxvw7Pim2/V8MtZJippN0YG3yb3y4+ +u/yW6L5W2eX1IbvM0f5rafOFq+I52j923V6Q5RvBvxpVVriItIymZaTlY7Nf +j9B5bWlZJjE0LTctQsvWiWFpuVx2Xb8VkhjBePaGTwWwD8O9Pm5rJt5AM/3N +TGq0FhB3kejM8vG3b4ztyjMNLYu58mAa5gwTKYwRFwULmnsFG+0kMXdadRpY +rhw1u//OAzWfRb/gct5MKY1kzwm7NFIGOvY2C2qO5vzPR6mYlJ+kNLLPVSVb +AVkym6RmUmfpWXNYbRrktwGDQquYQ8MnNBQ149Fne01goKaKGmgTsIfZBOzM +m4AbC7M7oIBWSzY/KPye8CAIaHNaAOsvJm/Q66rxVPZmy3SGGqQ1oA6nRlM8 +EnwKQzTZ4YRJNZwzh6SadwfBudhDNJ5iUs2bNtnNLM/FaeLezaHDHZxSOxCd +GXQt/ZffN8QzMcfLjMS8l7qKfpeCm+EsDI1/bNTkjmz2ZThivpYGaZdktHxe +NZ9/TMwNqZThSLhXCyFNxgE2zIWVJmmYQ6M8S2ZTxHwDvVd41QzEvFRgQPb1 +WUZOZrexrIkZ02TUXeKIuZMh5mR7WR4x00bTYCLmYZfo7hLbYKaJ2YWWvlPE +bK9fruwTEzO+EpvD7bN1MkM/w/tKXf7iEbwcuzaxHLu0sFQsx66MiFkb5piY +dXCJtTLTQsWZMMxFxNxiTCgGbjccifnMo2iVqRV5MlooT8bfhFnu72SWsyW/ +npjZLPcavgJMzJsW7+u3/uDa2Zrl6MqFXwfvYq75qa+NV0HNmmCWu4WI+Wq6 +fpmc/fEuZijD3IuIuRGa5dYeKZZj5TVMz+BiJiyQLmYkZgxntiJ1eVNPzG7V +RizJipa/4sTsfvpfEz/7RMwuBPhKTMypjp/+4i7GE7PfkMVhF/f72HsYdjGf +IXwytj+eXcxTFTEHV7n7krSd2A/bhr8WfuvPE7N7HfyVi+0r4eyeyTD7eUrI +7UF1T4Mp4XoFEhnBkSEXXjGzN0QkMnDLBebLPTrilottt7LzZT9LyX4ZbmhK ++eUwX97uOkoebaz9o7ztZxv9vBuDXgvSx3C0f73ILkuTnH4l8mWLKXXMabD5 +omt8hivn8lHoXKZl2P6gKBe07G8oRyjpYjtvkONsLdvPTBJjhZktL2cBo7Wd +zRWEFveRlC20vgZhOQN7XJ6vYUOg5UfeDYTmnQ06hXG8cgSzOe6rWlwYYq4s +UhijuGmDZp6Ny46JiHkX98/oZt5tb4HN8+mfr8z+52fZn39kf54bO3Zsk+zP +/9RG2XvvXeiyagwcY3wXcFT2i9/WJzvONJuEzl53kcici2QH+TeCtY5I++3Y +9YzujbnxtqYnbdwiDH+XvEWY/V3OZ8/zarGtWZVO1BQjbeszkamOGaJFcOq3 +kXcjbz4t69CwQXBb1IpiTXU8iJPbgzdZrHCkffRnAitkJdq7/uoactCHSjvn +atEc+JZpQ3neGDpTXmczm27INWg8m065NvRserM4T/3WIG9QD8tI+6SMtE9t +J3zOtA9i0hxh+nCQH875XZBnSnT+2SGGvKcz7SdM2Ws3iJbAmoRjY31wbPCW +9JVwXb1H4fxqOjczyj4ZzJvk2IAzk9NrvAp1jEcI9gdFHmfCh5a812GaT9x7 +VSYDnXAmPggOG6bs8P5QjQOcgcsAHZCyAR2Asg09KMpWmc6Nud4AGACI1rNk +80nkcTbZTuFxTlN2MY8zFgTJFjRtpStG2cuIsmMrnXZsPGQ0NEWsdFJFwzX0 +brE24dj4ou0Jacr2bo2m2q1B0gBwazwaHn2/MPuCKQcWr4ByawicYMqu8Ta6 +XbSNzgzgPFLUhHWom6uxHHg6+5urhb/ZU/avMehUpW10A/1CbbDRIU4MovqG +E/WKwBq+vjkbijSbSnnjSqOiWa79zTjc4dATNgP6Ms2F4VVpLernXWCw03Pu +PUGdU7pJkyib/M3aQ1MLZZv3QyWdEjY6S9k3iZ2AXLcGDWIg8IfvAlI2b8ms +TLSdvEAmpuBuxj5Z3I4JJrprdCNg9th/cij/KkzwKQ7pLMVdcpfxO7bwVSc9 +e9naz7ScwOwlzjx/L2bS28Wvgt8QVDeW9UNhJvwy2Jl0OsEBuhnKO6+QwaYi +RZl+O3C97so+j4sys9cBizJ/TS6Ng8E8x55m35Ptm/966Q9PQ9gdDGGXUtZZ +bQb6FMFV4vWQdrTsFXl7ltpUg1+UiLCFnxmucRZEDg1JZXb+2e3pGt9ZF+dt +0zlnlR7wtrn8FpMhlNwYdpmYRYvkhvtpglePqK1xmxzK/q//2fXkk08+LgPo +/y/7l+6f/xv+9a6njRs3zv3DoOx/Hpn9r3bP/vwu+/Nl9qfemDFj/rsOvN1t +1ejsbw9bzt3B5sYH3HTubhVi5j6frCiYC2npLAIr+Zvp6pCmznXepUzRYgdx +Ef3tqj7HBSqbg747skQr5l6rGgk5l9NnrrCjSI/g3cK4XYS5BzNz1yETEi1X +2U4V4bm7XHjurvLM/XVo7JY1xB3F6nU7XrD6gFLU7/oUNeZB1om27tVkxF8q +oqJydqGt0GGyHTZKpBX/Y2vFP0IaoWXXamqy3b3wx25447dlwNGFrfLGb5ww +4l9cqrIg1ob/D05PPxb6Vb97rr42QfOp6vcNg9Pz3bW7Udtg2CB5q8YZOn6q +DR3rg6GDe1SvohzcBdVsJNq7MBpsRKgfQN4WFujKLtEld+BtFHUhb0+A/BXy +9gXE21MMb9+selOQt+eKb9bniLfn+XphHtWV5fN26E35IG0dYLOtGydcJ3j7 +ipi32TygelMmGN4Wvi7fCWF4W5VydtsqeHtzUvkY5DUJ5SNYoFmUzrwtlbdS +lP6cWizAfUPptAuGDq4hRj0B87YDjwuLOO3w8sfz9lntIPr058u0y86Lae44 +DAXoDigeIJcd1AYRb1O1sOftVwNvw+iOXoHYzPGLCC4qfCyKhTWJC++MtefU +CFlXtZR17QFLBedmr8WZvghiH7jw5sJ6jknx62F52yptJVgE3p5IvK0bBktX +XFNoUyfexr6UsoUvq0/TUuDtlZ63YSTHfSlQOuAWoqgSOGl/TvA2XYyecGm8 +jyu/R/1mwegc3u4fVtS5gZN5GyODOJJ5kIoDoEeI19LFT8NrBwNvu3tPmMaU +4AVPflfKXOLtRGraR6AoNQ2/CJNQSiM7AkT7Nm6hi3RgV5MOhI4U0bwN3qbS +KB0Ir8ctMjFdpFEr6gfYr7DprYTe0WyiV4rXYSG9DlJUI3tR7hK9KFxK77oC +5BLBmHX7AGvDZKbqwKTl+Tj1OvQ1iwTxNLstNG7ZvcILcvYKZ5pJqJxmh3Zt +XMd9qhB1RAsDR+sFwe4sm+7SO4U1ph9a5D6kEUKkpJUVghsDbUqacx/UgTL0 +8rBPeCJNsmXuwzfgnYO0tn+bHhFv/2+j8ePH/9f//M8VV1zh/m1fYu6fIkg7 +9N6e/cdjHXcDXO/i/r/tqpB6r0KPVSfBX5SbFXQWWN2egyMVE+Ack3qP5qT3 +aC5LWFaKuHVRKV7QdEPcemkoYJFLimUKq0PkHSVey6i2MKWRDkI8X//IXk+P +1R/qavQ7NVYP5NDIjJwFRav14CYJcfOnC77T/WtWHw1KD4vVwvR1b4fPI6OR +bZN4iW7HIU96QBXmSRuKshW/cvKKGFZYbXQI0YW1k+lh7YQHFkXH2AkRqFxK +dGsnQmvkdNGqyPuy1hAWcX1sf+NKVhLf/YNneXkl3q/9WserXXhuhV5IfIcq +WCtqcEixuAZndlLhMXd9UHjwMvZ08uBeWI2OgvEQlHNL2L+FJexhVbhthTfh +7TOk7hxponk+x7wAxSpr+GCkYpVVk1W3WpsVhNTLb6dlX4nUj+cg9UK/muBG +2G1xwyqN1HRrVxSpdb0anGV1RerxGqlVaQQjdfYqXNFf7On2FD33vKebg9Re +etfaSu9c95BA6kYWqdPSu4DU8kvzHoPUOMdj45d/NXzX/aQMqcXr0Xysz1N9 +0fbEDKn7ZF+R7YS6Q2RGpbqDWyKk7O6J0CsEc7qkm0BYbHgRMdWtJpB6hdi4 +sgzh2yJqQlvETdXBnn559kpcBHu6e/oM6ShYRMxejar9weY1TOmhjxb50Rip +5awOZHdrguUmtENcogpV8AaV9NC+bTgPqWWhiuu5L4LUplCl35yUSt1lPsm6 +Ja55hl22TUkhbaHKmaeHCQyXDvmWAYnUfaTsLkZq+Gkw6o6nlbrD3W4SUuOr +sDhD6lA/yL8KvIgoYtXQK2QvNq/KcHoqDFkgPnjI+ULbcTpoOzbJkIj6NeAV +9a5hRd1K7ujXAHZ1o0j1kaGdcza9GnOFNZ0XEE12Ki7nFroO8TrY/vrQLfRT +VbvF3kdpscHlwz0ii02o3zqQxHbN9avgu4U4M9Vfja67KZw+VQxizkrUCzKR +XWXyU7fQq6FxutwvvWmcLpP65wU4um79psTplf6XBUq4FU6vxx1eM9xUMWoe +WwOJfZojszPBEOeRvCouSbF6DhsMGcs4fTYOP/cvRaTe1bPxf/3XT5CdGaXh +P/0v/L+7HJr9594ZSx84ZsyYl7J//jj788uzzjqrtrn1zzLI7rlqBP0VnkiJ ++FE+N3K0yo3YGTaD9kU0PMCOdextiT16KjfydkhoJ/ca7W6ptIG8uQiF+WRi +0bulcVpegzZd8j1Ip+Rskc6WQrCbhHllxhYzv/5O1bXZ+bUkCw3amM727jxj +nL5CCMLcReC1kQVEllB8CvuM6oIcLgTf1Ytb3pvHBRRxXiTe7c5rdJ2BegPf +zZJj/2h1SjSp4F6WpA2JJxU2e5cyf8CtIO91oysPE9liS0X2sSwQEwreYawI +O4xuO8VB9krT+e2tH+sxZzcLdrh/CVOJqylj52jiHDhC98qOUNxGOWHt/hlF +HE6Q3UYUGUuzdIiaaoIIkN2MJg94J4QX4G24vdBDtiiXWGzKJUQXC6tyPWS/ +DJDtTkI0SycgOwkQssN4S4DsKQGyZcfEWLoHPz3qYiHIroMnLwnZ3iy9MV7r +pWscKPhMRqfI+tFQmKXr8w7jPCPEkdYPuij3kH2rsH6wSpK+P8GTxwsLVFvE +1CHKPr9oOwIh++yjgiY3z/Yhq9h4OYuHcwzZCdtHqGMTBRMJP56jirXmUlxq +DZ4rVslGSwpXMmSvw9cirPa6JHZ9o8wt9R0scklLrvh2T3WwAGTzZTit+ZrW +QgXZy8JrglekjwWCiCB7MUA2KFllB8uz2iXZJw+yKUvlK75SkC3fj2QHi4Bs +68cTkJ3nx5O2j8fStg/3sekgG2wfvn9A7i6G/pUwdqH0oEpimx0d+K6UW+14 +TaMyIqLb+yvR0AW3mM6cOrh9unfFdA18f4NRR1LXQNjPMU68eWkP1KepfIjw +4a2IEtiy7p6vb7C88ybqtpVVRPga1CucunZv+NYcweWdVYfFPStVnf2mu1JF ++m33EZAu8IsJArBbehpLZENWmHn1cgHYS2er7o/yZBvhq9hGSF24irxezyev +rmZPEXbj5obkdb9E8loC9kDb/XFtoldlyo7lQrhFj40TB5TGc+t8yP5fFw55 +L/t3s7I/D2Z/Gmaw/V+1JbEdYfdaPRw+lNzcAPdOR+QnRSpykiJRz8s0qJ0K +3j3+iOKEfZzODrZq2kkVe5Dxx9RC0TxJ9pDs77o8YXDp9nS16tHhxklM2H9E +cbpPwjj79kDZwVIdRGLuyBxqSpElZZ+WmN9NFIbq80fFlK2WvZyYv7e1hsgm +xI0ihir1CLGd2nv2XAS1AQ8tlhbeLlmU7A1f6wcXD1CnVbgLDNVu7BylK3Aw +KE1MdFrZ7J0wKPXo6htglZGafaPyXHULYFxicVsT79bb/uCBwsyfEfYzYQ88 +ufP4dtDvcr2bI+zKml0hfuru/1zWbt4GHFbA3d9649Wjez/26gXC3gfipies +bUznZ3Mi7KOoo6q7L6VAxS4TNsZMNT5MondqMuwVc9uhvPLG66BA2PjO1ELY +2XnYFssokLCrfHfLMRE9FL/19oQ9DY85NaYzbYd+n0sQ9nlM2CP1GvDlkYk6 +SNpv7qYJ+94O+UGpQNgsacePzTcOCNVuDi2CPEcuKFivHl+NM2bcGVtC/A2P +sIQcdH54NZQlBDvCvyhnwm5X+At/TPKu40zxQSnsIH9/aH8VNf2r9+nV9zc4 +CifgCjxlB8EKo9TcbjmVUnDsNDJQW3EYiXNgdpdhxYViducIO5RShKvwwSZ2 +irc8stposLgG584WNFAHwj4fCDuoD6bTItANfpiTT9jPimipJGxsOWTC7ugJ +uyZ+R+4XZbp37CBh231g/okYk0/YUijlbjn5q9OtxVufnreDcEqKhy6HQiku +ELa63HwrkRfki03ez7lHpbA/4FavxiGFDRrJJmfrDfjSUYUveNZi9xy7Zr8C +x3QSe45uL8dYVs8vzV6P7OOTZy0zTQL7/rijBaTs7pVwI+xXaYRdJBGiRDkb +0LTqEyE1OelruYywPiwjQDiK9hvxJqeev+TMX0bolL0Gkq7xNeDN+PBLMTqM +ryt4fD0RKKz56kQaxNL125auuY/lEd31vSiYJ9oo4lpMAYIVIGrHAEFF0gLS +Q9J1nq8tkbo+nlLXsDYsZ5xudD39mxAoEAaQ1OiaaUwmQbhtj7tDDiztnpPE +/u+hGU2fkf0LoOxdAmG/T8ls9+d/3f+uLoTdZ/VQsoZnlF0ZKLuLmWdjqp7m +2RXacN1UBEdSnr5S4ekrE3lsPAKD3VrvsiYaKxe+oq8sTAKoNC8BZFsU1Swb +pWPoRwyUjakf8fd8TZFZthWQpW7JfVGsMFobpcJUoSZlN9/MHlsLN3YPu493 +0+7j/bLoouxDIVzSYTtujX2zUQWeqQ1CYGS5MYzg4E7uPfIc+5ao+yXKYDcR +GWyyLsHZSlZ/vfPYDc/WPmSwNh1YcD14IXa+/HVaCwiLgGLhlpC/5rZYhxt/ +fTLfXi37XsKZihMLRItdAS2WUcLOScdepOvAxzxhh0kFe/guphvxCbTBMgJQ +opGfUMSE3cvXvrGSt4s/N8eKW3DGh4vJ3MNysZl0FxTaEWsn7AW0r/A2EDYV +JKcIu2duO6L1i1H4bXqasEddYIwJZ8W5KbCKpAhb9CVbwtarCMbDl/jQlB6+ +V4SHDwl7FRH2UkPY/CqElYS1ysN3LwRQ3wHCvtmIyKb5gR5bRT45JFhFIIwq +9h2BsAdlhD2xnegQp2Dp9UdivZG0iTyANpHtxibyJ1YmRJVv+2VIsS8gRSDs +PXO0vE40ZmvfLFrspha7fNi0GtW800TYdGIybHpQRNjHV3ZI+/eU2D0QthaM +1UbY3PFSjLBlx0sthE2tiFGY6h69DwyEze8H1YZZwo6MO/7rc5u65owIuzfK +p66lex23j3CHIeywCu+aQl1+UNhEcOfXETa+BtyIyBs5PG95hvpdRO5aeaau +02ZWmbs+gnLXsv2r3IREOvfGHcdu2IS4tV+HsONo5ywXYWEoXO/M4BVg0ZX7 +QMhOwfw6igxyV+6vTPNXHBBB395P6NdgV9+XWyxvfQvFB3m3EVd9cfVg7Fq3 +6rt3YaTvNNCuvYE5CsreTNeVw2KpDr0G2KOLKwh2du1mm4G4bvSvQ8hac3Lg +d9mvR55tIm2k9taQ7BVpS1tvHWjrresz1Yque0XNe3+IbdQJv94g0XLoZ5uJ +3pbhwj5h59acVTxNzK2ZrN2VkSOyg1rnEvaT2X/4a4Kw3f8R/3mproR97OpB +4BLjrybs53GpET3P7hDNs5myjW2EUyOrL0t7/bxb0diwTS88/N0vEcGgRc+L +wUO89dh6/jK105oyYadaFxVl36v/3gfeKsJAUWJENy7KWXYxysYhnijHSKh+ +p7FSgXJ3sep3U6jNMvbraHAh0yKNhV1E9cWkzNfcBMCbjjfpObbcIedItr8f +lE0Aw3CObbccu3cu/LGX2WkRXeR/lkmRq2Wr4uF0N4gNAHC2yjg2TC7kLgve +C8I1+QpjFKl2HeSBsBdRddxLKnAnvH3ZH2W6hsxpPWiQZZUvTilEN4wi7GOp +WDkm7LZJwr4MCLuVb1O0hD0HQ3TQt8QZU3xHbDdMm9dX8HvhCNsNHeJumHzC +5jbFgTO/0oQtCGJEHmGrm3BTNpogbFZZusa4GT1ENww8/l/SR6ZwlJmi0aTK +UpiuFwJhh9okJGxtFKlUwSlhFCkJRhEkbC7HIG8fd5DzawFGkbiD/IvykUjY +57SjznEibN5sTJlEBGGzSeRPfoULJe8eKd7UJpHP8nx9RNhucLeKCPutxNW4 +VScwYaPhOi5WHucJm3SWVQfT4m8L8fGZY7iulJ0w9lr8bNLIylekGGHzsM4N +bh4PV6MRYS8plL6BhO2GNG4wF75CixD23UUIW3yBettOHmGPNYSd6oShr87r +DGFjhVi41nT3Od7X5740j5SEXYmEvZo6x9MNivgrwFsIfJupY9jcN5509amb +zGEqIeJ/AXpkvwBqq1F4+iaaX4Acr9TfH5IdMOSVet7uuculHJkO2V0t+Ia2 +xNAtHsevf+733cM24y8TveJ4szkSPjAbgeA97L3Hgne+4fQ9CAm6hmscShG0 +pNk1mpQNXa+YYaLXPMu8F+jaz64Xmy5x0fdSZug6z+PWIeFxs5lc1fVy78eR +vdr7+Nw8U8Su81IhQxOpELvByItxTGCersfjIrEjsRRh12a5/vne9Qr9Vg8g +8VF/6ukZiGkeNZ+OUyBhsfFM8hicA7L+pt4XMjn7c5lPgWCM/mrh6BM27CgF +4pYabZw+x7UozOStgJqX+/lbqh/em7DlF9ODoRd+gKHm44tQs3LzkXncIcPo +84pQs9/g2pYczE2Rg7k+eEQGbNDLjFwE4K76lHQpo2bOWPv0x8HCD+JxYWFO ++iMsMm5ItgEk8tWHnlP4tAkN35qPjd2mR9m5NFuXOmjrEifquCPGBU4p+cEr +K3/n+z6n/BVpuvQCY2xacjIEN4lgYl7DDj5BzJygcwKE2TXs4PuFNl3D9KEe +9GJhXVxDsvyLbpjKjnGboifmkYKYx8O7VJyYZeFFHjGLbpiFTMzLCm2yr8ny +1yJijhezhOuANZVAzJtwr3dmPHMbmhGBalMs1g3D4aeT49ttS8zhg/HriJhn +GWL2C1ve7BvypHw187ojZv8KyA9H6+Djj0cUkIWd3vtIQsbVSbjX60TwoMuB +Ta6wwPhJwgnCHeRflBExT2pnFhebqcXF7eQCAQUOufe+l8T8nCxV1ltaqeIL +HsRJYl5HxLxSDON4W6uYzvcWImY/kPOyMSRmt25wki+/ODgqv3Afk8dFYdKB +vlQZf3aYmNFw3ZpE8O4KtJnohIlfESbm+2kI44oVrDbHEvNyRAJqUYRr7Gdk +73ieM0f8TKSIOdGiGPWOj8Ud9wtSnTDHaU0rdMJ0DwOUXMM1hQC9C+QQGJw4 +Yl4IxEzBJyBmfvyfN8RMi4tgZJU3k1fiB+L+IVP9GXv3mo/zHpAvpAekw4D4 +VlIuLbJzj3tgLir182jvALlV30rmr7Bbo3XxtAe3JQbXXqhEslnqe0QGcAYX +iK7b3Qee+DpmFNByQ7qO0Sbr8LGIgadAy1Y9GT4YgZYrzvK0HF6Byzwt+5a+ +5YKW/Q7v/bTu/jvxi5HT91KkX+SoWvpF3GsinR+8wRZ3iIcM9aAbre/jawwW +SloWKQ9XqiB9H34OnZfyOD3kb92r5n6GDm7VbaeIecDqY6mrp2/299Y/O7aQ +mmHeXDHUbylyqqOTtVuvOZ2OstAj09x3+FwMqyIq1eEte9adyJa9e+me4QGi +5rnxhqLtK/fUvFioQNhQzkmetbC77Q17tqv8wQ/8rNlR83FJasZr7MGc3DGz +Zl7HkoO20ybmqcQoFJe3kqWus7dB2awKjHb6Qh2VYQXlQ5WZVlY90vbCNXaD +t03j7CsZKgR30rpoK1Eb9XxeuvFksYdCboPmwlVKfeRf+P6svoUveSOxR+fs +zOwo7NVi0XtSmTgzM5yAJgCdlYbVLJ4wUGjUZqU3L9hPYwMrPzJkqMmQYZ3b +RtywC1r03L3dhhAORWL+GfgN7iJECNZqrIw7E5pkXcHyPnBdrYm5LVxVD8jO +xn7QptiXiBmDoEjMJ0P6TevBnMvgIvjiDAM0S8yz6kDMQcXLxNwuh5iLtCke +d7uZoV27ReVApZH3pMTKQNQNM0YT8+Q8a3WvYAuL65I2QV3SHK5LoqVcbb8R +pl5eGWDlBxBzqJALH4583aIteuv9lUvYRnyflB+6g/wy/0p8orYRXQf5ePyY +dAHSspMyYu6bPebt8rcQHTHTFiJI2v11NYvaiZhfDKoPhQnu2jpVFVcRMtJu +9WqdKVeG62vvN5D2PK6L4wKMX4iAqC7AGAsFGPvCFTauD9i6uPZEzN2JmIXQ +vXIoXHFqZBhvqpN0i2L6oxI7YcqpE6at6ITxVhzfolh3YoZrydmCmNUdjE05 +CR1OokVRDVKkrTpFzGSVZHverUVs1e7L0f4E0FUjELNTfTSkzvES2TnubDfs +VH1Y9cG8p7YQ9S0jPObenDdW5PhOMNInSnC4TZnUBiJb87i3YApdvbgPSbmL +nr0S2x86QFy5NPC9BdGmjDTeuFUBkd5ItSUuMku48nqF83zQXyB8qheu460Z +q/Y4iKzUzbWVuhLXA9QCboWm5U7RbJm3Ds/1u+raSF2MlueIXLQQt7ONepG5 +uRdbaKz0AFqOttDw5j6iKdg4/NBvogVa/tzb8Y5PpDZ8JtqnNr6NdR6c2rhA +bBuauXKU2hgXcrRwy5+9age36rpTxHzc6t50L9CLqLkfHF2YvhkEGU3Mt8t5 +8+jsKGO3RzE/NXfCkNtj5dRaJXrlxk2NFVi2BdNul/IdAveVU2f86yvj/Luk +Zv4q8n/P2VH4AM6a/d9xRs3HyTo5lorOCNuGQ0zjYi41p9oWR+u2RbCFDa7d +FuY3DTtsFAMGUzrb0kjz/HqVmzAgMizzdXLzVDpjbaRHuktgAlXINbyC8s8X +J1pnxyY6X4weydn9e3fCc5O7yOle7k/uXu6CUli7+iurkViUx1MGdk8/HhDi +uxdK1Ma2blQMHeSOmDfwdiGZwRbDcC1I8p6kwZqbLNxNxDyzWninaaCGxLw3 +rVCFNkUQFPhwpyZm2z/eLknMl5or5xQOpIgZ2xTbGGIus8S8Vvh0NTEDEbhw +2l2JW2e5OXVFIGZJBcklW1MyaolZ3kBPswu2qucFKQE1Np95jY2X5FEwieP/ +1CCHkrwDKrzKBktGlyQkec/nFI3yx2NwR3p/BxNzA9lBzsQcd5B7Yj7XEDM3 +KLK3wwWQ7qfOcVGqHLYKcaj2rfV2LJDejnS5MhOzlBV4Od4GHfi0xOyxwcvx +AjGfsda9EnvCStVI5+1Yu396parS+qYlMZt+l4iYOew5xS/fBmKWwSUi5sWa +mIN7NajZmZjbWmKO+l3+oN8PQcy8F8C940ogycRMiSX7XtTW7+KJubsg5k4x +MUe+aTr+6ZoRiXlV2IApkdk826A4G4g5zuWRqkad/GcWPms2HoNHkMgYmXB2 +CHuTm5XwRuGoo4QitSx0uihFqs0772/6XNyrgDVHW+wNozj9PxRpjDwZXpR1 +rglhpFsSH4qhVzx4Ok5Ym9LVYAtB2gkZPhQVLYucc8i+Wqe0oOW3b41peQl1 +iXN3i6Dl8kWyuyU4G1p7Wg5+jqOFgjgv56p6W7xLGlMYmpa/jOV3ohVxCM8i +c1IYp9SyPcj55onjwlzZzSldJtb9/BzScieIea96heNXHwNXY9h02ZO+evrC +RqhPaVQM9q6ObpF+OmwRum0nrZ/mVpeLxKbolGDEWyF7428S1Mwbo/cJarbq +aUHNPnnj+srl3/nbqC+KnCzYhikbFJN/356axbF4k1BO52wP5s6azypCzWa4 +wAO3qf2Ymr/1tRXJMub2YnMwo+YnMmr+fUbN7npaG/CqlFA0lc4IuPBIODfr +u3PTThquDIgA19BSMc1zZmu+My2JvTphF/mg9oWv/a5IOSUzSsWkwQ3gmoUs +M/WQu8FbvC2o21q+oHbET2lbkFelfMMs+fhles0b74iY76HkGp+VgZj3gIHa +SVD/Vh/u4FxizaOBIGbfP14RHEaSmLEZsRgxy5CmGKAtfjTCgTQxr8qIeY0j +5tD4VgsRpHKaipi/zSXmolrpOhDzVZGSBq9XHDHf01H4B2QTnPhY5PkaaqX5 +0SfjXYNVnpjZeLeSjHfBxyELjPC6xdnWN9S/s/BOfePj4A5y9zqAY9ehRKqD +nDRgbUYRMbf1Ho6/is5xvyXoDOquguLhxnCdsv3xhkjMT4diZcQEqn0T8X13 +FR3cuRhIsqY7SczLRf3b63TVonXSP1Omu5Tiy6HDBCLmk4GYSwgdDvM66UEe +HXIaWiqDDLI4MVOR0SrdiFg86i9fkZiYy0gKqXvH8+5gPhGppUDMygZZR2Ke +VAsx+8GJIObbPDFvVE0DXicdm+7cFaMj5nDL+BYR8zxDzI/QI8+bLNzOQrOS +Bs6gfmnhY84ws40pe7w/z079jbKrq/0gk8jogqd+vw5w6uuerkQej+bLcS+u +vl20vbhhvhz34sJ8mQJJvlSA4/sb9NXKw3TLeLeI7l+d/eEgkvVuhI9EabWz +2ujQKa6vVcQMhYiKc8vN5Gx5tXRuzBA39rfq3LKjp6Vh5qiaDt8S7SsLhW8j +mjfG5CTdC7XRsrKc3brJuzaUT9g2HNrWlYuNJlpY7Hgwk5oryxQG9OWxp+Gk +nSXmPQuDVndFf0r2qz4Arsh43tzHz5t75cybMaURtgIxbaOpuYUvbaGUxqpL +zV2C7kIs8xbDO8Vy9P3qTkGrwou1XKJXoJRoIfV33y23d9z9vX+sZs3SbDdQ +zJoHCWqW+MDL1JKaZbAzSmiYZorLJDWLsje+pvabgKTzmtP+s/hqrlVstHuD +jHaLwGgX382tFs5oabNzRcrv1r81nJ0N6OxsfGkYqnkN//ig4felLAlD6DFd +RK94dnayY+PMckqzUaNVkSwzhDvV9p/Z+zBb1Zxis8S8RKw8scHuESpOvod0 +XddXiyIW2PPYo3BahgejconZdhsOoPu3YUWImUvbpPw2tdaUP0CzxCxwAIhZ +EUEdcpqyZCKnJzlFzOOKxPh9t6G9gRYdbni18g1erUAg6SuK8SMx398+rZiR +juiXHDErXToTM/k1ShaJJVhLzI8rvwYS811EzPzxKPrEJTGbfsPPRb/hpjYn +F7YMzoj5vLaqz/B7ufVHXg3XOcTE/Dci5r9IYn7JEPOb+cTM5rp31zlkcLqu +XbxQYDlhwwJSzLycPf5orvsZDdp+5s11HOR0xHyluppGYh4DYgGu+0wRcwcx +bJNX04PTnYYVAR1aeBkuV3/mCR6LRf3tKyKI+ZU1+EX5IxEzJJYmi4x/iphP +3TFivpkLWDJiZtUS3LWk3NDsSD9QEfOSBtQWUIIfi2FWwl6NB1T5it5iIS80 ++0udtc40BHxRfmKcyHDuUtlsOyTe+PuTu1m8tLWZLx8RfNAP4JzE55d94cpv +w843n/qL8YrlE1XB9fPChurs5K+RpjrdE/6MyORxDddtdtOvGjf93C0j/wKg +RyOj5bX6SgVCSNRZ2N/0g4fZMtMy6dDVI38u2ct0EqN0hUxixLRcvvQhk2uV +FXdsKntT0XJrQcvtlJ0uEFP3qHXjfVGsQht+93yS8D5bM50sVRG0bNwZIxMp +jNNS232ni+0+M1e+gIY0bk3AvWKHtuiyU8Q8eHUnsg52hC8gJOcefh3DbgWy +hVBuBXZOuaFzGli8SwP+3kVKY6Xc8LTGunsNNT9CHg16BhbJ+4V5tM4hNj1T +fZW24vAp3vJ8r9A7b9Z8l/i7N9QstwGHmlxzMWqeOM4sStvBm3BBp3qr3NXc +HYlNQN1dFa+AQDqDDXUly3Da4NMZLybu5xwu3JOhgpgzNxBefZ9oq2UHRFo/ +XaLNJTN6ZsTMyQxqV4mtdNn5GWWZyfbp0mxUMWF3P2R9ofRlvM++DLclTSk2 +PjclMT9KxDwrh5gnCmIeCc583vNomUvMvSJiHpMR8zgi5rNVzbGui0iIAGRI +c7G8ck7gwOsRMddps8nWsllinpJ+3MdaMlCtKokI/6C4VQWNdDLCT8TsjXSB +mNHCFR55aaTzzucDw8ciLsAuE8GkIGfUvoxHxCtwLzaC17/dG+ncVfUHQMzu +yoV9GXL7D+sLP/ftnmOJmI8t/On8tvBo89bf97z1x56M2XgF7WuOuZftadMA +/kpoAPcmOr6Ojkx0TMy7EjHv4q+lvYmO1p5YcmuJmUUB3kQnXofT13KY81eJ +njYd5gzE3E8Rc9SmEhHzRdCYy6+H+/kIxHw7rcTcV0vUPze4BD8PmFoqRswk +q3U/CcqHHt4NIGazFRu9FztAzDO4TUUSM3058rH/uCTmpoGY3bOfHflAzN6Y +JM3/2pOxXhBz8JHyiS8yzIdNCi5SlcVLtah0y078TjgjER7Sb0+Tpv/S5IxE ++Z1tl5bY9+b8surS8qb/X1Aa46dwtcICRi6+t9nlB9bb1hTa9KuWLd/ai8HW +Oet0Do0pgZbDkqsUL+rHPe1znq5my3m0DEkM05TCueU2npapDBpoeQV4E8py +vAndIh/G+8rr249Nc8bjPFDOGb1pTqcwBidSGCMvNm3e5+qcq09hCMscz5WB +lM1c2b1aQFcn7Bwx/yIj5iGr29NX0FF0b9CJjrLu3nui883xVqDtLvQpDZo3 +MzU3M40q3FvYChy104maUwY63giUrTmpVE7wo+jOwiXgeG6VaHmX1AzDNlkM +ru4YxHPAThSZW4+2AeNytlqp2fYUCrfzlAFhJSrGCGxA9puAIPI0mWYeOByZ +HZ+H08Btf+onbGDTGdIzlG/y/KCBNHkanzN7hloYz1A7sQHYpScmM3p0pGRG +Rs18Rze+POyAOMdQIsu8HbLM3JTC93MNoi5CTcz1tGHO3c0RMnCI8zXSajEx +P0jEfDsJa30PISHCOLiCZmLO8GBtk2DprIWY3XsTiHkCvCvNBDG3iArWUuqs +R4Q667nEWpMKaTpizt9ssvasRJGxJOZpOBRwx5x01KqWlLMMMQuNeV4PIbsB +pkeqGCRmpTH3ZUGGmEUg6ZXDvL/WFwYFYl4sfBmv+g/GCuHLqJa+DCBm1prf +SMTMIaVQ+P0xb/8pYsYuwk1tRiMxX9A23vpLFXzPlQXfNFh7DhddfQiJcYGC +SCHAGa6krSeDiXkVCRY5yDlvA3oybC2EDHNevz6Y5S6lkJJ7HcZDhrkeuJtH +OFnAWnft0oRkAZKYpVmOy4Nk/2AaIVpAo/FFcF3pidm9Hiuu9zWdZaamMyjO +fyRiZvviXakGISZm/BlQxJz4kvQOmdG1EfO3gpg5oRT3D8p2FHW1eCDcrwAx +R3m82CyHj/sssfU3E69SXDNKIsPsTvvP2SpH+96bjxpU+BISGX2xFUWd9i6R +kdHyKW3DaX9BqT7tZ4b88nbKL3trP2+upGxynMYwTlGZxlgjrlVk+2zILv/M +e0VVZD/yYrjsMkkU1x5MN4zsaG5vlDCmwLvyBKMpl4/6OcYgl8ot3+QpqWyZ +/Ejk2bL1876U8CXQTHF+8GG0ixowqqMNv960+ZWXWXa0PBBoebNowmBSilMY +Q8x2n/f1yhSGdDKfqVMYbI2bNFbnlbktg39q3Kvl9EyHteicJub/cf+8m+Hk +YavLKY1eTgfY0dkfN2XuIlLNvaIpc0/uRvFT5hyDBvWihEQz9qKwQQN7UaaI +J+CaQunK0PGNT8HtwtI9W383LZF7gOwSlHuAoWWSTc36aagMxcXe0h3q27l1 +0D8JfDjewf7AYM4IO4CYVrcT5qKK2nGi09v2oHDNGlkzQC50DLKyLDK+y8i2 +MMmMJcbgmZNxNqkM4ExGfWnMeFm0tj4mxFrsmAuzBjQMkS1D3M65/u6NqvdE +7P0ZIzN0dx+PeQyeNWxzeQyeNUwRLk5KMG+nmzk4OdXOn9mTTjg4P8iA4V0+ +NYmTlxlOflpwsuuNuoOq067J5eTfCk5uQZzcHmKaaA/SnNxNjs8UJ0+im7dL +cKFp5ZWJIuI8xexOcnKeZTbdJjhItAnWhZNVY5qcoplOB37MLSejiPyP/iJF +mZjbCxNzWeyVe5G9coeuxRgSPfL4eSg5+Q3i5JdIFfC02PkTlgy6YIl2/qgC +iC0ZH4udv09FZ/fnzMlDiJNlctlpAOSu3xzq6H5E7voFO8a2F4Idw0GCtGOk +OPkDksO8Q5+I1dmjX0lRJBaRg09uQ8InJ+rTXMHDTCp4sBfT4yCHwZzcyMND +kGq1g5+YNCcP8S2CGh5Cx0mLNecDJ7ewnJy9HmX8etSJk7V2sbQYJ6eci4aT +fZc9JfuHWE4W7wVfICvXYqJFkNs1oWBWfDM6Tna7r3cbToZvRWlgbkqGGL5M +bAicDJ+IENxfKPxIz9NlirBjqAZB2vXz/Sa1JJfb8T2iNGN0KWxx7v3+7dG8 +7JxIp7ITyXjkrkW94vbbxFSZReRPCCfSi3oqEk77vb1FlJ1IUJwpMhgQ1q/h +E98lln+GiWXRZXIrdZlMF6e+tGGcBPt9aMMY5k/+YFoeEInGjxdVPonPwYqQ +v2guJ4orbVr5Jrp/ZyMvV/qI7m3LyAnDciva8bITRGTk6kLXZ/KSypqR+yft +ypsTvrit9NOBn5KDZW/J5CBaGmXMyj57IabJ6ezFd3qaPDJMk4GmhuIn6OHN +kZN382xcl8nyHoXhq1vTUdYajjOXTMcpcwfI28hshpwy906457p6G0rIZrQn +Y7Ps7W4OU+bzTM+JaRNU3rlbBDXTs7FMWFJo36ntYmnPeNE0Ccp8zooipuaw +98nZHE3Nn4RLuDtEJsdT81Zjaf4umWaOcKIuxQ7motpbM1L7f6Y5MCmyDxd0 +cDsHmYz6oYkV82zPisZAdszd7c/RD7gnijdAsnP004Nji/0mNW9IGJmdKWNA +h5DHoAZW7ZYzt3OzECm2P3yAxwk7a8BeKOHhFMTMcwaHDcrELIj5MdqPtsQ8 +1Z+dKWI+vAgxZ2dmxUBvDwoXzrgL3VoR8+QixMxIUBdiVsIsIGbfy1Ad9zI8 +ROrM+0Rw/7aNgZj5ImV6mpjtmmvaxByMs+rD0JqYe27zJma/5mo/DukiBeJH +4lHny5QXj1zvtYpgyQAT8xqo8MEY0mKyZMzPsWQ8ZiwZs0hLe2u6g7vh5aIh +kIl5IshjMOI5LvuAPKWw1RHzheW+ETDa9fN9JUjMXgfwexJokR3jG2vHWCjt +GCgf1z45hw6BmCuImJfS4/+GL2wIS0+SmNmOwauvshINo5xIzOnShrKotEGh +RIXsLAmLT2WCmJsDMV9sLqavFcTsVsbvCReRUXnmC8lqH0fMXHmVbym3vT5F +iHkqjsuGm9bMsda1eFodiLlPPjHfR25Fvl15IoeYXXJ/oSbm9HwE03c1RMzv +ypO+RPj3YdePkstHTtCdsOxEkl0l3bqjGYNyGN8Ml979ctzzm2yaqnxqmV4F +qp6PpsrzQl2P3e7+cI3eVVlLFT3cBYv+OLxE8RZRUS9/w3otGnf7fRPAi+Sq +5V1bVX2KG3HxJX4U5rb+5X4UIi234vzFGtv4x/mL6xO0PFvRsp4ou8dd9FZE +KVVpwagQPdq817chdCo/IpLKaqIsaPk2s+PFvrhrtpJdWWcvhl6azl4os7LJ +XnAHidzpQ1ecoGWeJrvXaXjoYGbh/+HNO+1EFmOPwgmrm8Fx5pYx3JGG8+Yy ++DsfBPNmm83Qu4DuGeAu7u5+FzCeN7N5u03FmSqb0dQbNC4J2YyVmM0oVbuf +N9Mih7U1yz3AJ4Q9w+wBgj0D9wBbkTGl1Od0UCKkTNyyEdJT84eEEkJRK6h5 +0I1fBUuzaARUC1FEzWPyqNlG2bjXxFxWK4WAwAlZNpxOMjvZ1gar5qRMxvId +6H5KmOwbWS8nbYHYTJtq/uuJFvtenfXOtHNyig2QP19K5Q5uA+SGpjiIo8kD +ELNo/PvuOX0796Xr1BZeubD5kUfMu/qtj8e9USgQ8wzaj76YiBkvn/fKzk9H +zAcIYm4Dt3GBmHsXIWYapFWc9SMRsyllmL+cpwiOmI+SxOy6gp/ER73PXEnM +H6vQfujT/ioK7DMx853z6GLEPDYQc7JSfoA0MYsV1+7CK5cX2JfE3JKJGakB +r1Xkzt9KLyNnSwbv/AVitpaMsPP3bonZ+eNObViGItWiexWg8U/2l4zPsGIM +EPOfLypHPLC7fj65jA1/sOv3mNv1a4DE/GyoNIPkskOG10VYP2fXT9ox1tP1 +SgUpFR0xL6xBhGCpljIwUyQJopzVeDntxVpUfMklwqOAmH9jSoRbhQvqKM5J +F9QVMs45WhFzq4yYm+cQM6/DBv1sMWLmunki5jcX27p5qDDjrvkfSszcM3/y +ThDzFEPMfK0I74Hr8OlYCzHrzhLX2YPELG8UZderJObZPnkHJtEG14pdlUvM +beLpEMrf2JpO+bbDIYcBqbtOfQpfSh9Sv6MLWwdrg6jf87usdXDu34h7Kn+X +qeVHGyc6sxMOuWUhsC9bqkIGY1fv3H/F+5B+5re7OXmH85HQ5Odqec5ci4/4 +aDAtlwh3nAznp1r8NC3zbLk97HuZ3T64gZ8Mc8RWRMulgpZRAnOnuGl8AEP6 +tMfSls27Pp06L3vc3/AEJP1hTMvRXt/TcXNfX5lUluZd7iIRdmVHy1BzZX1x +V6CcfEiOAWM00RFnL9Rc+YwQ65P2Cz9XPpmWYhJzZfc6uQUZ9xHq9sEOb9Zx +p4h5xJrDCydUHUJ27abwS++OtjBvbo9RMz9vZtF8b5/S6FNLQ6Du1dY9J825 +6JRTGnDVNgWoWXrn2pB3rmy5dQzer7+ueA9wsbByL3xZW7kXpJ1zPHiT/TXe +MUhNj8c++AdNzXeLVPvNCUvzld/C9dzQnDRz0Vnz6O/SInsyNLuruqt6bgNq +npna/8uoOUoytxJJZiEbwsHbKjJmLE70Zj+ldv/k9OH9klSK+TyRawt+ubD3 +d3xhs7uvk6YM6DARvU/SMiSmD25nejt3PmVYAQZ71fiXocSLOs8GQzdxRc0m +Zr6nq6AsG5yj2bnqNj+eVf3YeB19ExHzFTRxmEQLTjExNw/EnL07/as0MR/j +ifkkeD94ypBPzNfUgZgNEihi9sW/tRMzVfjsADFzYakn5kSjmSRm19Hg11tH +4BDAE7PoLmErgPTKJYlZmpjbfEBeOZLDwJUKPerSkgFiLfe4LyVi5keePxSf +NXXxcufvLnr8by7SkY0hJd34Rzt/rccUtgzpV/jzxUTMLmzkG/7c9QkR84NU +Z0a7ft8/1SDYMV4wxPyGKAJOErPY9YPHHldd11Btz5Lsz4KaUNoQ+eREzZl7 +Da6lOKck5jO8lLxehhNMzIfST4tcgErpAgYpXUBHRcxn0PWkEy6F5aeAE9dp +Be0yTczlINSyxBxej9qIOf6a/MQQ82Ys9r1uS1FiHpNDzC7Rf34OMV9hiblb +EWJOXCe65D4vqxAxYwZvsSFm7ZPDANJdwY7RYEbY9TtgMl6fyD0Vm1z2m93C +ue+yd9CFfRQkMsC87Pf8WsfZOzFfVqll45ALN4l8ygff/vt0ykObX01o83uz +Jlyl+D0V0U3C+31X0n5fsGHUCzaMqv3T8peqrvEqa+Xg9GzZeOOaC2+cpOXQ +j4y0XCZoGemHuq5Fu1v5opeFAWFBZFhGC0ZMPt1Uc5+mZd9FIpPKSV+cSCqL +FEZeD4nst0419p0pdvp0B0k8V77YzpUH0Q7YcTiQcc6xJk13hph3L4xccyAc +ay6Fc0LVobDdr+fNId8M9wxVdt7c17jnBtPeZ2gI7ES7gGxLSRk0OKXRXHrn +Vqa8c5Ka2dbMe4CYci+HPcBanh1xL1EKe4DmS0s+O7LHxjc+fhhRs8o1X/fH +aAcw5ZtLzZqlSiBaAhmaX4nGS1G3UX92lGmWx2lqGaQxXlVjuJPVtK96yVZo +AOTaM3Ge0pz5w2jOfJafQHi/HG2C8AQCNkF6dAXTEJgyKOH2LbvlzhVezunm +vu6+QwrbH3Q707gMJXemv34lsQHiTcy7+7N0PZ2lKWJ+oo7EfEqCmDG0SXiw +A8TcXBHz5dSQyZrZOKiJdywJJBDE3NoT82ok5go8Ijm+n+jHhnVnueJajJjZ +nZUgZm9ithpFQcyptj/p0bIm5jvFRyETM8f2g1fuPe+VY2IGOQw86lh46a9V +6ltLxos5lgyx85cRs7tmeY93/qgjG66to45sunJxxOxeh9anemL+Xuz6cYZ5 +u9z1Y2KGXb8GyV2/rWLXb/OiHDuG3/XjQmAkZuWTo1AnqwKeIpRwr8D9Qq14 +Y3XACTAwV7tXQRLzXkTMTrB1mC+/RGI+2hNz1FliioG9gTlJzJfTqvjVaWKm +mL9bd8FQ5+/ziXn+j0DM1IJZGzFHDZg7Scx3MDHX1otNxOzy+4ssMaMTiX1y +Vcond5++SWzA/a5y12+icu6HDLPdVnG9rs633wG7sKVvH/b8shPee+SwBxtm +IsKD9LdH4vny1zxfdjMRaQ6lNAZvdaM59Ce+zU9uq/BN4sPrf+azy7eYSp4L +2Yaxdi+ofB/BgSNfx8OmZZdlTYhfKq345RS129dK9F3HtMzkc7NOYqjZch4t +B8Myho+WJp1x0oLR3RPPO7q574E/5GaWodVN+uKupVWXq8VaeI4BY1QihZFs +7Bsvdvpo4yva6UvMlS+nGSPQcv/w09KkaYcdJuZfZsR80poG2d//b2G/0/3a +u6Yyd8fgnoNhMG9ubebNHSnf3K2Ie462Ait1SiMyaIieE98OqLxzlxnvXMg2 +l719C83aeCPwvkQDZOIZoo1A65xrNX+lL0YNnTamFdBT8/v+bkLqONWXltgG +HJRoBCyW0NDK2m26DVCgxVQuTe3zrbZmiCbAe5XUPhypbGd+Mb62M+kMhxBo +ZrZ7ITUlci9kJg7Z3JyZ88ywF0Ipt2anYSmwSrnhmfpVl16FP3bPztSe2GHy +tbyzY7ectDFfh/0lf7uDssyccHsc16KsZQju66zBfgeJebYg5mt3kpj77QAx +q/Jfl1JaEYoZXDqp3Gtm60LMi8WMuVZi7iOJWTzaP5iYU9Xw4rG+xIrHiZiv +PkaXXDIx3+0j+9hy9jB45T4KlgwhIAdLxuFMzGTJaBi2/5YqYn6ViPm5hCVD +bP+VmO2/BjPCVUvDVONf2P7b2HosEvPk8jjDfBf2lbhaHtXwx+tOgpi/eTnY +Mb4SdoyNot7M4cNHkR0Dh27BJ7crqgKyx34eqWi1gTl7BWpEuJM+HNHAvEfh +wmpch3IBzzGemPl1cAUOdSXmYemWvwpXgkkR/x9EzCLmX2dificmZrkVy7Kk +fwMx35MgZtuLjXcrVUTMq5CY36ZHPj0TcY/5BsreeTtGA2r4c1t/ib4SPt03 +udPdu+ScGYM8SMd0LGzt10Gc7u3QHMqbKpfrnhJup/rHAwf6Vj/ML4dHn3e7 +N4vdbu6/1mmMn/jcHacx5Ak/V2SXZTfJlHVi0w/k4mzDaCTq3luJx9rS8vFC +k3iioGUSi1dIbxwlMVaFJAYSj6Vl9B+0zZ0t206Khdkf7iNh70HOBpe/VRe0 +rOzKNoWxMeGLS/SQTMVb9qFiu8+nMBL91rKx78zTEykMcolFrrjUXJmXyfuj +N8GZed1S+RFHHr3jxFzvl4VT1vwG2hxxTaO+nze7u4b0vJnccy7fDM9Ht8S8 +WRqbh/q7CLsVKOfNUUrDPz8p71zo1A7PkbY1ly8V2ea3TDOg6tNe5Pu0S6Vz +7mVjavbU/I7Pvx+rZs1cJPx5kpqtb65YrjlXW3sSfUVZ4dCxpKwVaMGBT59p +PjpcXT8WdWevV8cqNqfKdEa6Byq6tyuhe7uGcs7srqPPLHzWFP1ybgPwC7i3 +s5vU3XEK0edo9HOeINxyIssst//+5rf/ROMf3dmFMzUk3DYuLk7Mvh+7Zlff +j427IEjMbvpwcxFiHuWt9YcJYj4KQ5tV3ekc7e/9m/98Yn4dU0g7QMyPkFYT ++rE/TvZjBzIgYr5ClFzy6katbX9FiFlG9XtpheJNXbd4E7Mk5gcEMT8miPlZ +NtEeIQTkTMyNJDFrX8Zq5ct4QnVkr486smn7j4lZJjMoywwlmG4g516F7ANy +Y6uMmIdmxHxJuc4wy60/aPgjOcDjshO7ge/Ejrb+Fkhi3kt1Yv/BIUQVb/1h +qLNSDN7AJ0fE/CLhhPLJ1bA8AIn5GqmkrUbJ1unr6mVY4a6s94EanxOrDhQF +waLlr6oLRPtVZ0nl4DQxQ228vLa+sNACBOV5xByq49tSaKl2Yl4OxNx23ho/ +dIuIOc8j82MQ85gcYqb7lquEStQSs71GrCMxL/M73ikD8xxacEWfHIeOPsqI +2UX0P6Gtv09VX4m0Lyfa/Zxrf0CwIW07LWfjz308qvny/nF+2TjkwrafS2Ps +6T8OIY2xPm7zcyoY3+W6nm3LLnD0S+om2d1vqkyCD0F8rE+C7HLD7JE+WCyz +ltMjHeYi9pHumqjhaSNny2tsJwmTTqBlPxuUfRSqwDJsbknDcmuiZbHJYnoo +1gWjrtrw+0DR8oBEZhmWwW90j/6XkMIYbFMYU7/VKQzhwpD91j6FkdPYp1MY +4XoymVceEubKU81c2ZEy/6QceWT7HSbm3TNiHrfmV/AsnJwdcfg8/JZ8ggfA +kjPOm48kAmhFm6BtIYzGPg30p7hnRc6bdUNgyticn9KYJHpOpIFuWjDQuU7t +5dJApzcC8ZlKbI56atYeDWmfw1lz9hWWR82P6y+wfGr+Iliar81PaFhqrlOh +sJELBH8GlgnjmtRXeHXXKRQJWztzlM44CNMZsdn+FdEA+FjyXMVJxHRQ0fK5 ++qnvyxbb1PJcBSNzn9AB1Su0/oVz1dmYg58T+p+gv4S9Q6H7CTuycS1KTyH2 +1d0lsEGNAzfwDeUQ85M5xDxNbk57Yv5NHYi5H5jMgZgrd4CYV+4oMb9CHiFB +zG/ApisQc+QDsP3YH+qw2h05ZED9DDtEzKd9l6yEj5ZbWaQoidkXXIaPQSaG +h+WSa2SYq1G+DPwwXE0hpLeJmHnhdZ7Y/nva+DLC9h8Ss93+C/0lcNWyPxMz +Zpk/pSzzxlanETGX4VDtxnjr7x+ur+Thxhg4csT8FDf8cQU8XqN8LRr+gicD +FbSSmMPWH8nHiZhXEjEvImJ+VVxX8wAuMjBXm8qzai5xqJe9CntnxLxv9iqU +FE6oijtLjoegpyTmfoaYR+hebEvMq5mYbS+2Jua2QMwPkWrrCbHawsQ8Xyi2 +vHwxJmaWjf6riXlQTMyu9fLGjJhvrSsxHyGJeU3txCzNcrOonicYkaCWhxr+ +PnG17+5x9pk7cu3bDDNY5Vy7X5FZCGz8CRMS3R5ud7OQucIdyvnlXMf+nsqx +7/a6q7LHXLZSvbZBm5Awu/wLn12eITb9fO81zJZ/RY/0QV6WiI6E9uKE75Wg +5RFJWvYGOUXLtL0VzZY1LYdlVln3nrbHSappOy/2YcQbfu+LzLL8GfjUZJa/ +MK19kpaFCyORwlBm5UQKw3eQ8JVkots6OVcebObK/ehnpA/SkbumuYa8vE13 +kpjPqvgVmAXd9if+6rt5M5aahnnzofSMNAMjd/68ubvYDu2rUxoVQ1RDYGeY +N6d7TjClMZGu4M5PG+hWXA1KIaZm7lHX3mZrJzQbgbnULD0a0tlsni9PzULS +yf3p6iss7jaRV9kRNeddZfPXVRFX83QoFP5G9Wdz6HN2KvAmjXNaQ0TFDnaj +Om/OfJveD2lM+yG2x4Sa/zZ5NzP3QNHdXU+XZWbLHPdku92QUj+J+B62/45U +kwgYxj3KhjkSCci9EHVvx21/P/fdJTtDzJcUJeZmhAhHmfMU7+okMSMa0MZ0 +iphXCWJesfPETLsejpjbSWJ+BjXkdSfmL7GqiY9HScz8KBfrx3YDgzFisXVk +GBLkdpe45dYeW/0jHQxzG72TGXwZ2aMdfBm45MpqGBYqwqLrAZKYWRywUPky +dEf2Y9H23zsluP33bkbM7/H2n+svKaH+koYiy3wwEzNmmTe2PK2wdWj/wp8v +LcMM841xX8k/HhQNf0+Ihr9o6+/XhS3Q8BcTs7uiditQHxpPBhRc0sLrCsIJ +rjt7hYoun2ZipiHcvVTi4Ih5Jl1bTyViPq+aXMxAzG6+7LRbDQgvmogPSNJu +VXUV+hjnYj4OFseDSGBUtBrVgmrj3fVji1W6FzsW1BIxL6kLMS9FYn6NiNkd +9+Aqz4j5qVqIOfUlOX0rhvBouPYvJ+bWRMzkIuflbiDmBiuRmIvNQoSLGYj5 +Rt+JDdXviYY/vj0MHmbsw3btfn90VjnO2w0yebtJbNuXGypNMJjkd7rDfPm7 +50qCBak2b6ibL3sL0q7eGyotSJi3o24SEc2/dB1u+qEXY0/wYoyk0kqu4OG9 +bta+uHmhox5uq0h+/DnCqeCPv0mJvmvSvqwIO96h5l12HcvGtkDL4VbRbGkZ +Hwaoxp8xM0BXWyUbKETmVBW+UgpDzgLBHGd7SPJcGOcHF4bd7lNNbr6DJKiV +XDZV5ZXpavLSwXqufAX9fNi5MtOy8yQ0P2LHiXmPjJjPq9gPrtPOoOPu1OyX +fzSZBuW8efjakG9mfzPPmznfjPcSqZSGnDdLd/PIRK82Np6UmpRGU/KtNF+t +s82xt5mOzLfvKUS9N/ycCWqWfdpteJt0Pm+TrvL5eNl0ApZC7lN/RHRpwzP2 +URFqFrnmnFnz6NRwju4mJiVmzSlX83QuUe2B/gwoURWbgJhpdmKBP2CmGY7X +d7DqIUpnvA292WEa8aJIvLmhW6oPCsOcOGeOHUSbwEEkpxHSmWEsc9yTPcls +U88MjX8wkHtof2GYK4lNndJrT21/sh/bETM77f/9xHxO9pyzQouJ+eo6ELMo +/42IedmPRsyq0YyIeUq4dstdap1gW0y+8ysbSjs+0LSY9NLEHDmZOwjDnPoY +zCHmg6vQlwFXKaiHWVI/7cvAD8SnEtt/oSNbbf+5Qh8nrWUvs9NwHRg3mWxs +OQ6J+bIyn2H+m2wuccTMGWbSwMitv+9Ec0nUie0QwnsyQif2B9kHIgsV3bVK +FYU7l9Njz3Ja1m39nuocfpchxRxREhy5mEm7xcQ8GoiZV6Nse8lRgphNe4mq +dfghxIyvRtvs6I+J+Xmh26obMR/zQ4nZvBP/zxCze7xvI2KeEXqq9rcNf+PM +HGQwzUH6whwENlScbZ92ur81N4d/uaQVbvzNoKuW25v4rF3wY5CCnLN2r/2G +5svZ407bfmxAep8Kelwao3KDmINsMKd69jhzdpk/Aq+CWP7uvvd6HJzqe5MX +I1jkfENJpaVliuNXDvPuUBXH973H5xpanqYkiSlabrsEaRm1L0TLi1K0/JZ/ +pFt7Exhu+HUwJjDOmfbKaSVhrwHTsvYsJxpJ5FyZqGZEot+aUxjRdt/ppo2E +58on1yGvfJyYK/cNqiU/Vz4Gb9+v744/Iy2OOGqniPnijJjPpzzmWdRk4/wp +mHHHfDPOmxuRpzvMm+N8cwecNwsLHbaeJLYCKbBmUxo4bx4PbQ4ypYEuDTTQ +NV9tDXTYqV3KndpAFHG2GZ43zskvDhuBZYtejqmZnrlS+czJnDy1Rfq7jAQ1 +D7jbWApz16bENmDelbaR2Z5/cno4B/l28mdce4zW3ctNQJ1p/kAZ53w6Y//V +hUUNV/h0hgt5hnYoaZubLTqzb8g5X12Fg94R4WTGl96ZQa1QzjLnHPfi/u5P +E8sKf75Q7ocI/9B9RMxqmzpuMdlE0wgk5j1UP7Yk5jf/Y4n5ugQxzxH7H3nE +/FaamCvziVmRgY7nK2KmFhMubjqhLvWWqaIe42SeMiBWwfC1iXQyz5K+jHZh +udX1nj3F+nFjmPMK8sZkmPNFwantP92Rrbv/7k53/5XoJhMk5gvgw5GbTD5v +Ob6wZVhGzJeX5WaY/57c+ivxGWZPzKLrL+nJWL1H1ImNW38/8Z6MJXRlzWY5 +3vp7jArQJDHftF64mLPH/2J6DZyL+bR1buCyL3kyGoG4FEN9sr3EXUl280vj +cRHayIR+C5fDm0JYz/Rir7S1DvnEXOaJ+TVDzCuSxNz9/yYx5+ftXFxfnui3 +mhP9Mn2iw9bfaWLrbzgSs8zaOQsSnehf78CJDv5l68fw8+VfiRM9TmOsT6Qx +uPvae5fXB/XL9fA4/9J7MaD3Gm7d9w2SxCp5qrejPr8ULQ/NpeWWoHs5N7pB +bLXyairhCbSMuWWi5aXcdS3nIs+H65KEPY5dy+GW3Hhz/eqKo2WZWf5Ybfix +O9fT8vVfJvr7ggtDpTBornzy+brfmlMYcq6sUhiu2/qUdLf1JcNDb5/0K081 +c2X3s3E1XU+612YmbXjdREOXVke022Fi3jMj5sszYnbXahfSoGAiaejH09Jz +mDf/Bq/ZfL65iZk3l2V/2mGqp7IjtDYMiFq2+8Fz5d3NFcXczeMKbYVLQ3er +uyPzEmOgo2yzNdBZal4qss20EVi2SA4eKAX0ZqoVp0KbCz01bwit2g+JAd29 +n+gmnCihsTXeBiwSBA0NJ9uihpNLh+ojFvwZRM03CH9GXqaZW7Rdptm7Mw6k +q+sMKZZSOmNl/dfMnHmuaDW5A66o/ZwZ8syX0JyZ7/BwA3Bja+EhojYTt1X9 +xx7dClv6dKIdkfahFUo6iK5sSYm3YOx0V9jb57rztZE/Xz0xvx4T80e1EPOr +/5eIGfzjy/g5lsTcKUXMv3tfE/M9nxnv7A8k5nGGmE8KgwNPzPwY98Wjzxvm +aOuJt/+kYe5BImbnpPW+jJbcfcYLrmjaYpliIGYnVMyIuWQBYYRuy67K2/6L +uv+upUe/eJPJ5y0yYnYz5svbUIa5Scgw3yebS0zX37Pprr+ImEWGOY+Yeevv +bTbLkXLLejIepmHcPdmf24WoFtRbRMyTKPCpibmx0G+1osFKe0PMfQ0xJ/r+ +aDHcvRZHFiVmPZhra1+Nxc/sEDGHIiu6sgas+DD9JfkfRcyiqMoQ81uWmF8X +xPyUJ+aakvsodHQrPdZklmvIZjn2ZJitv7bDCl/Adgp7mF0iQ9wajmhnEhly +4w8bS+AVcEuv/LH4VNCPe/sRSRR52892Ujk3xmrR6xdasMm7HLW4/rIwNenF +4F3uQ4VzmRpK4JoEH2Gk5YFGjuhIhmP4OFtuRgSjN1T4pvwG324caHkOOXOR +WEI/m57zyUbjVmSPkz6MeMMvdLPJDb/+ObQcNvyEOW76VtFIgrflvshqcpzC +sHNl6VjWKYxt6NTNmysPTeSV++fnlZmU3bDFzQ5dqM/pllo3ySFmaMzezXDy +lRX7FqZmnOzEQE4+f4GdMtNuKO4D/grXnjnVDHpNadEw3dqVultbdp34bEbS +2CyyGWvGQ8+JGy20omwGMoToWTfZDMj+rAisXAasHBLN5Rkrl0dPXp49Y4kv +Ti17bVXcb5J48gIrm8UQzv5wLsPlfnyamXIZlwRW5puL6GCVdWmm18RrbNWy +VLBmQKdJp01Kdp9Ud0ILoF+rTmQy7O1dPF3Wzs7UySryGB0x6/ZV9+7U+nc0 +JphPogQz3Ny1gZ0/eXPnxnFoHiJOfrwRdZeQp5Pb/v7PcPKNO8DJvCeNnNwq +cHJbWJEOnMyXJL0ftZxsG81+JE52j++YHE6OTMyak282nGyr4INX7oOYk70l +ozJ45UpwtRWEMCVy5+8lesxTO3+yI9vs/JXQzp+xMUNlvBPGHH52xsmnZ5w8 +oPDnKW3SfSUPyL6SRj65rDj5FVxnzevE1naMwMk12aOOndi864eiLacOYJ+c +tGM8tB4jnndnf8DALAodHCdfRD8ZEyDq6X4q9qOfif0zTuZSB9NZUtWN1sNF +yx9xcpcd5eQVmpNZUK6lW5qT24hXoxXJthwnuxIHBou6cTIpaP+jODl/spzg +ZHtXmOJkCOU3nFb4OHucP5G2UO5xdek66z1i+3J2mvt9FHean1YeNrid82ia +2fNzUaQHDsByS54qq2RdYscPfEfYf+3k4qqFSvjjfAtVDSaW5X7fZGXD2Jt2 +uLRp2feS2Fr3CsvIo5P5i0AtOOFr7dPKIn/hJ3tzxLpqmOopRqa9q1Lh+CpV +Fgy6JGERkrwLn4sypL7CruxTpLTXNzCyKyd8cVNE9oKC+ryLJQ0YdpqszMqp +bmtmZDFNvixnmnwl2Q7kNNlRj0sph2ky6khd3Y/76SglTt7Ns3Htk+V6GTHP +qNgHLtWmgaF7DzNl3sNPmU+lKfMoSL7/BswqYG1OpprLdNdJpXTP9aZjsh9l +MwaKbMYJPrqmdwHjbAYaNC70PSe4Lk2d2itnmETz7WIP8D7xvSZvNyjR/Jbd +A3RWFtwzZeccUnOF6jdRtxvcCuieRUvNQmc7cGYs5+I084i6UPOpiV6TITb+ ++W2wZtj9vw6poxZHEoAX0GdSRSM4Hr8thMtqVAjI3T+aLvsU83WwIfJhQ25S +pR4TlXc7SRiIaBbRrQfOIvqK7ZBTM2I+g/pLJmfETJaM79mSQSZml3XzxMwm +ZkHMX/xLibmYIeOHEvOtlDWaVYSYX04Q84qImOHO2ZJBMWIWHcAzEsQs2v5O +sSbmBDGfX4SYVQV8T2Fi7obEDDXwhpj5skRbMt7BmNGRbMlYK8otkZhBS+su +T0oW0kch7/zZjuy48e8dsfPnE8xRf4lMME/MPhozYh42oPCXqW3ggoSTy9vv +lX0ltez6vYpjti0iufwFN/w5lFiOgq1o1y971NcliHk+EbO0YzxCCgEudACf +HGAG/mRctg5/Ls4hteKpQMw4WMHS4FCDNtj75IKBObT8sWbxBLpkHG2IGTtL +joT1b3fkX0aXitNx7XuF68XOIebF4dWQxOxE/K2JmFv+P0LMdXLK7Sgxv2y6 +XB8UxIydJX9wnSVuH6VR2EdhO8bn3hQqdv3kBrdro3LOo+FH4T0hmDHahBzG +dO2R2z77oMJ2t739GAb24SORPxCFQw5Octjx2x2My66OZ332eLtQ/io+yUUG +40mQvWD9DoterhMn+XnehrFPdpL/mtZVDxYXI0zLXTQtVwanfh4tc/6ihW+i +mqZouYwpRdBy+ZIwUbbJ0TbCUlBKlgLc6+MeCWHBiPb6hAXDJpVhmpza6/uj +kCJ9XeceEpm9iBr7xgWz8rm8/p3nipP2C9HWd4WYJuM+3zd+mswpZTdg4RVx +95PhEqnuZ6OsSdsdzmLUq5cdfxkxXwfrzrvD0CA1bz6bhPSnrXNk4Joh5by5 +Ec2b81oC5bw5zmbwcQkGFjVvDtkM3XNyJhyf2A54rvfONffeOZ3NsLbmMtgD +5NkcNQMulnuAz2pqXijsGW8K5xwL7um51An6d8XiVAI9nJXlFrrdmKlFRIOv +2FZ3apYd2rbXRBma8Vma2SP0Z3MTIJc+gFqALrCfkZ65Q9ahMUNlMt6EIRxm +MtjdSXPmkruDLYMmE9J0z3t/nyfzGH2p9a8LuuU4wXxK22C4v7i08NeprcCS +8f0NTdXtnd8OoUvrfyYxp3tL6kLM/SOn3L+WmFchMa/RxPz72oj5M5TUe00i +EfPVWP8+mMT0ef3Y6ba/IsQsvHLu8QVaOOZrH8ZHr1zCxCy8ciyA4WA+7Pwd +vj7s/O1fWVgAIhiuhF9CBZdvCmKOd/7cEK5aNf7dIXb+ZhIxX0UVPphghrr4 +g873NubPm08obHXEfEWbnOQyXplEyeXn6mPDX86unyJm6sQuRsxox/gJ2jEI +L1xZsPLJrZc+uV8WridN7RWkEriAhit4gb1XkG8RMQ+1xFzJ5Q6ys2Sgj30G +YsZhSdma0PJXnJhvoQJYScyoqi1/i4gZkOMVX121o8R8LBGzU9Jywes/jZjF +Qsq/hJiF96jkXlIm3qIaqfKSdZuyk/yL1EnuLKF9sN0PtrdPMdvbU6nZT9wW +wuzDeeSKzJedZBx3/HCV9b0qfLTXZSf56hrKYNTgB+ALtLr6SHaKc2L5VlpZ +hf2+dbsLG0Y9+OAbCZaDg6NekqBC7KN8+t3pQy9vtpyu2sG0sn1sy6PQvegj +WUSG5YW6dS2kRXNmeU/IpPL78S24tytvNLT8FQ1HdPaCb8Rtv7UyYOSZlVMd +JIm58mRhv/Bz5QFxSvkqlVIWpEwp5duIlO90pJz94WvJ8p0g5r0yYr61cm9o +L7ueqpt43jyF5s0XUY/6OXLevI5TzfuaefMh0HLGLYHxvLkTHZfd6Sutt2gI +5JTGEL9f2sXvAtqekzNEz4nLA50ftwOuulII7W8w3rl4D7BtYg/Q9mm3ZnvG +G8sLrY1zzjoNez5uurTvF89mRs3H3c4J+i/jIgjaAbQJDY8fZ5mBHc+auddk +WIKayZoxU/Rn38WdJrQsFZLMOJh7waczqFi1wSpQ1qK/EzdFwnSCHXP3Gtv9 +VRk2TMFBmxuyHS62RGRXNjep+l6oYLn3k4lzqBNqSjyZcCZmN5n4MYi5LoaM +/Ka/QMyD87qx/y3EvFQRsyAD99hKYu6t9poEMdP1yCAK4A9OVb9fkv+hF7f9 +1c3EzMQc6t+1idlXwLcnYnZrrGWamEEAI3b+vCWjkXusV6MlA8otF9HOH1sy +ZEd22PnDK5XZ6ca/ktr6S87JHv8ziJhLo+TyPyi5LPtK/uJ3/eqrXb8tr9Wy +6+ftGIGYnR1jLcm2cNcPI56vk0LgBYp5gh2jxvrkMtRYjwOWqTScOx+GKnsU +xnti/jWJlaSBuTZiHqSI2aJHa7pabErE7I75VuZaO5+YHxOLKv+hxPwDmv6s +KjRYmH8EYg5ufXdloohZWULxtvAL9uq77W3nO+reCW8LXbvfyOwkl/m6S1sV +/sLm5ZvZdUQ92I821q1+vgMbg0dhaxs9R++QSx/TGFjBg/449yjj2ionlm+n +a5Lppvd6HBkORgjT8hDZS+IfW0HLFUP8tYh/ZNeY2TLT8mpbsyMe2WXs8+Ku +60egcS1U7cj2PpEX9aZQEbx/oSrR3Cf2+h4Svjhx+32csCsP4qTydVsKg2bk +pzBSPSTWrBzt9MkOktHf6Q6SEdvibmtjVb7C2y++pZQyJTB66ASGmwveTqWw +dxEpz8poxxVjugFL28N3jpjvzIj5NhAFYX3TddU4QLiKhghu3jyZkhrnJubN +yXwzXMXprpPQrY3zZkxp9FDuud7ePTeYUkFFUhoVIaXRXKQ02DvXIvLOsa3Z +pDSWGlvzEtFxwvaMRfEOqk/VJ5xzgZrZ1iKUA/fxFx09oznUPLgO1BzPmjU1 +x665gB15+3+PlpvubHHkLmhcUXirIeoEgpmZd/+4IwptRO/WvzXnPi991oIp +wyUzOP3Ww9mY0UIE/SXjynHnT+5Us7PTEvNTPx4xy6Y/7saekSDm0YlubPZ0 +umvooJytS9Pfj03MYqnp9ToT87F8XRcR82ZBzFt3nJjHp4n5YkHMUix+VZ9A +zC6YpiwZhphZ/MLR/KdaYaEldmRrS8Ybgpi58Q92/rwl4xXRkW13/h4kYs7r +LzHEzDZm6C+ZlD3+Z2AqY1qpty9DuGg22pcxw2yI+VlUJfpdv1f1rt8Xipjr +FT5O2TGImLkTe7nc9aPAJ/rksNTBEfMcqkHzPrn1OT45+HDcm65aGtJPQRPV +WcLX2gOilr9BYlCSEnFhyYNclkJi5tdCEvM9ojxYEvOzPx4xc2f8fwAx53dj +/3OIGQzMwifnmqhKRxIxDyxs5gxzt27Qhw0bKfIUnyhO8ektwtwj+2CEj0Xe +RhHWZdk+hTt+e4Fc3D3a71L/9doN4gTf4NSIu+nsMgleblxP+33VuN8HNox1 +e2WP7n4+TBRMy2UiSNTD5OoStGwe12ar80sp20haXhpouZz6iTknWgaz5VQf +iffqw2Pr/V3Pyu0qTmGI2Z2rdrd25dvpMb5Zd1wPkqsqLoUxpXYDhjUrJxv7 +UnPlhCtuykCRV+6XZ7+IExi3mQTGLJoru58JRzrudWl3ePkOE/PeGTHfW7kX +eAjvoJAaz5uvFUkNN2++NDFvPh3mzXtm1FwP5s0j+KgEX6GTCdl8c757Ts+b +syO0wpm/hyRSGrbnJKQ0mnqDRkhpcGII10NmEnFIa0ug5nK2ZyxJNAMujDcC +fXuaouYqQc2kHZBX3XMEhtz1mU5o0JE7yFdFfaswxF51J0VdRM3JYzd73sCa +0eMbsQkYqPkBsQmIxy4WC2M6g7UCDi+4N3u+3692QzifgvNz5psAHz50c2Yn +2DrgYlh/+gx2RjgBNyo7a0/IiHlwcMvxdKJfYl/kguysvYwtRM3IxHwohD+B +mB/deWK2vSXPEjHjeauJmZtTz6HhWi4xV7YHW4wi5oqQfPtXE3PpDhBz9qj2 +kwG3nSTm3LY/E8NXxMzXI5zh7FWcmO9jE7O4neYyy6cFMb/ExCw6shc1lMTM +HdmvC0vG80TMevuvBj4O7y1sKMEs83twhX2jLxL2WWanFWjM/SWYZf6s2ZmF +LcMzYr6yFLWzPsN8YEbM+4sMc6NEhtlu/e2XPd77xsSc2PqDMgewY+yi7Bhv +ZojhiNkN5Z6j0uDH6NG/n66y2Y5xHRHzFHoFzmOf3Fo0MJ/EPjlYcTnClzzg +0d+Rjv1jTMsfE7MteggI0jwi5pyBnSfmB//txFznpr8cYuaBR4qY3QrT3UTM +c0wdVR4xR065HSDmRlNFZ0lGzEeeiYZQ7m6lHe7NfFPYvVthS6+OeFM4nFxy +p1MiYzJ1ts5o7jf+vEfuUeprfbZBmC+7E/xN3N12gaOP/bbfz+GRdmmMNVRY +uSB7jLn7+kn66ONukpuqf+HnHdx7je4499gSLcPpLVv8ZOd1P7oNz8iksjgt +45bfJUQhVwqH143hthtomWd2eNMdtfctEoblBcEZ56rcy17TzWqds6M8puX3 +C30eMpnle0QnsbIrfyWcBFTkSrQ8WPSQjBBNa3zMn5oyK4u5skphpFxxRebK +2n6xjewXX8ON+Q2Clt16C2/2yQSGI+X7HSm3D+su7XeSmOdkxOzmZ7Po1vl2 +ysQ708rMalzxmE7zZr8ZWI3zZhde43nzGG/43i/km6uktRnnzUPEvPl47jqp +jLtO8Bh1ZDFYbAXmpDQqQjsgd7M3W8MpjZR3Tmebw50IbgSW00ag7Gkv8z3t +8ZdeMDWHYlV3L8LbqZy3ZzO47NL2KKLERH8MBatUo8a55lGJ4Z2iZmdkSfSa +ADWT1vZqWqNKNQGicIDszN4zJ9IZBzjE4N5s3gJ0WBG6TPycuSTMmT9yc+b9 +5YTiDOPMGOpt9192OSY7bzsXthybnbeDj4b7vODLyIj5UmpSvU7f522H+7wf +TsxvZMT88g8mZppQ/CBinryDxPxEsNnvKDGvR92LF4rnE3Nt8Xurekm1/akP +PFIj+u4SubwqTMzu+u26BDErr1wOMUtfxivel0H1PJ6Y36YPQd2Rbbf/1vrt +P+ovKcnJMnN/SQOyMVOW2bnlPmt6FhLzVY6YdYbZlVYq+zJcT4u+Em74K5Zh +Bk/GHqimrfhF8GSQcIuJ2W/9ZY/7PBJvoScD5VsP1bAn4xfBk7GefHL0CrCB +eay/cvwtXTceQsTcMhQ9EDGHUJ7oxa4MRcIyqFQ3Ypa92HUl5gUZMS8mYmZl +7b+HmCftCDF3N8QstEePlMfaI92NvUPE/J4g5o8iYk6d4McLO2jXwpY+5NM/ +kfa3z8RExl+cI+NKMi/DLWH2wehmHr/DHmx3pYL17zRfni/my9zoJ4zLFdmJ +LdMYbnnVXZO4xdU5Nah5UZt+1dx7vVdGLpgthTxdldxA4RY/u7MdlC4pWm5u +69uhl1jUty8Xj+nS8JiWm/Y+MCyr221un1pOPozscX3ZLXCLnuvfy57r9xK0 +/Ime1YmO64Gy41pmlmGuvM3XUo2QLozzwhF/WiqFIefKY/C4P7/Ydl8RqzK3 +9dn+kZtFAuMOm8CgFRf+aWBRv7uGPPrwsh0m5n0yYv5dVT1Y7bifOtXvITKA +pEa1TmpcSVdxvBnI8+azxLwZyWFfqnpy1mb3HEqfRk63trLQ9fEBN5nS0MZm +3atd5lMaE6nnpLiBLojuja15mcg2L6b7EdmnvdD2aYsGytdFA6WnZt1voqh5 +9sfq6HXUPChBzbVtA/pZ8/hAzdyhrXpNhKFZ+TPUJiBVprlMcylmmtXRexC6 +Mxxi6C3AF8A2p+bMJXLOTH3ZB16EyMB7Iz6ZMaywGe70ji18SVvWYGMeeHRo +/HO+jPPaBGLmBNydO07MsrdkfU0+MT8uiFk5Ow0xnwzPvftSzL4S1zYxxNyF +iLlPgphHJYg51Y39w4m5ZR2JeW4+MfN1yECpGroKH9EhorRypDhSZdvfmbbt +TxLziZqYcw1z3dkw96UyMd/fXhrmhIlZ+jKO0L4MLLTEjmy//ec7sucV3f5b +z9t/JTLLzDbmGcHG7IhZZZnPE8TcurD9jsNUhtn1YYNaS239kTDxhVDHo4iZ +5ssbl+qGP7v1t953Yu/iPRmLiJhfJWJ+hoj5EQp/RsTMZjm61mYD86lEzCMF +McedJa7sIdXyN7jQvVK2/EliPlMQsxzc7Qwxv5gg5rc1Mb/4zyXmsTnEfF4R +Yo5Cdd1kqG5HiHmVbfrTroyYmD+wxGw7S6i3dbOYecDWX88uhS3HdvAZZmj3 +O7vMODKC7Wh79uj/zfWUPIkfiCxQlG5QmC9To9972UcgpDFqdBrjZVK9YHb5 +ZyAPv1U0+bnbcu3F+C31khyqnaCVnbzSpS8/phXFaRm3/PARTRZRypMbXLfi +ESUBoqdlYVh23oFW7B14jexxYsMPl7fXp5v75tgNv8/DjE6Z46Qw1GSWTQpj +tJCHyu2+yKw8lq4Sa+kgSc6Vo7a+b8JcOaOWYIzDlZY7O232ay02geHCeu71 +cKTsXhHXcdzhsJ0j5seq9oSj0cnpH1j/czVvTiU1ipnosF8b880nZX/0vJnz +zThvHpqcN4etQPyq6xtSGtCrHac05Ly5fM3pYkP1HMg2N2WXxiqd0ihdEQx0 +TM1+I9CH4CSJpDcC2zi/ixhUwNcf+F0qVfmwPHo9kvgC4k/hjsQbEOXxK2bN +qSEeJDQmxtTMIoK8XhNYp8oQxF1z+/5sagL0nSbiik+2AM4/EN0ZztGMW4Dz +yer5HFxdOwUXzJlLwpz5A7dtLc9c2AA8HTetSymZAR1RZJlzWebenYOX6BSy +3Z/LTuYfTsxqZ6RGGDyJmJ8hYn4ostzjlfRF9NyPI2IeCc/6QUTMLYmYj459 +RMLeqUqABTHb5tR/EjF3lMT8JCvFxVGbIuabAjG7oNsgJuYptRNz3PYXPu4U +MfOjKgxzUi1+s9/+k76MjJgFObjtv2CYC74MT8y0/bewEfeXOGLmjuz5ENNf +WWT7bz1v/wEx53mZHTFPyXDjUqhI+xiSGRkxH3l2Yevw4zJsaI2PL2WYnVUO +iJk8zHGG+bchw5zY+rPE7BIZKWJekxHzCqpBA08GEXPwZARivk+a5eiK5Uoq +Eb7QS2yRmEcBMdcXZQ+s5ioTQ7tUy58l5vBK4CCEjvM1qavumUWIeS5VVP1e +hUL9MnceMT+3NtRS/YjEfIog5glFiDla3qavxjQxb4yJuRV6yOF598RcEYg5 +7G9rH3MxYp4SWv7c6d00I+YWRMxlJ2an9xC99derC3qYh7b3u9t/Okd0lcwQ +zVNweu9f+P4xmi+7/PLL9cN82dnkljib3J607Yf915jG2AU+/KDNbwNvn+yW +Ec3PYGH1dt/k5/Th2Ht9ln9c3QZWY9FLwrTMLX64nNorIxB32+3oA3XhLD/M +aLlCz5bTjyeWUJYv41Mb53L6NruIa3m+U4Y7ulgd6MI293EjBPgGOAOKR3h/ +m1m+ZVOOOS6kMJQ57uKg2Zc9JCnHMjf2TfKO5W2xNW54jjWO58p9aK7ccxtQ +yoweOXPlznqz716x2ScTGDBXLsf1FtYodTyszU4R81MZMT9BlU5zSVc/Zz3O +1OS8+ZYim4G6+WQPM2/eR8ybD4CyVN0SaLu1O0MN1ACzFagsdL4hcKTfCpQp +jTbGpYH0cXFIaUQGuoS3mbPNS0Qz4OKnyD6X8GhQII7vTMrIIY72OfwK7JYd +vz2y47enTReJWTMKPjcJLPkj5u+dBdFT8zZMEhWh5nOImi84+TtFzQ5Fph7H +EgLhz0htAorqKG+co3TGfJfOaITpjLchnfGat825sKe7tnYDuJBnnoEO/MZy +A1De6wk3kT9zOwdfhkvBTdApuPjMrSsxy3P3p0lidjUOT/tJhdiypnz/FL9l +vUfhtIyYRzExw5chX0WXJ4g5e44hoz8sQcwTihAznb1Fm/4EMXOd2cIkMZeL +VRGpeFElPJaYBR38YGIeZ4hZGuaGpohZ2LVyiVn4MpxhruxD48sgw1yT6mCY +Ux3ZkpjfFFnm/O0/J1SsgSaTuwQx3wBZ5g9KKMvcgLzMjScXPj7gQkhmfHYE +EfPVrTFUxB7m7BGGRIZpLuFExjZIZISGv6+SW38Y9GRidomM4MnYFYS1a8gs +5wZzC+kq+xXa+mNPhvs5eIAe/TvpJ+B6umKZBsO63cnFjFeMY2BQsg+tTx1I +BVdxy18gZuFirkj1Yo/zC94t/QCkLsQ8C64KQ6GwIeaFPwIxe1dGounPr6Hk +E/M4IuYz7QpKjlofiXlbRMwp3ZEkZq87OoSO7JiYV5oGk+BjLk7MnzedUNjY +Yqx3MW/mTmyw6nfHeceAnHkHJzJg4+8QuFrBntbgx1DdU2CTq0fbfu4DcLdC +dfY4YxoDr0legw++n0IEf67ILvOmXzix3VxvH9KGN6T69qa+xS90Xvfyi6nw +QadoudiW3xQydWlaDmRxv2iDMJ6Bha8I1/IifYP9WnyDHR7RDaqVJHgGRCtJ +5FkW5jim5SvYs/ydNsdZx/Kkumz3bQvbfSPFqorMKw/alm7r68V+ZWrr47ly +RincRKI2+yiBMdsmMGiu/CgNUB5v80HhydL3IazX6dAdJ+Z99/x54bmqPeCI +fIqOSTdY+F32rMmkRrHNQGuis/PmMevcVd3e2t8s5s3DvE/DWOj8vLkntZ5I +C91guNXGeXNOSmMNH7UTqfEkZaCLvc1tlots81JpR5xLSaN0R2Ap9GnrLvfQ +Tild4o6aQ9MJmxH7z/5IP9t8Z0J1EUzNMGuegqmiEy01m4QG3IlQAXFEzSQi +mN7b+DM6h6s+lnyGTDMPLarxSrsxO5oXU+1DYs7s88x07ja8vPCJ2wAEN7NI +ZijLnLvX6xFPKU5vW/iOnMxMzN/XQsxR5x+3RDExV2tiXiSScLJJdRZ9Ofpp +hSDmsRkxn5QRM6BCFVo8vfO+0jZESWKWAzXEg9bQoGqIeeU0c7dXCzFzAfCi +Woi5wq+L5BKzCQ7VRszDjBugtt6/cwUxe1Lg65Dj08SsDXOBmGfTYIFDRcGX +8R75MjYowxx3ZL+ZEfPCjJjfKkENjEOJvO2/uPvPNplwlvm64GV2xNxQepkv +yIh5YmGLI+ZrWkceZs4wq+YSlWEWW39vctcfZpg/L9L1h53YGTFv+Al5MnYF +Yl5Aj7z0ZDxOipg5OcR8BV1vX+BlA3i1OBKIuYSI+XDRXlJOR3tnOtZFe0nF +QHXdjX1/kpjPQmJe7Yj5IrHUbbHkxyBmCoYqHMkj5k93iJhHyS9I4yfnr8fa +iPma6FpwM34tiuf+cT6um4sVFE/MqzUxzxfd2L833dh5xHyeIGZ2MePu9ma2 +HXXrVtjSJyPm4482GWba257evPC3G8jBfO/BhX88hDvbf3kKH3XIL7+GH4S+ +rcRs+1Vlj/LK7NFdLGYcPrtMQSJnAOOtE/ZijFvnNv1+jc5lf1pjn1+g5Z5C +4xJo2SYxWsrZ8iqbxLjen9Zl/rS+PzSTLDHNJJD3TGxJCY+t92E8Jzb8uJVE +epbv/8h0XIsCKk5hyBIqk1n2g4+LjQsjtd0n5srniLkypDDy5so5eWXutb7a +k3LOXLlT/mbfQ6zlp/pXJGW8bnSk7D4m3WpL5zxijrqxHSe/VLU7fI89RyOF +J8lZODcnn2H3Aa+hTJD0z13g/XM4ZR4L1mY3edubpsxkbfZTZtt1gu6546hE +VU6ZOZvRi43NIpvR2WYzKnQ2o9ma8+i25OLsG5C8c6tS3jmZaDbNgEt0M2CZ +tInn7AHKHVZlan7sHYQS6jcBVqYDOFmtdk34/uN9VcnKY2jCDL65CZKVQ0TU +s7I4gCEWRwDC/dmzOoX9P5VkbvZOaAF0F9mgsMUsHO/+hemySTE3mAELUMFM +RKdtS85jDKee7GMp/9a1sKVfR8i/fQtGorZoYnacDLd5zTNOPpI4+eBcTv6j +mE8AJ68MJy5z8hrQBezqZxS8MfIojdfuMZzsLqLDrd6e2bO9F5Q1DBcnb8zJ +fRUnu4vnTszJa8ZBm2UuJ6/413Myie1TxtmIk6d9W5ST/WMpF0HgUN3m95yS +nEyfc1fSATpDcLKT0t9O44XglUtwcmvpldsQOPmQFCcvKyytj5YMufO3prad +v2R/yQzi5CuEW+5ivFhpcg5y8oxWmFzmPmzY9Wsk+koa6uQy5DAcRDjJFnKy +3PULnEy7fi6HUYUX17jrt2uhcsNPyI6xa/DJESc/p3xyyMnBJ4cR0KvpuL8E +Flj2pFGdu0islx3v+1JnyUHEyeyTa5vkZDAwQzSJBV2mF7tiAl0SnpMd2Tzq +EL3YK2eIRRQxvvsP4uThpv2Sx3LSSg7Nl8U42b0DOZw8i5P7orPnKXsZCJxc +6XZbkZOXZyc2Tzde9bsnO8bJpwtO5r5W9sllJ3ffToWvBx0dkssTyaHPOYyb +j8RLFXehwlPlp4UVI3u83SfgJlf1Lnb8sP8aT+yl1Ob3ikgsYzfJzwu3Vof9 +vsl0ATIO8hfOSlBSOFExcjuRvwiMLPMXyMingmW5lBgZnFxAFJeSxfaq7HG8 +ptAGTuqbEye17SORO1FkwaBpWylbMOatgceyg9zre7pGNPeJpHLEyNKuzNkL +cV8tfXEZUQy9NJFUlgYMOsatWRmmyeI4v+Bk7YqT3dZymqza+npR7gL2+b5R +fHxLl698Stk98k6lKI3Kc0zu4hGaJj9OuYsniY+faYmxPPdT0PXQUuDkHenG +3i8j5nkZMb8Chm83VsAdp9+TGeBROjYfzMlnsLlZ++d29/658+hb7gx6Vsf4 +hZD9ImvzcG9tTnWddCVqDruAuiFQZzM6mmwGlqvKnpMLxJR5Ck3uhHcO9lhv +EUWr94q8EZtfnvDHMHfw6D1AzBy5hH4ZyYrC5fc6Tc2cN3rgD7p41VPzZk/N +KpchLr+TuQyhvD1vDE2Yc8YW4Jo75mtav9ZNgA+Bnfkjr/d0MVB3oe37TBpl +qNHAFQsvgPMXb/ZsivmWwvsNroPLaW7+U3mM1icXNpWdgOZ7d+66Hetj3Lnb +sbB1EHnlxtlzN0HMjyWImc/exXJjZHdACZBskZJ2GV1MzxO3erxjfQ/drMit +kfNJDXAqjdRc3mi4utmTxNxTIMIg+NJDYsYxWrs1eBvSKiJm93xe+e8k5tAD +/LmICYXHcRAdu0jM23CNWkTdirf9FSfmUPEeiHkmHaVIzMLE7L1ynyQsGe8p +S8arhyUsGSUrgyWjZKFPMPPOX4XZ+auGBDM3/uXs/Hkb89SMmC/zNmYg5hOI +mGdhDsPbl92u3+MNVV/Jd6avZGvR5HKGF5KYXQ5D2DEqBDG/Rcgxj34CnoVL +Rjz6H15vfXKIIN7ADEf8nmhghgtEJuYG6JNb2yQi5qCzDRfd+Drk9GILYm7+ +H0DMuhv7U/VO/LOIeQq/A4QUak3bEXNH7ob/xMuNOD7nlaCHUmFrwzVIzCvg +w3C52tZ+murfHTHPFt3Y7JTLIWaedXgDs/PJdQG/Eez6nUStU+5O0KXoprVE +t5HLYcw6FPb8wCP3VEPQJrIVAzqwXUcJzThgx28t9l9X0j0gt/mxL/+hGkws +3yZOarZhTAAbxn4+KoS9JK1ootwB1lD1RHmIomXOXzAtt4D5m3kcHUEsv578 +W2ytpa7rpaKPxM/b5N30Ap9Ubj2f+4RdorPSJzp9UvlJ3dzHSeX+s1NJZeOL +m2GSylNE9sL2kCT6rWVj39lqmkwdJKNy7BeplHLfcLTnppS7hv6RYvt8ydxF +axTxu+P/GSJlRy/uZ6DrIaU7nMVwxDw/I+bXSSj0Ms3WeN4s8xlh3pzOZ8wU +TYHsn5vsU814rJ5O7ZOjwT/HLYENaN58qJ83D/HzZu466Si6To6hbEZf0xA4 +lObNxQ0aLZlIXDZjzYVijmcSzd47JxLNy0THyWLZxfOsMN/O033a0PO+HPZa +g3OuKjjnyNTc65F3TSmx+0rU1BxyGVtCLkNoCZzpJZVm5q9AoObUEEMYmt3X +3Y3d2TWn9/8eU0nmkMlYsH8wM+MGyUvUZcIp5ntJU3tD2Ps7YDJ1ZZ9F9nu3 +NTICE8wdBuCkokc3tBK5ZtWR7cKOdR4xP3yAb/r7kyJmbFTd5BtVw461u6Be +R8S8lAZur9awwxNzcPfX4M0eW4mupHP4PPgarAc+xZGACg3Jodg0Z3LRlxBh +MBGzGKgBMZ/hibkpEPMl/1RifrnCX+yFD7h3xbWHJGZJB1+ERzE7fiNirq0f +e0IRYk50lwRiprB9D+uV2xxMzEdLS8ZHsSWjqbBkHILCl/kZMS9otLqwqGQl +XFKHjuz5dWr8wwSz3fm7IftzLZYKg435CpXH+LTJpIyYjy/89dqWcBkNLrmH +hH358ZDD0Lt+v4n6SmTD32dFGv6wExuJeSV9IIIdg4j5RSLmJ4mYH6Lj3hLz +VWxgBhTZEw3MQMwujrQfdZY4Yj7CL6j4zhJfLtw7Qcwn5hIzxOl2hJiX/ouI +mY/nBDHz4M4S86kJYj5nbFiMSq5o0zvgdaDd83SgH4djuuW7ak3bfR0uQGLG +BN0SuEpBYn6RiPlxeKyRmO82xKy3tSNilreDzgjaT6bo0G3k2v3An399Uyy3 +vI9Obe4peV5YMVwH9pJ90CG3WmQw1ocMxuu0oupIhW3Ld9Acj28CzyPz7RjQ +HZYQLTcByhhUVY60XJWg5cqUCYNlLUjLLcSjiAYBSct3hz6SpaaPZJG1YCxA +CwbQgqBlZQ0we318TMtuh1nccS2SypEvLtHal9dDkshenGXMytzYlztXtill +1da3zfePBKvyFugivsX0j6h9PmNUDrmLMFd+ys+V3/VzZUfKLo7nXonuh7Te +YWL+VUbM/z9z7wGlVZGtf/fi+h/TmNOodDdRESVJxgQKSFBEkowYMIComFAR +QVSSAiqIioiCgICKAUQBJUiU2E1HUFEQM4KkUVFnrW+t+mrv2rtqV506bzeO +zr13rfaSDNPvOXWes/fz/J4VRUfjXO1Dfe0topj0PJqxzYk4NabRqu6liFMj +xp9zfSfH4V6kL1VCmXnzidF5swERuW7trvq47QLz5oIYe05mAV2vtpk3l+3S +cD3vjjtXf/0IrVBGius/SWt2+xXfsc85wMZIz5DNgOuInehygJ5j/3VxLE/j +e8B1abNDozMVE6NUoVlzt8HOzYzr8Ahvzs2aI4MMgfocoWUJHMlj9HX7zCXC +yXw+0Zkbm6EdXH8gPcxRjOs+cmcsQxgXTC1gIOe7mJ/GINTW3KH67B1kbJx8 +9rKDudlVLvPXqpXa1Y44ntc0M82qqYq5uq+YyQO3+4NTbUfUt6uMpOCOKJAS +MHgrIiTtKipwSLT8bTLJEdipjOQ9H8kFo5jNdQzOfHP91rEyIbbra0O7Pl8x +9yHFLHd9f51i/iCDYp5ZhmIem6KYHzkIxWwjqfs8rpwdSnB3yZWSxCwUM2We +JFeOM38JxSwyf3OhI9ty5TjzB4o5XyvmDZGO7EW0tnaZvwKtmAtjmT8cyrn+ +EnRm5DwmnBmDDSkDzPs1+6FiPjDqXMeSgz7sGZUdSw4Uc4y+nCHr5xTzMaiY +pXO5VF/m3InNdAw4+hfTS+J7tNp+g4YkrJhDnhwTmOFYv8dTzGC6+wd1lpyp +uhfJzpJmprOkHIqZB3rNLGD/Tk8x18ukmFcLxcw20f+GYh7LASpfMXdlgP6D +4n5gN3+i+dIpZtvhI6BGQzzFLNv+vrVtf9Ob+rVT4NifJ8taKxnFjNDxVaKv +dV6ir/XjbMnRT/KN0hVza7WzdQvMnezpfj5m/fbfTo1Tg+urA4/VNSnt52uo +316urn6dUcVw5HC+bKgY6FpecbLdCAJI/BNyY+RtOozcGDDjMwplZumRuA5h +Tv4I/QU0jPsoR2WM9frSLKxC5ZNCLUdny8lOEkuszbvXXIYbBiE5ALfT60dS +twNRA6yfk/tIXgv6SJKzNaAF1Kf6HO65trm+2abPgXN97WcETmWrlr8m85zg +xY0OqAFDTI8wEzBspi/oIel1T5ysfFcZjX1RVlykrW9Ym72Wquz1WsOxHpkr +R/N8woEBysQ5MGit6M2VjVKG7TjcDq3P+GOKebVWzKtoPbeU4EILKS49j2Zt +swOnxgytIKRy9ubN5PVM8OeKuV/7ONevjR07J1CH+2mWomEIL9LfHLDnChx7 +zm8INPPm1pQFdBB8N282PSe+S6Nu0A4ILo36eB/I4zjgznk519dcy5plKL5P +zDnXz+OcSXmCnlEk3h5F1ZrE4Ep2oiyOeHwX3QP0tkh7FZ41p6lmzABGVDOE +q6BW7bG25hoeY8FFZuU9lYqHQYZITzO0AC5BYJHL/sFgDtbYcAbLOTOev7nD +kci8nZ0ZwPOErDXQmDnzB4q5ZWu1q21z5MphaiShmEXej5r+DhD1/l9AvZ8P ++71TLZUoQb2HHV/pYVgUvJ5b/ojj6RGYSTGPEemRASQXbsVWVUOBuRpZnmfZ +lr8ky1Mq5sC0mUEx1y+3Yg7IRH+yYpYvbimKGcMiB6OYb94X7S4JFfOQ9px3 +2uNIzC1dVNqjZJzHfK1tgiu3xSlmomQYOm0RIhKNYs7DdbVRzCvIyxzP/Jn1 +9Qw/82f7S9iZMTqgMTNb7kEtOe41inl0PduHDSw5dGS8lpukL5MjYw86MozJ +03iYT0FpUZ6sn1TMa+kFEbN+ZAR9lyKus2g4MrU04MmVmhorONIfouP8HjLd +9So+AXly5hivTKuWWl5niVHMlwhkKNWm2WqqHsmWv4RiHkDDjUfdGlwM9hqv +TppGE2vwv0wxU9wkUMyJaPY98RVgPwHPjypm7i6x5jmOZ3/rkZjZvy9JzPCG +aCLaG0ExL89Zj5f5Gq95ao5onnoZjUawMoF1CTRPlU8xU1K7tT61O2rFfPX5 +hp8PjVMPGJYckkChqwTIy1OrqQOvVsZL3cyX4WXQdZRA/Q5cyrANRDcGXLpa +MS+j2d4c4V2W+b6HKWWCdTrFJxNpuQp2QTC7yJ8tXxaczNe5yzDfqGWXhPLV +MiSgvGp2QhoyYbnJypASMF/4N30Khks9FXo913YTbdXy5/7xzHM1QVe2nuXA +hdGNSlmZgHFtxIUhycrShXFXb8GKu2F/soMk7LYOqMpD2hk0YqLXutUuPM7Z +gYFz5RbfqRf0pQ1bbpvnQwcGz5WlA4PyfMKBMYeVci2nlHlQAoa8S6vX/0OK +eX3hUXh8ribFsJzmzYvJqbFAODVmk8ntdelx3pQ5Gfg4DSUeoWMWuh7uIx9+ +X2ymBB/oCYKikY3KI23ebP3NqEQuoYEFpwI7UCqwc4LYzPNm2att3hrvFD0n +D0S4c87bnODOiY6TJqxYZMfJctmnvUI1RHrGmgg9o5h6eszx3ObVAIU7Kaxb ++9ZXzcFavIdorgyrpeysOVTNog1wGPWajG5N8CIaZMB1C44hBhfBtQl7Dj6O +oTd7Ze4aSgEutHPmIutnft4lALH570FDyjjnDutlZkccnr2X6LO3TXMkE+25 +Oq6YTdMfdWNPJ8X8NvVEzaec9YcyZ328NXhihgRa/rSMsDy5zeZNkXMkHoFZ +0IlgwGbQAKCYzRsfdPR0L6wpFPP55Cbym1WT9HuTJ2mUyJO4zLWfJ2F//Utx +xbz83TKZcmUo5vZ/VDFTP3as7S+mmO8BxXyjAw8NEMa3qGJu4xQzvNCNuzhG +yXBHquPKbSGunOFsJdN/+oUvJ8+l/7KT6b/MjX8vBTTmMYLGrBVz9lCtmKkn +u8pA9eVZ9znFDB7maVoxz3CODOlhlop5NynmnUIxo4c5peHv00AxF5IBaS0d +90Yxp/Dk0IDnFPMTpWZ9iDw5Usx3M4G52Aw+emhpcjUO8mpEO0tYMXudJShT +wpY/p5jrW8V8v1PMaKIbTqtAYaDj+il7W5RfMYNcsYOMg1bMsYB2imKOwYx6 ++w6lREmr6C4ZKYFGKVw5E9Te4kjMcESb2AkqZkAo5oTdJXMIADODFPNE9UlF +qZgps+0x5aRiZrZRK7Xz0ovsnAM7Wu8iEiiw80fXxnLL319yJzb3lBj/suso +4dnG5uIjVWHp4TjXWEFB1bnsXaak39O0AWQaxp14WcLqA7yfrJbrWVjLlYFa +Tqb8emGvAyMN0ccpXtiMWh4lUk+hWuZZ2pvOtxkwAhoKRkBcEZBa5rSTnKUR +vMhdht8IXtwOnxfHncHswggIGExWli6MsLHPgfOTc+UEKy7WQdJO9I+Ec+VL +zFz5ObicvblySrKPgt0JB0a9TxEMg8c8ODDOMUc9XP7AKoDZHqwV4chv8wcU +86laMW/UijmPjG1rydy2ktZ1MG+D4/R98jizU+Mt4dSYSXO4KZvKTgYOoXVe +bN4MXK4bEy2BMG8OeRpp82Y/FeiGF64hMEwFxnpO6oTtgBsindo44xuf9DZ7 +iUAuYg37I1bhEd2QOnuaSubcHDNrdobSLUK6kKc/IDU785zZt3R7ZG8CWMCq +WQ417rGqeb9VzV4bYDsjT/ho5vWfTQKiWe4z3HtgnRS7MyrR9CL7Q2LM8ZwZ +2J6wun6GnHFDER6Azoyz71Rf1+mDXmbkeZ7XRe24sINxxLVuoXZdcYHajYq5 +maeYD7BiBijXy9Xo/AXzZ7bviNPS4lvMkJBiRk4GnMOGfA+1Z2uYJ7dZ8ORK +zaDN8OSOxiGbJTCXMM/zBHUD8RLZIWdb/grDXuywL0rs/VIVs3PJNeJhWnkU +c+bekqhifhPC15+YmqhXAjhRWYp5aFwx9wz6sWETfbvX9hcoZjbAUaj6EcmV +SyjmXaSYd9AR+w2uQpxi/sIqZu7IfqeuVMwlnmIG7Ausq1eSYnaNf+npP+ll +3oyX9/iAxkz9JVYxU082KubO6pcntGJ+WSvmV7Rinin7sHMCD3NF01fyge9h +DunLoJgh9fd53tFGMYvUXwkpZpP6M4oZUn8Lab1teHJHEk/OKWbLkyt1nAxQ +zMyTM9D9E7ViBmMSYBWr0rCjNtZTmVvhPENgLmxpA9y2syRVMevjeWNfi7n9 +zxUzYUA9eP5/UTE/YCrSEor5Vrdv8RRzEDVBxQwIUDiWW/t1UxMFV26m5MpB +5IRJzKCYqxaAYl5WaQO2Tn2UvUKtzXY0UNePPYUU87NoMPo8RzD0gXJU8+6y +FXMn4mTcQuz8QcSSe4p8dIBQnEmJP/1SCJc3XNrfo3/ZnNRgLPpEq+USrZaN +G+NQVCXv0exuuj6hYQ3yjOBiDKDLsjemS06idMlZ9lTugmr5YlQMVi1HuHE8 +UwNlUA/naYawVR/Vcqxgku3zU4lAK3obRMFko2Xh1tkl/JxaLhUJv4/d2s/S +lbcHnmUuYU3nxcm5stdvLVwYsockbOyzajlM9/3TrEMkK+6RK9OoykGyT/iV +rQMDViYXBcm+lO4RUCBvyWRfHRNVYa8yKuWzirGmaqFWyouqGfA+HPdtq/0B +xXzs31Vx4d8Ry7mR0Jzr6Tj9SDg14BpduNl5nOFojTI19NfkTZFkYKlPorP9 +2ol58/E0bz7JpzYjqiicNwddJ0Eq8DKZCrRQfNcQ6Lk0ApYG95wYw9zD2BtR +3+5fmK3IbWvO22z8/WycC/cw0N2zOMHRMDTyjZQI9DvhEzGsyXFwAavmLiKk +zQ6lqGq+LWXWLDu0A0Kzpc5p1QzXMSRTQY68xZ5mLUFAfkABq+nNXoH7PpMp +ectMLrInE7PoKXTGbas0WJ+/D6gvz7rbepm/g5bVZl19xdwhopgf5f2eUczl +It9LTkYRNKseoYr0OZxHOxbkZGwWnIxSw/M0nAx9DZea6xeu3ftLDFmut5YK +N9jdSHVqsjzXTTJsLzYrZteLnVTMfUkx32uZRZ5ilinsP0sxixBqqJinBqii +UDFT8LrLiAyK+X6/Fire9kexkYhiflh0A/Ox65GYL07hZZBiftVTzJ/a+Acf +p6iYqxcQLyOfOrIp/VexrPTfqwGNeWJAY37S0ZizhZcZZEeN+41ifrKeo8pF +FPO/SDHvDfpK4or5+HjqTyjmAtGJvYJTf7hWOQLXiG/SIOSVTcYYOpGiVGPp +8h9Bx/dAOrrvJALzTaiY/2HIcqiYa9KQgwnM56coZtlZInux44pZAhf/bMXs +uTIYTGAVswlmXyaD2SlvkV0FzihUzHg/RBSz6fHZl7m75HLTePl4GzbNES+j +Bb0tEi9jBsVNErwMQ5hDxUzbQPTQ4WzjfdoIvuW6S8BHF7ZOVaLWKTixa6Uo +ZmicagN5ba2YrzvPpf6gDxs8zDDjeKGG+m2qSZ3gRpBOa+NfNh0lcBkDJx/W +I8DGWENqZP5mM8/gbhJu8huK6ZJjtJo4Fjd/NxDHFjyeZuvXWJzIlxK56Epk +1LYMnBhmtgyKwKw26unL7lx92YV17IZDO97xMKQRyKPHvS/ocdzcZxJ+tovk +vaKgF5i7SD4NPMuCrkzkuE50+XVOeJYDF4ZkYYh0Xy/Zb011Onf2cUe0cWFE +5soxv7KYKyNVuS31j9i5cjLZB5cwmIvgMjZz5fRk36yIA+OdqAOj2DowYAMO +LFwT8s7H4Ui7quf+AcV8pCrVxyrwaAE7xMp5A0VDVpPZbTleq4fjxjqTU0PO +m0Onhj9vPtqS6NL9zScKnkauaQnEefM51Ple30ZKugQUOgP7bE9bcKqSQnaz +nwo0R7MJZbv9y52RnhN2aQSd2h632RHouB++qcdtDtaB5PW3s2bL0eAOn1JL +XLRr8vC4fs4FtW0roFXNe62pNNOs+e4QayRUs+w1kdQ5WAGaJOAXOMxA4pxw +Z5je7NWCNveOnTPD6tokAEcaZ0a1B9WXNfqhlxnPX0z/ddOK+QqtmNtQ6pr6 +omKKedzZ6nfoitLn729acvxCGRLJydix7GTbFYV7Pk79Ef1+gyB7vk/X9Rs0 +wZhMa+mntVwYVWquW0iz3ltyLBk4T9RveKdaQIDXWQJwgLAXu6BzEHNit5zc +/92bgAIkFHMkW/JXKebUAOpOelHzFXP3gfsTxqDMbX8wuNjvt/1JI1zQXfI4 +Ae0tYe5ip5i5pJIJczMJyvmGJcx9QryMUteRTbwM7MhGxUzpv6DxL0z/JRUz +cZkrRrjM5GXemvswtv5tP5MU81N11e9TqyFV7veZrg9bepj91N+pidQfAAWk +h3lbnlHMW8iqv5k6sQEJs9F2Yh/qcTLm0RCEyXLTSI68YI9vc/lbshwp5ju4 +ngqHHLQaLKxmFbPFkjOLubAVKebLMijmmwgMahTzuVYxO7RRfQKCNvyPFPOy +v0gx73KK+eH9fij7/qRLqbyK+VFSzLxneUp097BpborkZXD6j3gZtAYExQz+ +uRW5aw0vIzvkZRBhDntamck8EpEveOliT+vddGL3SlHMFxom6PXUzwqpv0e0 +YoYT+5mz1a8vnql+hdTJLEeUM3yMkxGSCC9+cEpv1pcvVLmzGwNe7uYQJf9l +Wn88iXO4o9VAfVneQz45mGFcCznsqFqWTgyjllvokxjUAG+eGc9STzA+Y2mS +xiJNAp3AHg8jdrlZepaYlXEXCUM+RRdJe9lFAlvmwLPsyHFi5Sdb+8CFMXi/ +V8AqGcsy3WfXfwL+KctY5Row2kHSkY7qy5McjLKIcS8ExDgv2ZfmwDhXeJVr +b1Lv1Sp1Dgwy3LEDY0kVM1dempunj3iD3m9ftd5BK+bTtGLenH8MvsXBEAKU +cxENIvJpGLGW1AQ7NT6kqIhjahwRaT/JnAwMSXQP0S4lOW8GEp2cN1cmbFFN +Wvn5rSedKIzNqUDjHb0s0hCY4tKwbZfQT3w3bscdgU7GTZKd2k1WOwJdU1Ax +Hzluc9OVIbeZvM3A0VhimgEbcJ+2Vs02ejK7NOBobPFZMvre6STpcwDJHUlD +DsYbETsmLCsOfc0yDTjwn75qHsasZhpqPE/92VOo3QSuY7h+37XuDMNohvPY +pADn4fTCcDMm6XPYJAC35g5T26oO0vLhPutlNum/q0zLagtSzJcHipma/rhd +9feJZ6IR9DfIkLypFTMYP+dVxIEcpv6WRVJ/xUegjCjAvqhD1cpNJvU6n6TD +LCIqThIJ7Mep4gw4GfeUHEsGTnizO81wMvQb3VWSxVzot/whi1koZh6o8Tmd +cMzlPSTe1EbaNsr/bcX8dLpiltiWcilmipGAYsZaKD6OQ8V8eRmKmVTDS9zm +oBWzTf8JXkZSMYuO7EqmIxtAiaCYP7KNf4tJMcca/2agvCixXGZWzOMCLzNT +5h4hxdxf7eqhFfOYuqbdb3pl9XuCKmcCrAkOs/QwU+rPeZgh9WdeCrfQZY7I +cRyImGPdpP4MzHYxRajeo8v+jUAxT7BkOaOYmSwHihmwXXcUGfTRjXhMn2Ze +HLHI9WzC6wftJYWtROwk2YvdwvZiG7RRQ1LMdTMo5kZ/SDETpGDpqr9YMTt+ +TJpihvW3uRf2+cMLmf7zFLOBgD4pVn8TBC/jFbFbwYB2XVHQekYhKGbYBCJF +H/1zMv03m9J/r9BJ/TyiX0yLyTBjKoKySkHS/5bTJ5j8a68Vc0unmG/Qirmv +PrH7NzQcZqDKja+pfp1cXR2YURmb/WCFYufLK6mthNJ+JSVHoBtjFbX5wfZv +FnnlJuCpfBTO3wYVH4PM5VtRLZ9iyfieWiYnxuU2f228cVYt5/e22+a6wPck +ipZt7/NCps8QA4C2fB/JLZ+hx7nNskv41V+yRjVY7Jr7LpCtJG+5VpJ2spWE +OMvowgg7rp/6XpDjwta+/QlyHLswbLov6LeONfbdH6T7yuJgeHNlOqo9vzJF +T5AYJwYdMtk3XZRQvWaV8mcJBoakxXGYG4cgUOIKQVc41iubYQjMlQ1AdC1G +VS77g4r5kw3H4bH6cfHhdhhRgsr5UFTOoVPDeZzBAHdYuZ0aCRJdKZPokvPm +e2ndl5w3n06zvGqGp4H4oljrSQt9f/hdgZfZVKDpCpSpwOb2mI6zNGrnCwMd +Eei4J7BRKoFuin9kS26z13YiWzD9tCw6msJjmxKByCtnjkbqanCPYzZLKOi9 +7sgOoyfccjIwMmuG6/8poZpNEvBLNbPxNhziYcwE4Z9gmMtH6WFSgB/4c2Yt +K0wC8DG1rfLDanv1/uqrmncil9mcv90NYa5FW7WzpXRluAzJL0NFhgTaVQHN +JclyMvW3QrSrik5sSP1xJ/aKTYbuOY/e/l4jWMCLdM0+Sdcq50pw91fEwzVK +YhedRfuPhhR1ak5uIdFeUuD6/uRArUm+KwHOrJjDjMn/EcVM0ZKIYo71Y/st +JhAlIcUcMJm9FpP2VE9pCXN8BDvCnFPMX8YVcz3DqLW8DFjbsWKG9B8q5vTu +Pz/953uZbZNJRedl9pwZQJkjLvP2Mx5AxXxgTB2tmKuYPuxXuQ9bOjKMYo45 +MmxzSUIxH2MUs039OcXMqT+QIMvokl9Agw/mZMykOnjmZICFH8lypY4sN4Bq +XfsWwREdKuYzaBVYHsXcRQwxrhVHcXkU8wiBzje3hY/1ktGSg1XMRemKOe3o +fUo4lbhMLaaYxdDitpAwx0bRFF7G0PZ7EZvP3Zec/psQS/81ovQfdf+RlxkU +MwAVTeJkDYIU19n03ztB+u8FQZgbjrgXbDE5s5/66uy+rnuqydW+Ym57oWlq +vbEZcjJ+GtDAcJjBwzyhhvp1imFkgH8OG0vIvwynNNLkIJcNbAx96a6hOd08 +WoFw0g+21nAiw7ytH53GN+JsOZfUch0xW76EZsuXm+w1OjF6iBPY9Ue5dcZA +ATH06XE8r2hKp2+TVY6H0XiFfMK707ehfsLLDr8LglaS1rMCVJH1LBu1bBNM +z2Qix5lLLuHCeCDJwoj1WztqnFt34KV4TdBG0kUEs725coSDIXutI8S4MNkX +spXfEKa62am0OMfAAKXMXmVcG0I5Fc2VwXBnhiEr1WVV6v4hxbxl3Ql4tMKW +GpWzvk4307y5mI5Z9jivo6Io59QwMM+FgVPj7QxODZkMDEl0j9Jx/GCxaz6R +PI0btGqG1R94RkGh4Ly5MJw3N43Mm9tYAKjXFYguDaZ8Xe+7NARLo26MpUHR +k4brHyeGQUigy8xthjfQRmJf0zDoCGQi40UiEXipLWUzu5oO8u3To8/x0f2j +bTrpRk0nUjWjjLnTOJfYWNrvpgwODZo1P4WRE3NMyyQgOIvAew+7ERjcgTtj +VQ5MMZYQ8dPMmUFagKT4LGeU2lr5UQOorUFeZkj/8Y6veaCYocHkbq2YB8L5 +W1cdeFKfv88ZFjOguX59PTfJwU94mKG5xFQ6QGVw3ibq+tvEXX9HuK4/4WHm +dmygfPanNzqQCuaazKa3uJoCQEvGzZAsVyBjTj3ted3Eeunv+d9TzFymYxTz +ZTHF/KxUzMI+P8yFscMttGzK9oxyoWIOZ2tw6XV2xjhWzB5hjoYWMSbzK6I1 +eJZgMr9jCXPEyzjDpP/MMCKPFPMaUszJ9B94mTemNpmEXuanSDHL/r+HnWIe +Wwc9zGAoshzmhCPDXM4hhznZXGJWKBBsZU7GxyWu6y/Rjk0I/vk08HhLcjIo +jvI8Xf5IliNT0kP00ngPwG6LTGjb3Aanm9iJVczJ9pKOhbLvr6OFgcqG7Atx +5QdHsHuJNJURErgoFfNTQjGHA4uDVcz5WsoUlF8xPy/W41AVkUkx66O3Z3+3 +5uM3yKRi3h/lZTwieBlwD4wmXoxty6ZgNlz7nHr12rKNlxkUs8lo5+G8zfBA +2cs8l1InwsuMiPGncL6xNYcJc6J7qsENQjFfpn5oSYq5G5DlaCsIJ/YI42GG +jeCv06qqX2ZVMok/fWnvpPkybAEhZQJmohLY/m0ySmMBZbGnbzJh1HGCIncf +Ag6NOrgWX9hYLTeyatkwMSh3XeA2fNi2ikU5t0eaSdzJ23Bd7OSlhN9Kl/Br +7BGyTBuDIWSttZ5lv5Wk1LvEEp7l0IUxTnSZef19u60Lo1ukkYRZGGG6z3Nh +YPhUuDD0Jdj/GmGUK5dfeQ/NlX/EjXSmJpLyOzC2mHbrusZgZBwYjoGBSvkM +MtdVM5EU9CqjA2O9UMqrKZ6yAo/1y9MUMzZmHxHo5M/XnIi4TniXS9XLFK3O +p3i1JGksp/e+xWSDm09aQ06ZQ3+GzAMif478GTIPCBHsByJT5huwD15MmdEq +VyPCnjvPdWsXXuJNmT1ic4HvzeCGQO7VNhjQO6yrtHbMm7H+sQh3rmxac+OA +1txA31XgaG5AzYBNRJ92Oir3c62Vt1l6huEwBlp5eIYubamV+7BWNuONkJwB +WnlEu71qFGlleE9Eagbk/4STGccYWoCAwx49GTkrUGjwdBlmFpD725LzpPq8 +0jAD2YJZBSRIzr0RO7ITOhkozNCNjVnrBn7WenJ1HM2ZrF8OOZdPx6U15q3h +BA76SuDaBl/cBnrv+3CT6yuxDX+l3PAHWxDTxTOo2O/ENgTxHJP1KzoH+6OY +joGjNKGTzSkddpbQSZ3vKs3q4CZDX2N5g/2s6V+pk0uiOvlyL2ASAYGzYT7Q +yQxouf7+ZGVUYpp20DrZ7KIx83dJwJUTLs5pYqE3iwIiAB3ydXKJHUdg5g91 +MnVkV1wlHMx+5s/YPNmPEWb+JI1ZOJi1Tv4cHcxabFQfYHTy07VNux/p5F8C +ltx+j758KiJqPeeyyPp9YbN+x6APw+jkwxM6eTV3YhNwfz5d9jGdPJ4u/ycI +pziEdHJ/Wq7cZstbzZFsQORnWp5cNwqYdOKWv8LWFpxvXhvjvdjn5d/iLVvq +2iJiASb/i3UyLPVaZlzqbTeIgkw6OUImj21aHInZ3AuokyPVUib3yvdA3ME8 +NdJfIhr/UCcjATQf6+A/sjRmuMTfsx2t1sGcTQ7mnJHmNa+K4cqZXSBwjeDE +Jq4R6uRWvk6+q7H6eRBl/cA5N+kM7Cr5+a1cw5FbSK1+MFXGjN9RqlS/3m2k +BchC4nIBr2gS9V6Poh3f/dhLAp3Xp2LGybjhyH9RwBq5LWlk44KDJz2z75tu +dFwi7iOpSwAWj4LB6f41TJKdhsn+JpaH9Y7XvYCTr6WhU9k9zS+eU2yf5tJp +iRrZTr9Esp8XepzuDwkYoofknyEB494AUiSXe15jnwG0eI19kW5rniZjtzVP +k9N6rSmOnegf0YrhZX2Zymmy9V00cuB8OU1+N0K+sFm+apTlq8z6eANe23aS +rPUxWOsAegR1VLAsvLxKHdTJR1htXL7J8rbVJ6PdDcYRMeWMzmZ93Ep/Rj4d +vexsXiH8GYsCf0Z58oAhf244uUSjU+bi4wKKRjbSb/+J44yz06fMxJ7rUNAm +ngUsSHozkj0nd9NSkAgaGwY5bxN5M5LtgI471yTBnXvHexdtqNVNA6LNoGpe +tF6r5jz9LroxeBcNcoBaNTtcrs+cQ2+TPcL3kKTZl1TNd4nwSRmqGSfMFNEG +1WypGaCaG36OTma4tqF8+MOqkCwx2T8YzZnp8nTky+E5nPuYsXPCGXzO7abx +D85fVMztrGJGnmefpupf/RphX5TNWk+AvqhqJuv3JqdHRF/UcpMg+RIJ+OY8 +BmRAKUkIS18mH8Zs21diaEXjybrJhQ0P0hL6NuqPug6bKnOp2oyzfk2sX04S +mNvSFrBVIA9AMTu24T1EaCEUACrmEYFbnhTzR6FifvNPU8zTY4o5gIA/UU7F +XGbbH5WrBm1/D3VzYwxUzJcZ9+aISwUlwyrmHfZIZhLzNIbbU6z6TZv58ykZ +MvOHHdk5pvHvo4om8ycb/zaIzF+hcDAbGrPI/GXHHcyAUsTxHCvmcbWdDwOc +y0Ix7486l/8R9JWchKhEzPoJOsYW0YmNoZRNfic2K+YP6KieQwuV1+iIfpns +c5aOQTFt5MmRVLkL7KK2tPUUrZgr0lFcQxzDgsAsYDFlKeZm+W7ZIgsjoop5 +bZpi5gDJG/8lxfw9IQqco7+roMZ4/dj9MhBjbojUSnmUjH14D+B2hRz81sGM +UeyvUIYgLJ8rphyNGRQz2I/gBRHA46afVdKY38E4Kzf+bebGvxxyMFd+SF++ +/R3XqD6c2NdoxdzVNP1dwor5PLX3ZrML/Pmh+iadDXvAKdX0y2FlnG3ApQ2X +9LcrT6apssn4sQcD2Fxz6bJ8mWAtAGp5lC5BcwqDEsjByy70X4BaRi7Rxs7W +AWfVsmUS3Y3pfvNENyeuu7Rk5brL9TX1Ohfm2n5f19zn6MroruRc39wkA8sN +KD7Xxy1dWlote05lQBYyL26kgLBEekhsZdT9ZZOVvca+FFZcrNvatvV502Rz +OcKRnDpNvjA+TQ59F7wE5DyfcyiXOt8FLQTZd8FH94oc51CWk2Rjq1tCR/kH +qkPlOgftxTj9mCPVF6tOMbFqfdSCy36rvmZZOcPO2p83S2ezZNAd5rcF0rw5 +9Gek5QFfEHlAOW+2/drFx0TmzceRqxkUSzhvlq5mwZ7DDgnJnrvcywJCrzbb +6BgHekHCm3GXrZ0yW5uBQtkMFz0nYwRBQ3LnQm/GHGpmY6J56GheX7aj2QZR +9P32YmirY3rGLo+egQtCkjWMBmXV3JeP75uclc52mzBvrq2ZNcO2hVlzMv8H +m5N57MmovIGyf4tw2wcTDNO++qw+h0chNsDQmO8gSsY1prsEFHMraFkFnmcz +Qye6r6Hrixpn+qJ+nVrN0IneMn0lzPPcsZTpy6YwGFly+m1wc8kROHTzWHK0 +G3mL8tdTNhmg1rPktGeYlnUuF8MC+gTTuAqDtSKDnfWyfoVJOobZBCabV51i +9uFZ9TekUYxYMRMh/09UzJY4+zkp5i/SFfMots6JSLaokCpP25+nEq51O2jL +lbtSkJhDxUz1UM9Jrhwt+ZiSwcu9N4RinktQ+6RizifFTI1/FVcIB7Np/Is7 +mEV/SUXTX/KxR2MepRWzY8sBGMYq5pmVUlhy2Y6+DHhEUsw7AsX8VWrD35F2 +TQhHtuzERjoGKeb3SDG/QZf+NAqc8LE8tsRXzAOtYj4OFfONuGoBxZxtwPlW +Mdd3irngIrKQsmLuYK1JDC5yvdhGMTeOKuZBAa7gv6OYwyopK2usYv7Wha+E +o98qZu7HfiB5P8SIMfLtEZbggz0HMxRA7LP3wFhq/LP9JRQqmcE0ZuvHQMc+ +KGbAy8EGENydkDExNT0xttwUqn1/Fo34n0FHduWH9eU7wHa0fnOu5Bp1MBTm +Nk4xA4H554fPxawJNkxNIzLGO9l4WcO6BNYkyJDTiqNYq411+pJcSo7lNwgI +Pp5A4I8SA78vzpZPNvz7wjNEtu9CQhe2xVMXXsqM++1afCFjwnIDq5Zpo4em ++KFiYzxGbItfcjMvZF8xMVY4lUVDVAN2Ki/MF09uuqSkU1n2LKD3Qhy1tuP6 +u6T3Yqg4btO8F/18y48lK4eZPmjsK6PbOuFSbpeBfsFz5eYxTtyX5lhu9oUd +ZoTkC1iJzKn3sfVdzOPuEeFQXsQO5cB3YY5tniuvpIGHr5TNqnC+uqJy7T+k +mL9c8Q88bkFRsHLGYzffgO+h3wGItXD8ghWOZ87S2ewYdM7ZLEkaMaeGlwcs +dU4NmwcU/LkhiXmzgRkh/hOPa6NceN4M/iUT0a5DW3LoOiH2XEEae+5KIja7 +Xm0eeliXRr5TNnWROyd7TgYHBI3RwUww7tJo6rVmhsAj/ziHvc4F8+g4h72O +R2sWjmbZLf9sBKpPqrnbQ07acHRbWuoYdIQw/UA1D7lcS5d25n4ZS6r5RaJm +YP6PnMxwnQPRBXYkq7OXISHfzJmnUe7vSdz14RkM/VGQudbnLyrmFu2Qwvxj +x/PVnuu0Yr69sfqXzFo/V9Ps+KZXxTP459mG57nn/dOcI2PVSbiyxuEbFTlg +yyqRMVbRteocGY4lNyGgLw8mqcB9JdBOiQ1/hdwjBWe2sWs6idDGhpvYBZTg +ySUUs2j52xAO03xKvlPMr/udUsuSiZNyK2b3EmaHHjRPK5difiipmDO3/Qkg +Pg84AsX8SEQxj8ZjemeSKydscXGunFPM80NKRuV8GryJxr/sZYnMn09jnklI +AZAXk7Dw4ePs0MHss+W+qDZQ7ezRRf3yTG3qwzaK+WfPuWxAL5myfuhcXu36 +Sjjr92mgmAuFYl5FK0FHxzDFlm+QaR8U86RNjicHcJhRtPpDAnOJIDBjHPt4 +GlxQ1ZToLEkq5kvFkcsmpe4IyG/hKWZTFd/AK2IdQIr5keCYHUO3xf+SYg5X +egLtyYUR/xQZWL4feke5cr5i5rW4bPwbig7mfSb3ahsvjUSRDmbrzGhgSlnh +OJ53TikoZqyFN1XZannuOsqYLKN+1nnkzIB09iuCLTfGsOUq6cu36kD1JTqY ++3pcI0MCbY0U5t1XnY8J7X/dSzwjyJq8cCaakODFcN97Zq7x3XJyLevTeXPJ +YagogFcElyaohVfoJH6SZmj9iw3N8+biU9R1HjeuCdavm01yO6eWC2QfSW80 +xTfYeIe+pHibx2sLR+5svCbec+3mEnMsXVl2+YpTlo5Y6VSOJPdfoY7ryUle +nHNh7LDHbJfAhQHDiX9KsvL9EbJyXz9iLcnK991QNisu0dYH9VEeVflHr9da +OjBCl3IqUZlqpWaDUhZzZcmIky19vlJ2WT5wGK22SnkZGerM0Q3Kwww83kPQ +0RWVa/0hxfz10lPtsWuU8/G+co45NcgcJzOBoVPDJ2m4eXOaU0POm8dH+HPW +31zi5s138by52FA0rqOOYkvRSHRr+/Nm2XXiZQE3dguygD0tTF96TuM9J0TQ +WDfCR4RiO2DAnVsluHMr3vbcUI3J29wQvc0fqYaLRScQ3IcgdYQbKlZqzEe6 +nwP8wYMeXSVzgBzfhqOc+034GL/BxbbhfoLje0iHvThrHn3pj55qhnsDjmpw +IoE0AQwBUIxW5q5Sa7MX05z5VS0xXkJJATJiG5zBsOcDSkZDo5h/AMV8qVbM +nfTZ25N6sR9kD7PpxP4NdnzsyHiH8tYLT0snY3C7H5ExsN1vU9CHXeocGWMJ +DTCEhmv3koe5VzHvNyrSbuMc0SV1PnW3t6S3Mri2aAVd0N2mTWSlWcN8xs3y +W9hA4fgJpUFZivk9UsyL/hLFLOtypGIe4g89rsnQj+23/UUUc6y7BAYcWjEP +b8OUjB9TuXJxxewyf/JIlpm/Dysb+JDsyF6dHc/8pfeXvIirbKOY42y5L6oN +UjuvAcVcK70P+13XVxJm/b7LlPUr8DuxTcPfoT4dgxTzAipwNXQMY98HMMxL +JFPAMPcUDS+GUcAKkIr3MoGZoiXGIJcjjt061qDEt4ODxaQpZndLSMVcV0Rg +JZg8qZjHk2Ke9McVsxxK0EAQFfNrQjFPcUbTg1XMmIGFwghhLLVvkLdEKBk9 +nEOJPf2y9XJ06GVm3KdwZsie7HdrbQLFPJ+K/wwpQ7T+VeTWv7m4Qim2pAxy +ZgBbLtc4M3AryF5m5spdcKVh57dpbnzMrJihE3tMLfXri2fg5Q6XOEDFoQf7 +64+gA/sY9bG+ZAv05Qpbv0V0Gk+nF7enKGr6AF52J6qbcKUBT3lu8GuqOheY +dDXTOzkx4gjLt6C/EuYS54qVhZ+o5m4F2dzHW2HppcxEV94Q9yx7Kzw2+GyL +8uI6jRWtfR4Bg0ixkoARyfT1ztDYZ+fK5WTFDSG/8tAIVdnnxO1wDoyUufJM +mCs3CcgXIVE5yohzNGWOZRsHhszy+UoZhhxwZJvV4HyrlI2pbrbq+AcV87dL +TrNgIthew/FrlfMGA8GXHmc8ikU6cJNY+4VOjY8iTo35hPwMnRplzZtHBfPm +gcXHROfNMPe7liqneiTmzfVFt7abN1+RkgpMEpuFSyPfETTCnhPuBDJVVMxu +TLo0mlp+I7cDvqVkk2aSO7cWXRpNJMNRvr3a2FaICw36tEcbbzPkBrrpe5AT +gbg27O+TmjkRiP0mXNwqVDO8feKs+VJjKoU3TDiywaUEb5Ew3IBh3sIzC9Wy +Kuu0/FiBZzKcxyAxwPAJw7dtVQdrxdwPz1+YWKBivlgr5rYt1O4u56m9N9F+ +Dxxx0In9NDgyzjA7PtEXhWSMxZQlgZU1JP7W+4k/2VWylKYZcwUZY4rtw2ZH +hhmuDSgx15spA+aiBgPRMm9mLBEuIAdQK+thtivoAr/SzMKz7I6Q8ydCGqwP +afl/mmK+kBTzJWmK+eVyKubh5VfMyX7sFMV8lSAxd3QrQaeYmcS80w43WDFM +viDgyjVmSsYWS8l4VyrmsCM7WzT+Zbv033qb/gu9zNPJy/yyUMyhl9mx5bZV +e8go5mdroSPDsORyE33YzsNs1iagmL+PdWKL1J9t+AM6BkRQEKx/qNeJvXSz +48nNpaMYU3+l5vJ3PDmpmA36E24DqJm6g8LYPa1izjWlrF5nibH0O0Nc0Itd +4HeWuBofo5h5nVf7f0UxmzXepW9kUMyx2Eiwd7kq8PZLGD6u9KJJ2H02/Tcw +0l8ylNbiksZs2XI8ZxaEGNv6V2czKGZbY1K9wHSY5BCRGaHjC70OkxJMAL6I +l7PpaH0MIS9AYwZnxtc2r03pEyCBXqpP7W4XGMUMPjoggD59jjow6Qx14PVK +eHmDLR/my9vXHoezjCKtKNaWHq6W6BN5Lp3EL1KKZBip5b7F0M0AKb9KaP6B +DbLZ6IFaTrb3OQqG8SwzBaNewrM82nqWmwjPcrJDlenKC+kSMk/mhuSfbBKh +K7fCS8h1XLcPO645VP1cnIDRJeHCcNVQHBWRR+wtEkR0m9/Yd284V46w4mJ+ +5eFtxWqv9Y+2rS82V3acuC8Jhm/iJDC4wGO4kVbKDUX3SD1mxIm5ciZGXE5e +0quczV5lqZSNA8PMld+1StkMOt5UHSud84cU83eLTjfH74qT1LdaYUBKipUz +qubArcFAfJ45s8eZj+YiOp7zhFNjZeDUkEyN2aRUGP8JO5gppSF/7mi8b56w +8+ajvb6TeymGYubNx+HQ41ramNtubW/e3NDOm2Uq0B+AXGlTga2E0S7B0si/ +3ZrtTM8JeVDzJEvj8QwujZfpLRboNNKLKvMEi5N5gkUmT2AdUnxv2kZNXiFu +SyJDQe5wN5DXp71P9RhIq8PA2wxvqbJLG2QNGuw6m3sLfc3g0GDVTKw5eJuE +oxrug8XVN6qVOWvV2uwleP3CBAOa/7DDpMojanuN+zBLYhXzJVoxt9dn71Xn +qX29hYcZHHGQIZlcDQuFYTjnJf4+NIk/M18+3syXaegGb3eWvEw0/Pk00Xid +9h2TuN2PDJzYh02E8Dup2uzGYgkHqGElQhev4U92YnfyChocJ6O3TZbWowwK +SoNAMTdK65dih89/rphbhYrZg+aXUzE/kkExZ+gusYpZMAJs7CShmGEj7ZJP +YyWJmbycPNywXDnb4yAV82a02id4GdCRnU3pP1zz+Y1/kP7zvcyvIba2JPQy +Cxoze5mNYh6OivmHa7qqn7Vitiy5N3M9lpx1ZAgPMwzlfA+zUcxwTG8VnIxP +MijmFXQUMyfD8OTMym86Hb8v0i0wjgYWj5cwT+5Y9QApZly1oCPjeBpSAKwA +6uFdZwm3/F1JirkD1aw5W3+6YpYGOHZl8BqvQXkV80pWzGx8m//XKWaUOvG9 +S/fAABcqZn6DtGCvG/z+kkEhjfkyRyR/wrLlzD0wUfBiIh0moJgpBIiXPScA +kchccQU1TS1IEpmzJ1CHyShjLKo80LLlsPEPvXRd1I7m7dUPrS9WP3a70Cpm +IIBCw98vL1dXP+vTeu97p+PLH5zQW/OORhWRp9XyMpqnvUZbPuABsFoGJwbO +wwpz8aUM5l/wBOc+EksjivAw7Dwi7z7yLD+szk10kRBd+SNBV17pp0OayNax +pUS24o5r3v4mOq4jvDjO6b/wlY/qDF+6yIVhKqH228sojax8ixhI9E009u03 +HAy6rDJ2kIi58vCEX3mnPnJ/8NSyl+y74CuLKool+96iufIcQVT2GBg1yIHh +eZU3ogNjhY2YGAdGzKu8znNgOKUMXlBzXM/CIceVlc4+aMVcUSvmHR9UNMa4 +ZadY5fzNyhOdU8POnI/DWd3WPHMs25lzZN5cTI2BGzdnYGqQiS5karxGeCOg +k79cGpLoDEBf9p0Mpg55njczT+Om4uORp3Etbc4NtflMOs55ZRh0nURdGp1s +PMWlAnu69SHtenirXpdUs696hgaQ/bEigTsxcEq9Svsf6Aui/c9yR6DDdkDi +OjaOdGo7l8bHgkC3FQl0UZfGKLfz4Y6T0NvcmwchgqNx//X7sZYN3k6taiby +3JhLdqnxzY1qhiPbJAE/RuIcuDNW5a5U67MX4Hlcmj1Jy4qx6vMqQ7H+DAhz +qJjP76R+aNVW7erQXO3uAQ2rTL8nRsYLNdRvU6uaxN/bOWrfu9lq9wJHlDNd +2Ga+DG95n1AXdjGVN0A58NJI4m8qcT6fo+Ha40QHH0hvZiwTTPsqR50CD3Oh +b9qUJcDO6eM4GayY63qp7YeEYn7c9eNEFfNMUsxvRRTz0tBh96cp5ieDo32I +Xy5pFXO52/6EYhaEOW+2BrGTNvssXcsp5h/soIPNc5aXIQlzZJh7J+Rl8BEN +a7/cfMvLwPRftt/4l57+y0xj/kxQ5rZVG2wU83O1MvRhC/rywsDDvML1lURT +fzTM2ERwUMfJ8BXzPLLv89H7Ch27E8mU9DSB8h1Z7liMXd2N6FsDWLxOf12D +ihlMpWfQEcuDCSIwF0jQ4n+gmAnqaRRzGLIenzxOMSrynypmjor4pjdvfRfU +RnV5bJe9J8K3SBwKBmTyZPpvX9TL7FHm2sUoc2bO/JLoMAkSgKCYLZQZe1kL +0RMKc2buynakOTFnzjZzZnj5+zxnhNpWCfLaD5CXro9pnEIv8+X65G6pfuxy +EdLlUDEPr6MOPFdT/TK1mvpJn9a7F5yG/atgv/9k41GqCNr8qGd1FpVMPl3i +1LJxYpymL7PKuDGGSwv4ncCNtQm/jV3wUjKeZdmfCv7Jfvplqz/RrR7xeJ2N +PfrQZHeihi6M5UyOW4qdCQ3JhdGYLhvnwijxyXEzpQvDHKdXTAwvHb+HxJKV +uYfkoQBBFBlE9MmY7qO5skz3lelXhrnyHq+tL82v7PePmJLVGezAaOySfW8n +5sqU7It4lfEoJqXsOzDSU31SKedppcwgUFbKZrjxKs7q/phiPkLtXJCNRzHM +5YxyPtkp51UnecqZq6S8dGAmjzM5NfJTnBpLvM4T59SwyUB930ylfmLXFHg0 +ekvlvDnpbz7W+pt9fnPavFlS6JjdHM6bu3jH+8WJXu1bI0e86zmxZa7rhUvD +9pw4lobXc7JC0tCZpfGh2wUtNolc2AWdt8AQ6JoL+SM76S8XLg3rmOJV4ugf +PIiocWkYAp3XDBh4my1Ho7u57yCWMry9yQTA0APuKZAu8KYJJtK5tTYjcW5Z +lbVqdc4SvKZBZoCs+KzyCPXFGQ+QYr7WOOLatFE/drpI7ekJ9HtDlTswsrb6 +7dma6jdM/FVBHBfMl/fMryj8yyehO076lzezf5nKgXG+vNkM2bgLe/ommfg7 +ynaVPCwYGQ4OYHaDV6NEOAdRWoaUfx71lbT0Un9tRSe2T5brQ9R8TpP60sA4 +e2Sj5LNxxbyyLMW8+i9RzBzgTlPM5ejHDjfR8kgPFfOQhGJ23SXP2SPcxU3M +oIND2Z95hDnsyK4VEOaqmmPaHNG+YvbTf+/qozhM/wVeZkuZ4/QfeZlBblR7 +WP1wrVbM42uhI+PAmwfvYfYb/o5NNvwxWY5iJuvoRXE5Hb0+Wc4cuaCYJ5Ni +fi5QzIYsd6y6nxTzbVYxn6BvhVNtPXx321nSSCjmiwPF3DFQzK4X2yjmW+0a +vQ6VrUoMfgNreHOKucmfrZjnSMWcAeaZKFp1pjcOWcf2Lh4/JrFzcWBcy44J +7oVh7Y0zAyQN3wcmAcsdJka+TBdzZi1ZQDEL2JzxM1dxfubV1s9sekxAbcDl +bebML+DlDFU8n+cOVduqDlLbz7zXckG/A5L+BR3VDy0vVT92bqH23nge+ph/ +GVFH/Tr+LPXz9Kpqv7684QUQLt/PtJIoLvq7PpGNEniTTuCnS9iJcbx+MQO1 +fLp+ggPxvjadshf6nuWNXQMXBvM671Ln5lEXCXKWky6MkBzXZFVsLeFcGA1p +09so5sKY7RrGvGSRrYDysUO8muhSHhbGgKAKKgNjOQxUYwdJaHiLzJWHkkUe ++coBByPRa11G/4hxYEi2ss/ASDgwMEoiHBi55MDIjjkwkvwL9ipzMJuVMszl +zFDDKGUz2JiqOuXWTCjmv8GPDZv5kBOFbF6if1wBf3RIj169em3TP92ov5re +cssth5Qlso8+Qu2al2Ny24v/QWL7FDemXsGn+UlBoFCYOwL0s8NwwEwEkiqH +JswdsmDwQxpRJwtTRJiQZiUSXidH1CNwRG2SLAMpgNtPWKJvLgZRdCKOqHvw +KNE+DeoGRSkXUllFSxor+iPqSwOCksM997aCqYEg9de2uJuHAivrKFFiIYXT +5EiYN3xCwIjaFArWty/HGxJPiFbeTCXMhtOt/sw3/jyFb3Gi9dseWFmQ0pft +0PvwaQBPAlBFcNvCEwBmhyPbGMQzjKdZaEPrBNxai87MVysqrdK3yPv6Fngd +t95bKo/UIvtBfVyTyL6wo9rZ7lK1+6oL1T5poIOQ4AsGQvfLa5VwyAGK5EdE +avwDZ3ZwfMN1CtcnIGI+KTpClZY6pAZanrXA/mCzGEmXcn22URcQVB1Jqw9m +1t6pvwCEaDbXp1GFNtO3hM8zKDuRNdrmurneR2tsBOz+nSbwHagJK7K9a2WC +eCxokb3SH6Jw8Pv/kMiOFT0kEyyRUVyAcZYi20HpaCR3sRbZLQKRfR6JbEqo +vEmoAckGXXC2PuXPEogNENm5ea5WO9svCVxPp/lG3HS/jbzbwkRgkMF0vv15 +ixbZ2LKmRfaOa7upn8bXtiYOENn/StieT/dsz2FQ8EsRFETbM6E1IBMLsw5Z +o80iexklUd6n2cYcSqC8StvAydRX7OObaTtDIhthdJg2OUGLbPbMVaZj1RWe +xEU2H6ddBJ/Rr9KOiew6UZH9hMhi/3ki26a83vK3fL7I1vfFBPbHiWN0dERk +D95vAoNpIjsMDHIDxXURlHPEzOEwG1SU2fxbTGu9TGammW40DSKb87JsgUbU +RpV8g9pApPMyFDAw4gPBUkhIZ6DHWNQGXMaVyQJ9FoCRblHfNrrOmDkubqd2 +XXmx2tNTi+x+ILJrq18n1FA/zayi9upLe4dWGWB5/lirhvUlR2oVAFY647of +R1Zng2g+WZ+2FXE0ZvDMTWmE0RrHF/BEBmARGzcQNkej6HromOfTFEBFbKl8 +IuAXCqynBV5JTCyVK8BCeImJ7SM+4306QjPhMwB4xb4fHG+l4zO6PhYcoxmw +zL0Elln6gGTfqnxPswUmUmBnQmfQKPqplpFR9EXfeqPoKXaWkR4GtKaNoLjE +A8xJbEYOA+aMuF4FpSVkb3bIjGQQcGM5xDW8LMLmsFPuWXGRnXXIYT179rxS +i+n/T/8i/Ph/8JcP6601Nfygmf6t3/VvddD//wH9a/v0j0GS/0859Pbud3NN +loV5SXyww5B7mdk5Ot19IvL5v1oT0d28gxRxxI+Lje4upZarJP4Oci+HOogH +DbilNURCo6eWuiiiN+Au9Qu90VBNIIW7yHKFAA8LVBCG6iJZ5t2InH7n23JC +F0MMsHcF8RiibZHdKGDRNoZIFSsbaMBtK1b49Xq8gEXLihWGs1PFioToUN0n +wzua6tdseQrAQgoqVi4V7XH4wEjEEIVtKxhwdxfwDhBOcKdL5B0XeLOZGsIK +Qy/fi+lxeB1+jozUsI+E19t5WuB8WCUPHalwmsMd8Gmlp9S26oNwPPJtw2vU +jos6qF0dWqk9116g9vdtrH4aVF8dGFXbQPdfNkAOA3z244XS/iHjhQ74fFgC +yPF6AmFnUKMyYtiPIUpWYDCUo6Z1iUrws6xKsYDxjX7M8HwhLM4lYVEnX+IR +3XXiFpbPamHhyt4NkvS/o7cnGCqp09s7fL091OntTIXcfYIczEHpbZ66tPH1 +tgN08F7S7SR5ymKde/QAcICOkmTckPT2SsvdT48bmoNdVqf4IGhpnuZi7q3V +HiW9XSsCfq6IrK8Q/Byapk1VSqC3OWZYLEu4/5YEc2w+3Ort2SK3wjvECcQ+ +H1PKKDvDRhpY7FB2t+oj1ejtk4jBz6+fnLrlEQZnU1on9HbrFL3dJLgt/ny9 +zcTQlX9Mb0+O6G1ZUo/TSdLbMJ0c7O6J1EVPGL8NijdZKDHWzkI6aPooQTUm +cugit2KwDXobbwF0QhHRkYDQUDsBXBro18wUO/wE0f1PqM9zh4vCq74YOfyu +SXe1ozmd3tecr/bfTXp74plq/+uVcTLyzcoT9OV6lCoEs3SpeeUDA944gnDc +i2Y7eFrn6FP2LBsphMsI1s0QRWFUHcM3muX3toWBrlSbpxbGhNnQAkGf11pb +TCwsfEMistxl0hDhG5EKlMD20eZ1vwKlgz0+v3Qee7J9dEmxfXCc8J/liRP2 +TcYJ+924z8YJo/UnEYP0sIzgDao+aREfZL9Ce0MvStjARQnfSYNuWMtHYaRA +e607erXOBj7SmgRwYwGiF/MTMUKjs2EEUmR19jSkjfIoBFx6nXNrJPT2/6vU +p0+frEMOefTRR+FXLyPNbWT43wr1Dx8izQ3/N1f//EX98/Lo7D1zcs2BTmxS +GKL4evuUuN7+6IQoOI+HK57elsXggmG63lqxD01Ff4So6ViAcRwFGEfrO/Qx +Kl1+mIYw/QX241Zba3gi4hgs57ToTIKYJctZriz0y1kkLC8ZXnQz7rAIHO/8 +/AhiWhSB+zrqxcD8FWCBeaW11C9DasQzbuCfBnh3G16cnhJe5I6tJwWoEnPp ++/xiFtGt5ZAf+ywo70FhwR7RVouh1rvwbmWt/XbdT9X7Zxar5ZXXqnU5C3A1 ++XGlcVp8DDY6u9HVCMD7sfMlau/N57mIy9NnG4zSDAe9c6UqpLFhmw7e03wT +SoQdS4moIVxJhVfMMpAYDzfTNuC7oaK5+C4rLHg/kkMoDwm/Oy/dal3ghxOl +oGhoBYUD4NWz72Mj7A7EGgMT6P//qs72N56+pujKSZpMOju1njCpswfFdDbh +DEZeyujoOAjPYj14myk2mTakWDvEerhSbzNYWRPo7EXWcp2fCCnORM5uqbBc +Az76kwQ+eqS+1IdonX2V0dlRq/XpDhdtC1aMt+/bsJJwfbySUOpsH+dxuFqE +t8ARuNZhv14MgCd19sNE7ue+qz72djiZEi1Vbd+Q1NnylugQ1hJm0NkNozp7 +8J+os5cmdHZa43Kcx/BFkvNrxRO5ABinnuGe8HY9AeYGBpMPBDA8WVM41N4L +RhyNbemiBy+I981pbrYNOhud16CB4FUTZozIgTRdQ8uQmr4G8btrsXzlA/JK +ufKVzdkTcV0DCQJkOiLC9B4HZLqgs9rZri1uJff3Bbt1bXXgxTPVPn1q/6Av +5S/WHKdKC4/Eqce7pLHBpwRTsn7FJ6je6N7P0ZdSTQyxdCpgswgjO65CbqKc +ZZsn7T1UnOJQ0G6WTda71Xx6TtWn53S/vGG5KE7BKZaJdvsIWmHTZLMIzLKD +DKtXnMJPV55mcVJFmEVss6sMIQYo6EwVg/3IpX+fmGU/2KNsXAd3w4f1gr6t +OlKYQrNsTrN4AURYHaKlmlMtZpyxoKaB2i0kfe1QHT7+2Vqqs+NFKTFMh5xj +Oy/fNErAsL5+kbLjz0d19qFGMbO+xp/9PyOywTByhxDZ0/SfeZ2H2X+Dv/0w +Ia2ztbTe93Yls5/UZ/lefZZDm4UvsYWVRHi244Q9OOOPs2lHK7GLgi5EfdYX +JxghMF851LORpLWHy6TjS0HS8Ul8FhyDO84hWloP1l8DqEIAx9lFrv/wOtt/ +mDbO5pSjGWd3TKHqtbbjbJdy9LoPN7qUI/TT1UlpDDe2AJNybLKaiWjcGM5B +dn7RZvSTSDkuNSxqy4R/X7CoUT/Bc2KTawwXfu2Odpz9NR0AO/xCLshU8PNh +QJKqFx1nd4P95l41rL0x0aK81poHGlvm1PlELayxUa2q8qG+QWarTZUmqM+r +PYrS+rvG3dUPl7RWu7tfpPbf0UT9NBgaWMgqMq2q9WLDNQu6AzQHXJPcVWhY +H0cZWV3qt69genGzeW1jRNgrlJ15XvixcXxd7CcYe+tD/wbLMK+spXUN6i2s +byEHjpJnIAfGLtI50BAixSiK2moTKc+/NlxRm6UtrgnWHN51EWGU/3nS2ove +HKy0ztT8Haa3BGP6oQRjGt7Y3Ko8QcwT+0xPWkM7BbF2Z9dLphkd/8OUuy3P +5Z3lqkj793ycnRhvdizNODkjNY+l9b+eryWavo205uM4UxOL78k+zsMywTRj +s9f2/Tcbi1lJbd8LKTbmkfI2haQ848obqY9SS8orFmxpwvpbJ16ha2Px2NI2 +pnCpvwncKN131xJWibaA+bdRBM30F9bO718Oac3B8Ml/irS2+P43N7sjMyat +nw+k9RNSWhuMf9eH95umIjGTLI+N6j7hzfaY61fKdhaft27sU9RQJO4DHGM3 +2QrSGsaMFrVeO7COYEvLepLXaR7tKShRjEdbvylWfhSL7LFTq97N6rumV6sd +LS9Xuzo2V/tuaaR+HlYbSXl79CX+rb6EP9aX7NriI9UCfRLD6QuLaLi87tCX +1o0AXiysjgMLWAqasTX3EXZVrQquRtMdvIVB1SWPrc3pyYmWTP5rHljRqWmb +u2lsvXSJNWQCM7o+XhqCGT23ULx1+U9TM7Y2lwamFZ//0iWgJDN61C7XsDKE +XUX73LE5IMmMvkVu/251b2Gew0i2q8DWg9/G6Ah9FN7IgglFcmyd0tjtJRWD +ZpUgqchHq8f0OMvZQxZzUzd4r7MD73VF19RdPp6HtIbEJPUkK6lNuPw53Cp2 +yTkTpfVhVhtnlNZT9c/niiF1eefXILL3v1lZ/WsuEZ3eO92c7rSgNGL7VCu2 +XUCS59kGymc8284kWGYJDAP5oliRuHckxFjLcORkCkdKGB9U1blZ9rF2lm38 +2hBiO55m2SdrsX2amUcWVdVfNYJZduDXLgybCByID3DDLdEHwP1dNyWCkfWo +LlEGI53/NlYyLj0B0/SXmWU3TcyyP0jMsmGr1ciWjBdYEB8uP2GW/ZqP/bEb +refFLFsfCyYcaWbZjBT558B9rvglghRBv7aYZUPhy8i2JqEz8YJv1AwQ2nU3 +qcVnrFXrsheq4kovq8+qDsPw43fndVW72jVXe29oZhAh4A+BwpZXqqhfZlUy +Ans+CeylRmB/tfqERFkL9r0hfvownJQss/6QI2zgkZUFl4I/RZ4jnF0Xm9n1 +3dSIfDNuLk8lb3/oEZEG1NYpgzrX7XZ+ILIBFVIrI476KRuWbSIoYk08iph8 +XPxFIlu0DMRE9qMRkQ3vY+UpC+fhSxqWWohs50fdbRtqnwloT5MZMkbZde7Z +ssO7EBlSQyBDUGRvwIUlDlMqxgOQJmWTqcwl7hXZWm2oE9keijrbebIjwUcr +srm8JRTZXvDxMBTZvCpcTblzFtnz6Eh9i7qLIPv7Mq1xeC0IM4vH6RgdTCK7 +H71zGpF9EsH1coRtqo5YAyZFdvuoyBaVh3RbJEX2QM8+1cDa7NJEdqxZ9uBE +9iUksh334TNH+w9EdicJnhxJDbOW+bA/FTyZ+uLJ/GAZCBZeEa8KtA1wHUAp +7aIZ9o7oDHtGk20gsmGfby1Tdd0ax+JDKucj9td4tJfbcpeE0MYw5Dj1WS7U +IYLQfkB9dc4d6pv6N+IM+4dLW6o9NzRVPw+pg3C9ne+ejn7sPH2pLij+O9Zl +wYk7AIu//6FuwNFFTTxRoSkTXJoGnNcZ668sCiS/N76HNaCi2HrWhfmITbKY ++rSnibsYA/iHBRB+fSEAuSRaIAw4urJvhnJ9HpR9f2065BkxwFvhx0WP/KOB +LyQFnNcnDDjewsfmvsTMGlZ/2BorfSFyZt3eHJ/g4oS1x+iw5DuBAgnKWCK1 +hW+FRSy140Usofd6hS339sW17wmRCJC5CQRIEa0OXSuAOX5LWVzjEWzE9cf6 +GDbzjrFWZCd92f9zlRbPt+tfQKFNJhEwY/9b/7yL/r3B+v+X14wNIvunWVXM +CEWf8P+aW5HEdsVyiu2TRdw9DEimi21vqk0kEmkcWRMaRzYdFg1HzoqEI11f +jHlCwBhmOE61DVCKp9p3s0m76Hg71b4WN52VyzZpp3bFdKUmvEjDIhYZuIbF +ejTVNmjWhwS77zHL7mvMbM3g6dEkUWjwTtB06oSVOSpS1lsBhUS+hzvqdRCO +fMyJ7e7I79sX5fcZ6rU+AuD2v8Y8HWCqPbz9HvVUq524dpqub9W5tcAsslrl +V3lVbak2Qn1d51a1o2Untbv7eWr/XQ3Ugce0wB5fQx2ACfabMOqrqK/L0xLG +EMD4fs7GEBDY0O9C23E2YC+ma4ghZK+KrTgDyEYL5+mDYmzXGzl80PVyukh5 +MVkkbhDxjNgFyTo42SkOdJFalmDt8/h8kU2G/Y8miTVnTGR/kBDZ9f9kkR0x +nkqRfbUYyaSJ7DtCFyE/LVJENnP5WGSPaiUpIzvSuXxEGWGR7VFGQpFdtYCc +gRtcY2LFlWJbyQFIJ7IL6LRPGLI9ke2MIp9rkf39dd3VvybUQoPIz7P94OOe +sO+FsjGpDYkosoOGRHzH5E5xA3dCughlzdmD9yaJ7FdIZDseny+yHyLQzj0i +33IDwpu4/qi6QJ42cBVIHnCHRXYnFNnRXvFgwcMiu64nskf4InuNENkfHazI +Xl0+kf2qENl8TE78UnUM2hJdmo1ZlQxWS+9D4rKAtO1O/4hxSnIqUTWF7aFU +XcdhYKJag8i22QS6FSRx5APKJIDQNhPt1ZZubSbabBh5Dd8nN2e/iJf3Zzmj +caK9HThRZ/fFSM33F16udve4SP30cD3185Tq6psFp6pN+jJdrAX21JKj1CiA +ohefrHrpU/U6faLCadq54Hy8XMJwo+0Gx/evO1X9PMPZc52ymQwhNI5YVUYW +1o6n1tnL4YLgcmj1hrsc2nnHpKHeSuiMHVNFGg8R1SgbD1Om17emsvb86XWM +tZcwXeP7mJteP1nG9JrDjQnOXsIQ4k+vuRPckkPwWPX7wCWNenXFlRi4TRqu +06ghs6wvz02up9KxKybXKK7Hk0/PiGtzBD+puuackSay39A/+YVFtpluI1lk +q/55vhbZTW6++eayyCLZRx2hfn6tij7dzQjl5zk56qd3smmi7faVME6B0tAf +PzjV2AFZZC8pC/nnQNmynFFOs5nKKq0jUmSXxzoiIdk8zX5eTLOfoCeEqTI/ +FhlU/UUzWB+cTh5HgOxTcRzTg6bZ3SPObPCDpdXKmDJGH/PX3GL+bhGReVfG +WNeWMcoUZFjGKFOQgG17RUyz3xJZaAkbSkbnywJkQyFjPDofdCCIHaiZaFMh +qhTZd4hqmRv24+0Pt/2Qjntwmv2svpVnNNqGPR2rz5qjPj5zpPq6QR+1s9MV +WDn+y7A66rcJ4Liuon5+Mxdf/HYzQWQZE0ROsPbTT63j+jC8jtYHKAV2Xb9l +1QTHuqhAkZCQQwRN5G6LUDieIl00vS46y2uO62Kh6a0Etk+4rwsk1Zdd+u5a +kM1xIezaX3ZK+5D0ELpHRkJcf5gQ100PQlx38AitEXE9UorrvX5H7gCfzhqL +wzPkt19oH7zaH8OwddAT160difWZSxzCz4lrmtxx0kuK6yDtCE8CSxepbJ4C +sL+0dJFsSRdJph19cT0FT3mwqrIL+1OB8fu82jAU1/tfqOUsIiSupUXkx0Wn +2ZQjuvTEEcvVMJ77OqCKsBNvrRDXizf5Kcc3iPX+CrHTJgrX3RO0CBwiwggw +l7itiLnv7JriblG/HqaLAO3I28KJa74t4uKaJ9h1UsW1A+40Wf2fiOtkf3Rc +XPvk4o7yvhjnt291DUDw9piU4roMEHzCUhu8cNrEYzuB8hNkYnk/TDbRNBDX +HHx83d4OJpAQCmxDG6EgAr1fGhh2hDiiRcyWnCfV1txhanuVAeqrc25V3za+ +Wu3q2lb99FAjtX9KNbXlg1PUCn15Qq58ONpCTlY36tMURbV+srLLuu3GTrjc +cKJauqxhLKUvhw0D7SnZ0KsECACnHnspbRS1Uu743PEYoYa0oQI2TDNKZgBj ++TKVIw4LsHwpi75U0qmdQ+wve2p9pbs8fID1btNH2+pHO7XGCnHRsJVMMgZV +L5EkI1tC5lPNC4jqRdUDHF+266s1i8E4uFqmGDdmENXFQlTzxHoTiuoJeD1+ +HBHVHILpmlM9Ia7LAl5nH3W4OjCjKoZmgLiKcxFcPhqHn/OCiGOcOSI4ns7E +76Nco+SIbADlTKDsjaKYsViw+4QPRJquV4p6mUyQbM40voRAKXP0j2NuXykb +ro8JDNfH0Wj6BBxNX4/1HxUFINsfTdtaGawxd3nGyzHPaPp1k65BR3NFZp94 +JNRDD0h/UcYoe3ZlrYwcTfu1MqCYmsrzYPn70S0WlKU2tj4QP9Mot1i2C0GG +4MOFP3chDDN15t0f3mtA2eKR0Iv4fRaUfdN+fHke1H2vGtpRvwy33qEmnv+1 +mt9wgSqp9bj67sIb1J5bWqlfx9RUv7xUXf30eiW1T8sIKBVgpfztSngjM4Wf +OIcrMLw9rIDZdBheO+uI92h8Hod57XGmC+NIgo6Z9cUY0bUcLrfBUN0TeSDU +g1Eoqb7C7yGYIJdL4NhGZ7z3glkbb/WqhUKqb/3QPSprhT7i1cSMMhQzWQQD +xfz+n6SYnxCKeVjZirmXbP4Ka2Jiirl7ZHEplpZypsKGUl5W+tBrMJU6Psjb +CT4I5RbPCPgg0TryRTSXe0+EZtKqYiT42pmrP682PFDMIej6NN9UTXnF71a4 +PAvmFUPFnMgrsp3O3Q6smLnTdhbFdqdRvoBvibFknXMcPvMSeRdx32/GCnKR +TRERXndMglXu4oRi9jtGJV6Jj8fbUxTzw/+Lipnj3p/RfbEtcV/YLC8o5oCZ +g4r5YLK8NHO8t6fzfQxIAcGjJMKy0T0kh5I+KKqNAcVsbwlQQGCKFTFei8sh +ozWMESFTxmhK5wF5n7ghs/HSR+WcPcnUyOQ8obZWfRhryne0uVrt73eR2vVC +DfWhVhLjCsCBearqU3SmvmTq40tVB/0EhS0FkG5hmducwNVNCVzdQJ+Q9fPu +VefmPaA/ftlEH4yfJaU0rQogkklMfTKyQ5JmSrLuJfVolCPooByx6yN7E4w9 +ziWGjL3Exs72AuxPrREfHOQSh2Y0UPvNWhNF1Nv6O5olM4n8hmWOz4+T/g42 +T3v+jg1+dbj1d8SVcrI2fJbIIya5em78PCF1/MxK2bR0jVBds6v9IcX82ytV +1W+vVTLNXoRRtcqZjnG07kWV86nG2LH4VL9mRhBBeN781ZrjRVxRVMyQcube +3BgNRDqonanj8EiduYsqJsl7R1nynps3HxOZNxv3NG8mkQSCGZv4vLlzQaYa +c1ZL8rEgamUi8+Y6ct68fkiZ7ummosbcuadZOUnaNUcV000dMf9XGj7qymfY +Rf29H2sfyuaOvaqHVs/XPrhPS6Z9hgzCb87g9bpln7r7JjB37FWDuu5Rz3Sf +r97sMVCtuqOj+mxMI7X/7Wy15z3fCQ1vYF9yHwZKhL/b3FUJGDa0MmZs2Mqg +a/kdoZanb+LmuKMI0XuURfQyMuz+YofovZkMPj2QoBfmrYR5o5BRBml5q+u8 +fUOTjbcFpHNHgeFKIScLgjcmCtSUWzEvSSrmeaSY5xjF3LrcivmbpGJ+bLc3 +QJFR9YRiTi1WNBvJ/tfHa2Kk1c93hjrF/CxHsJxC8Ih6Jo7oclhOIkRIH6KO +fBUp5jUZSR8pTmlQzBWf85zSnmKOOKTNSi8SQ4yUKW7LY8X8d6eYyRfHARSO +IS6lVR2vW3jYMJP6RHnlYkl6pcLPpL/uI4i7r5jJ91Z4ZlQxX1noyNXe6iWi +mM/3FPOdiG2Atq06+cYGaxTz0L9WMYsVnFHMmw5eMVtj04/O2MT3RZg1Kys9 +oO+J+2TGrHsykuhTJnfbavLwntBvkaCYw/YkjpK58IBRQe+fVWJfIpdWMcGB +ldycZJUzz5zfodvgVbz8N4F3Kedptfm8oar0hn5q1WM91bOvt1E3bqiH64cO +Ba1Vm4KOqlVBN30y9tAff09bmtVEf/yNQCXrUxHLEMUTkblH7qN/ztaHs1Ju +QiVrTVfw9lUq5SX6RFxmq16kWSP86KXFsR3RqO0c6aXtUZN8cq4coeQNyEzJ +89zQvfy6F69F65/xNdwQahAygwVBoyYTPbqhW5g2IQCdJ+fKX7ioYaOgFDFi +1ni/ZlDzAkq5sqTjrXMVLxVDCnX5yB2SQF0SKuWKz5c5U0alnD1Cq+VhamvO +ENXtoBTz66iYc7Ri/n1KNfX7jMrqt1crkXLONcqZE+WsnNmPB0MQ8ORFZs47 +5cx5mZ80hyDM16Scy8oeOsiHK2bMS3FqyHnz3JR586TovPlob978IMybqcjg +NmtxPYF41W6IAiWosI0H8JOzQvO8+ZIEP6+dfjS0KUibN/e2j4eGFuDQz3V+ +xKzQayOPiNWTtGqeor9esZHkpFNDGrvMYwIV1EKGfAQ7KFDOgVsDvH6X67Oi +A0aTv/QbxZ7+XkgoGaox0WT5Zg3nBTg44MyAH8Mj5OoJb6geH/RU9687V725 +vlIFuDi0sjNrCWPoMXACoQpKD1Ulm/+GL1Z5m13bPds6zeb5cM/WiWJ5k3mR +Gu9tno+2m2dr68TN83HodL6WkeV4CZwTIKdp81wYMWRs7Ea2zsgcbePtFIzR +gjnPIfHCR0PS/f5iumBe7l6UGi8LUuiLzcfNavkiUssty6WWvyxbLQ/JoJZT +ts9hv0WolgdFVoyslh9vvTvJxZNq+QLn1ZMp87eChLmVBgzvIG/e8uxkFfla +OwzJlCsMGNQVjeX5EzzGn1SfVRuhvrvun2qfVss/WeZ0dsLqvGtxMk/IA4jt +Ui0Loxur5SKvetypZX6BZHPS64z23+QSI8/QymUUrVweJrV8L6llMLHdhJFs +VstViGNTy0sAsFq+IsGd5vuCeUfX22SISAFkZZk5ghTMxrdmTsMGnmri01DC +GZIzhIyC2W7jC/RJSKppNqkmm8IuSzB/Z7jCjD0SZNEyXySlWelWaVYCpbQ/ +Hi+MmJUek2aloG/U2VjNDDFqVqrrWGY2CUCZMFRBOXKzHpbcgWHpgwpAW8j6 +m3q3zho1vs0W1b/Pp+qq51/VH899+kF3ewX4iLOm4n6tPh+A9rk3QJSFD/UO +Qb9Ulves+rmHPQ1EkA6myY2kQ9EehOv1QZjnPfeaz02bGNFzDzzugiTdSXzi +MDVKTJSH8ER5f7RAPG254I8QCEIeElwykaTFRHl0BlNzpsTgq2V4L9jQ/L5s +a6lKE2XQyNk+4W51xZWeRg4NzfkpacFi0VTrNLKhR5vFndTIT9MCz2jkLQmN +PFR/Paq25Tysrsqu2rPcUnmSk8qTzlC/T6uifp9eWUvmSiiZf2XJ/IbpEHA2 +DR42V3TD5vmBZE6Ym08R5uYT/T7zde68lxWLnrmZnHgG2OQGzaFFIzloPsJW +KzITLzZoHhkOmovdoBmQwQCMN6kwgZy2z4UQOZ0+aA6Nzcl6l1vF0eEPmlMx +HWvkoPlFsaKfrr9eS6YH5SKKOswbYLXiWtV44XqseXFh42KxjNrk8hAhJDOU +zbZVlY8QnLRUgHNES9+uoKJH/aC6jt6h38S/Ux3Gf6XaTtqq2sx5TbX56E51 +XUFL9YT+9s4vOkotLf67Wld8pMrXXwUlR6hC/VUA2Dp9SeQjWSPdrTN/k2z7 +cQZNmX4CpJ2PGDAOHcvxQpl8POEQs8VHX5velGQVxSWRKorOnhOjRYQwbj/u +vKDNxwuKxujibqfQxNLFDQP1P5bKMw5WKvsTlAQDtVxSOQNCOhyiccSldZJz +h9OSECFt0AMOyERluAkEhz31nVR2U5GVFsGxzpPK71CPRWhgZqk8EXmlEiP9 +WbXH1HfXa6k8sZbDRs+lCUQsFbg0lgrUx+Z6lwrcIlKBm4LOcYveSOxa3Ovj +FJLKjHp8ssSRaAaL5iu+L27EKcI/EvdFN08qXyTbrrKy+tui0PDWaB5Xy3Gp +vF5IZW9wEK7i/6hUjiGCA6k8ZWuygtyruwulcrJLOlzDZ7o1cAUfODISt8bl +gVDyeqPJlWEbXGRw9gshjvy9Cw+Zwxo74JQtqZqPhVtLq2yooP+yPust9WG1 +9WpJ9fX6xTNfa6oiNfmiz9SQq3ao3v2+V1e8sFo1WTpdNdJvNI2Bh7/uMX2y +DVcN8eMchg+3+rgyeJxmx0/oj3dM0B080SZ43OkH/cHuQdd4eTBHphOwIT3o +YIWAW9UFBY6qIdpWGLaCa4Spn7lTED3KwUMuIGt4prTyNK7cGXzkAUCcq8Mf +yFAd/qgEE7Uxb0pcYoVkDWFlT6sNt8E/fRl4s2Qb8xAk6JpmsPDBmcUOWUeu +C4QW5QQU6IQ/OT30VxgJ/YUNK2ZVxxr5GTpVx+AQIj5Hdhp5W+5g9UWlQVor +Vym/Vn7JGTFeqIE1cf+eWtVp5pk8Zs5Vv84KNPNsXzOjtdkGAvmgz0DdkIHA +NYK6seE4MT88KhkItNWI8aqWMAwo0XavUW0dd3Yx2s7WtIhEy2D9QHiQFvF3 +W0uz6RUwD4fTaet4BrGZzAPCY0gHlubLpF8vtYbcPCVchJwY0vnEkM5LMqQb +CoZ0MgwY1rTw02KeizksY8280mnmRUIzzyt0aykYsPCoGcqbSDdzgRO8duPT +g2mYLxj93PH5r7IqwAGTdaz+8df4ax20tr5M/9m2+pW95ZtF6sL5a9WFy6aq +C9c9oL9nV6q7imqrsSVH4UoAZsLwHqQ/3wrwQWu9vZKe/ctpVAb6eNFm99GH +r0szxJJ5IjWqPG11shydOSe78V8cLyqSwcXOWwV+RaIwU0EK89ZrDr+OmLdU +kww5vwgq3DGXYk087rXI+G94muI38TQRec//SCtPEU8JUd/WyWPf7kxo5ZAY +wBbNUBB4m8abXI1bf1EvkVEQtHa4OqOVf0jB1W13/jv5FEjg6mSVOCdTuNrQ +RL15drYhQ9jPJFBMpNtpZWddtlr5xVrO6xZUrPy40B2ftspwJXmR1gg/Wz4T +NBwJFHLTUivbVQuNFOaVuWoxWPTHhVZ+oEQ4+XHjZhqrDOWzGnqTrgq8Sb5W +bieEsvGnhTUrqULZ3hcPZ2XdZE7AdX++Vm5IpbDOmubQZJ5W9kId25NlVWP8 +IWMXvjWYnDAw6V72qAkBkqwfN69cHyE5RkbLw6gKOuT82jYiu3j3azPM0l2+ +Trqlu6x/tkPFs0r0yf5+jeKsY7CuBUTUe1pMzdHC6rWGW9XL532lnrjse3Xf +jbvV9QN/VB0mfKounLdaNVuyQH8Ws1Tjj6aoxqtf0v8MLYPhL+OzXjKKGOYB +iL2fKB5nUzHfblhzr1FSx0yQGzOxdfkHou13uVXIjWgUZBRy4EuWrb8Z3RYy +xefPCroIYoqHx4h1pqTRhLyZwf7MeIygM0XODlyUY6f90FPZc0GKDz74cJLs +uS3Ocm6LRdUc1tNUwAruXHa6L9m4LcqDxciskDNOkbOFQs41CnmbVshfVB6o +vqg6gJVyOaXyRCuVfx1fU/324pnq90nVrWT+t5XMlYQzoxJJZnBm5Bpnxpwc +b8y89z3H0PAk85JAMq8IQHVeo7jwM0dcGZsCP3OmFKAD1B3hAeo4BRjWrows +dS3ig4qPxVU8jJi57taY9OQzIu5lNnA6v/Y2OWIOG8SFl3mj72WubRvrZAJM +pgCfMg+MtWEKcAqdLzMjD415mAQ0Z4t7+2ZQXYPFGzDzwE6uC+0bOLm5SDbj +GQMPkZlOOrfXZ43WWvrK0yI660Q8e+DX4ffhz8Pf3/xd/c9duEw11edcs9XP +qfM33KdaF3RW3Yvq4esJfAYw44I0Hnx272CNGj7nK8BgTEvmeeSwmEsf89v6 +j/GbEaeWXk581A5/wRKZ00uWQ1h8PLkuqBbCNq7VCpp1HB4l9GO2sUWEPlBL +FsSzTK7DjTp54qNNBTqTTX1V2TLZLR3X2KVjqkymai2Uya/w1uCLuBZIkckS +rCWbjstqJZQtbFYmh9Up3MTW3g1PzGNhl21je05UaDFkywLnkJQkZfIn9vm/ +AJgYZ4FMLnIh7pw8OyD5yNanmGN/A8abYs2EM4KE38REwu+zao9rmXy1kclz +XJAaZTLyPU9N+JS/kz7lUCaLLRzL5MKg8VvKZHYkvSFMai+L6QHLZM+RVAKO +JDM16GWPQGJhUAjagOZcZUpnz8LfLhHjiJe58rFnmJx8X9SVC3mjkbOyrv3T +ZXKTg5bJjOb8ypfJonUO6zGGGgUlw6+8i4+xGG8Pkl33EuC5f7Bxeaibv4OX +s0UfKkbW5chcERSTA4q58TLPFUE1sWTWb5Za1mr9VAEmzvpYh1dN+A3Q1TCM +hJqiCRd+ixDIhzvvUXf23qeuf3CP6vz0V6rNa5tVi7kbVLNFy1UjCKDDphOG +wSB74fG0akYFSKxnTSQ1PBO9hOZ4e4N8FbNpQfquSWXg08sddYZt8ZGqj4k9 +08/b1PNYuLJJVMezhDrmVhzAnbwkGru9cnfxCvS4SO496oO75QzZci7ujr8K +eTNk+RmnzZBFo6CbIe+2M2SvsTt1hrw92SbIZGZqE5S1J7xOWBwyLsImQeGz +4O1brPJEtnTHUnt+3YnzWGScHwt1vDVHquMH1RdVBmiF/IDaXv3+g1TJE4z5 +4u9aJY87R/024SwscPv9xTOMWp5cTf0+hdVyFfIxVy5HApDTf7xFPN3HOqek +/76Rhoy1cQ9z1JAhoitSLcse8LJIc2zI4LJa2ZsymPC8crh8SzEPlyH5x/5l +n5fRVfIyBPw/wcuI+pdv9HgZDQXKuU6+mzpixMVL/j3hJ/9sAox7MhxtLjlg +XiCklUkANoTWUtEHDs+QZu9vRMUMOyseNMNbOaheMGmAo08r56xDwOmlZSxA +LFvqX7sYVLH+sxe8p8+s99eqZouXqqbL3sH/pmZrR6vz8+5WLbWsvLLwPP19 +raIfyadqCXscJu7AXw6LgBn02c1CKWymYW/Q2w/LYujDmeZ50/9OnTgy1Xm0 +NdtAgs/0ux9HhQ68VRZxJex2l1NkV+qQLCXuGHR1JyNLTcTCoA5zkKLtaaHB +hiVymi8vQg4sQyIzAMNrny1LIvNqOQN79g9L5OuERObnQ6eAQdsmcGIGmCwr +kYX3zsJE6blfLghGUN6dOdKX0jBoJbKJ9IFE/lZIZC/KR0npRNlJLMq3wZS4 +hvCLEqRvurJuC7/QX2zan00SeeYmn7bpJ1yPNpMCwMVFJLI17aNEPltMCJqa +e6OgeVZWP7FTy7Rk6enVRDWMqGQHUxzqBgNrn/JjXX+RRE6EnBPdJ5lwYbtd +MbMYMoapvrRIl4Mf7CvXNDlpTHJvkTLh50mnFJsqFKSQXK4A900FEM1Zp+At +BA4N+D34c/D3gPyCf+aYVjvV8Mv2qAH6v69vn32q54C96qrR3+GesbVWyRe9 +m6+aLVytmixZZoYzoJaXv2fCyCve0RJcH2RZLxgpjAHld8lKMZ/qnBaakDru +QQGMugL9g3ZoTGUlzRZQall8ltJDKPFvVhqDBVkuzryy7QgCDo68gK0cawaU +x55NMveWrhr6bK8TYIur/HxGGluZP1+Z4EyALaQ0DqJ6dnjsFa76KedFZ/hQ +C5TGOD1Ym2KvWGiPyJg0LorE9DyghSgrkdaKGMyCI3pbcx4JBsckjasZabz9 +jPvUl2feqyVy5ajl4hD48RGhLh5TW/327Nnqt+e0NobuNTBe4DT5DPXvl6ur +f0O+b1pVq49/l9PkUB/zNNkSmSvGa0/CjB9sEaM0ZhfnDs0XzJTjSbLfLXhY +gsT8njBfcL5veiTfF5skP2QnycfSJNls4aVZGSnMKVSMThnMym0TFGZJRTAj +FY5/1yMyQl0kIwQPDDZfhPqYHxpclpXYzs/2TMuNmJCxbKlvwmCNDIcPvpeb +AwgeJgBVAq0M+AStl/Wb2EVzi7L+gT+HXwebXzP955ssXKeaLF6pGi9drP9d ++mG1ajoaRJppfX+B1oqX6O/B5fphChq0l9bH/YpPwvEuBImeoXDRS7QVnrrp +7/+jpm36u5bfU2gCNok6zV6g8uBnaRrGcU1XE+kkcT8yWvbB153jtSQ+KXjs +x/CAFwakWNF1Fulit0vkfJfkr0vsq7p5jhbrQy6eELJ4fCCLp9NoJZTFsbhK +KIsLorK4zUFskR0DS8jishhYGfsAhSy+JhibdIoFlPYmRmMyljLpwq+TpAs5 +JiGbXVQWV+HRiJTFsU7AudgZX5CpE9BGTEx2b0u1kSiL92pZbEFCnhHZwIMS +RuRE0TZl9qhoG2QxNPQUB7LYEi4IKO6YcE4WSybcmNKj8FaTsvj+EjMSuI2O +vOutLK5sjUeSouz655P1qaEmDhdmjEv02pwEYLyhp4nxeMvK6v4fyeL65ZXF +sndbThhj+3eQUCPjFN1MXn1ZrByty7wuAMFIJtgVYrmC9FxBOWjpS+Px+jbx +mWBusgjLFpgkw20DSxd961RQMxtvzToNVTDcSTB4BFAG/FFQ1PCPADUG/4rH +2+/W/zl71f1awd+m7/IbHtirrh6xE0+Py/S3rZVWpTDEPX9+nn6QaCW7eJVq +/OFyxDFh5/WyxRVAMsNfFmeNp7XmUlptrkBIBQ9sEFRBWDceEtt2EfKTM97N +2Sg+tc7ADhTIc0Bkmaj53hXielYKF0b37GTlqfILTjwPihzsywYHVgqGIpc1 +LJYfKfLkqXI9BkR+W9T4JVtGjBrGeEZlaaVYK6wUMRiyC+SlQStKUqAVmdXw +qASwgtXwF7kPodGYbRRfVOtv1fB2rYYBU/jlWXezKs46wkrhTIPj8VYgHxhd +R/069hz167iz1a/P1NRCWX89f5YnlH/XQvn3qdXQqfxvTPdVVr/NFEAMtl28 +HTqVU6pL0KVsBiQ/LP2Hn+xbeWLQDSgRcrIdNomQCytLYlEutlyEqb7JpK7k +EPmJQFXJIbJrjuU6itNdH2AadJmGjc5ycbnoA4Snx1Ve6ptpCLyT591jXc9y +wak+f7LCGLFGa8mlvMZP9vHw0Q6SV1K6j4EYy+lNfelisnYto/qKVQTkXYuP +F1BfYMUA1FgFQOtoxdpYP24AsgOqDNCUDfRZhvAd/ffDPwuUHD669KMMWpdg +SNp0w0Atkm/T/9uv1k/Udvi0vUa/cNxcdAqm6kHRApAEBvtP0xN9PIlmeMJP +pP8/gRYBz9HniApZP/GNtdL1O7Kvoj/RLKBMvQ+ogGIejklvRezF5/wwlpTo +VIhBTfClJz9WN/KApcTyC4+PgxOVIww0SSjld4Jg94eeUm6wmKtnNpZTKQdV +sClKGUvVBSY0VMocVslY6tcrrpQHSuCRWDAi/4pkgMeEa+GmY97kJKGUt3jZ +pPlAuajJi0QZRFlv27PjStlQLnylHEb3XhBh7Ke1Uh6lvu2plfJL51gbslXK +1oZ8qmdD5sjel0Fb9ueiLVsq5Y36az0t01ZYpSxZcK4TlRMaEwKlzCy4gVol +31/iLPo3WaV8uliuIAsuK+veiFgG+xG/SIr6U7o9WpRLLD8YiGVRdRnOAg5C +KXPfRH2K7XlKWbYkUwCjHZVnS6V8he31+7rcSrksaSVfJENkYqzjL1VWtTG2 +ZFbLT+rbZMwlOy3vgAeNdpgsFPOU877UR7q+eQ6BubLWyHAfgSYGKytYWuFv +gvsNtNrjbfaoIR334pstxG9B6t94/z7V49EfseSwoz47LtNv3nC+wKIRvsFg +C4alZEN8PsDzZA28tlTQf/kI/rI66zn917U43a+/RL/l6+dMo0V5aCmWmli+ +1jDIDV9tgsTM5VO3Wl0MU+IrIYQHuhhecaL4412eiSJWFuJtANJa+LgsREST +5RYg8boDXjKLQBYtfFRgas66ncJbDlnMb+NlIUEQ7y2ti2fX+5jOPRfDsJNi +aaKoFGnfy2agW7wkxA/hZZ4Sb7Kte7xcG0fMTNPNtCVNF+cM1tqYdHGVB9V2 +rYu3iynx9jP7WV38Zc27sMo9bWoclcfPO3n8eD114Ina6sBTtdSvY7RMflp/ +PXO2lcm/hzLZzpMDCIYI9MmaKd9vkQRg7IoBMLyOkuPN42Cd77XgCiojk+Ne +iwRlebPf6ucF+fRXkhVHw8cSx4rD2myqQuZZcq8itqualLcBIplHhtvHOyiS +D93nAUtHa1ttvfGfZQT5+gqvhWv1c/CLYQEvbqx9hjS282R2J08T6YdZ4lny +TrDUohQEpr/olR4XWytNIgIXXKu0Ql6yKitb/5V+eelyfAzhSBqixlp9oxoH +7ob+z4DYMqjBJvoReEF+H1TH7bXKhOJDwOxdW1RJf3tP0W8kJ+DbCTytRxCb +ClzEwKmCkf+40qP1Ua5/pNX5WP1rT9Hvj6Zw/gh6y3mEHJX8pnMPzY/dSgCc +lf8QFNgk8s9VzLQIAnoh38oVM8rGMbBWNKKPsI4s48sblMT9CcBVY9F83lTU +y8TVscxhrkpRx0V/mTr2bJYRdZxo5eM9Y9qgrBzqGMN6aerYMuA+j+BhY7XX +IqZtwfgrI+rYD+s5F/I0MSGZSNMRY6Mz6riHVse1RK9IRRvS2xVRx99YdexP +DGBa4GqutTredBiF9P5GIT2XY164GSYER3jqmOHy7NB/ho68kdad79CYd+Ke +5TiaCJxIE4Eq1P5eKyAlS2nc1usWYWmc6swnKxk788PQRQZpnJXV5T9Xxxbr +w1kuVseiY2IqMV+iJMxIjotX8VpgdcsksFIqeCT3pTyzZEkDM04kqZBdjssO +HwOVDLfOS1psacFVAeRyVg5q4snml/C3gY0BfxwENvxjQCOPbLNbDbtsL7o9 +4D8NBD3o5Jvu26euGay/BVpvdhr7PZ4moJXh2wnmO9C1F+lv9wXvFaDmhcVk +s/dBA+dVgPBk1njcVzZ938hiaAE5b34BHmIgjeFvbe55/FwyBk0U/Km94mBM +HqdCS+QrSSJ3fjpmpggkcqYkXrAsk7yKsjYCnlnmSr9yMX18bDr1wo0ARy88 +pluKmUIu0iTtr2xWBTOPF6Yyj8viVECSOb0Z5ImU0TEzKpxE/kJI5C/RSCEl +8p3qq7Pv0DK5r/q69m1aKlc6CA/ys1Yr/zLsXK2X66oDo+qoA6O1Zn7Saebf +Mmpmkegj1jJo5gOvh5rZcJa9br8YACOR5jvJauavOMkt/ckWnnuUe1jwKAX9 +F39LbcKWfOVYku9lGivzaGWsHUX6/lUWW86/CmPIk2kZmUtp75riQSJrXcOx +8mXeWNlvJeEHChMR+lBL0R2WM2mXk+hPHpxI87nRMmlmwdMxhAQSX9j1yUwd +kY+AEfMK0s7I1/kAR82Nly3KOgRXYznY/2d+baH5M8vnGTMZCDmtxWGE3Vj/ +O+G/ob7+74IITqO8u7VI7oUJ93b6nQE8KV319wkSkNcX5ahbaJQM3+tB5H2B +z+AxEsGjSo+uAMpZa+SR9BE9RlOwofTHB9PeGOwy9wX6uJdAYict5fJjY+vx +RdF0Xnwb0FPYj28RlvK70X5cm9GgsoJxfRC+XCPqWKMa+e3/uxq5v9PIZYmA ++wT8LaqRuYpVa+ThQiNL+Nv4AP42JUAbSaxRUiMHQItcAX+rWDbQIt7Fx4+B +50gjj1bfkEbmwibQyLv1UeiaRE512zVhQZMa+XNO6FFbNWhkKG2X4eWP6Lhb +svlwimIcYQt2XhejAd6eMQyReZiskS30jY63a3FrVlFsWGoR9E34kKLpVcPz +iRUtNRNFO2wnqxNY8zNr5Jeysjr9ORp5XpIIFtXIsnBHauQxO6xD3/WwGSuS +peaGJdYxG/JtERqY5COGTn26TTyd3NYnghnLKutk570ArQz+i+eNB6MC3EOH +gO7KysYhJagwEMTwZ+Hvg2n0yEt3q+Ht9+K/DybZ8N90z81GGN+sFeO1A/di +Qyt8H2C6DscIUPZhoAvqFQa9YIQAQ0QL/b0GpQvfd/DoVYADqgL8NOsZdE3A +WcVTYhF1MVJYn1uMa7N8JcEm7ShfZOTEmM8xHvdLME8MTMEjf/HOn/qBRc40 +nBqH/aIS3wYmGdFCzi81Yy/ZlfAXJ6J3TSOleQS5nBPUSy+QNSDMhY+6KVZS +m1LoLfbdFBs9N0UcSrE5ijZ2ctibGGcLOZxr5LD1FafK4bs8OfxVrdu0JL5V +fV3nFi2Lc8s/QX7OqeJH6mtlXE/9MqKu+uUxrYxHhupYK+PAePGbVcfVAnVc +ySHipDpG40U2BbYrJtXxBxnwcGi6YHfyiQ6nTI3XW6XpwjZeG9NFEVny8mic +IjkXnNybHyT3ws4+h4Uzi3p/mnyMnSZz80gvXNCfoB8fJ1u8vmk1PluEvOU0 +uQVFWNp4zSNy1NJqY48AHsqjSLOoZ3dyHRn6pvRe/Q2U3rMTZba2cvKb7a1s +voAU3zSSXjOpnmKWMGHMpmYSik/ASHj5uxVAl2lhjOFi+CX8rTkIq0QsD4ri +V/S/5mWsEgXFB/6BeloN1tLqEBaqMCiHpyY8ReGp2qWgGX7LemiVer1+0YC9 +LgSE+pEhfBD5JLTyPQQkcAX4ULQ6foQG/QNp2H8/OY3vIl9MH9sMcgJ6Y+Al +5hr9EtMDX2Kcl+KqxOC/RaSUnEtiupVB8OMOMdm66y+Nk6mjmCI2w34T6j44 +Rcz+vIv/A0XcuSxFXI7kUXkU8aBuyeYQXBhfmkS8yUXxixf5DCtbkdAwya5w +FQlOEctgHu4UsU51WSKYl2c9duETId61ZxXxpFreQg0V8UKniHcspSL2oI16 +u2ij/kwE8jbj4uww26ID5eyyRYcV8RwRTJ5OXJcX0/Dgxcd6Je23IM/FKGLj +OapGPJck2q2Th+y5zG1UEmHVG+wx1jiqiAeWQxGHZMsZWVlXlFMRG85BIwpz +xRXxJl8RW/rhtgT9sHOQWbWMXK7bA0UccSCX1SMRmxxnbCYWqnhoW59xMFrM +HseSx2KcvnXg9gG2hVbHWX+DGynrNCx7f4ZUMCxn4O+D2254u724+scRcXfD +7L1bq2G4rcFeAC/D8L8V8HZgU0BF/PxX6opJ2/F7hxQj/f2EHLA+eiqAuq0A +B5FWKeBjgSMJfoa/kfW0OZ6s+CUL8XTHm0jOg6nfgzHVAtAPNg9bHi7eWCxb +RHw+3mRfusTTPqNgLlze9mhTLC4DFXIunAzZeXPh0Drh4aw5ZJe0TixF64Tj +T6zioEV2jM4W4xeH7IkUMluZIvgx/TU84E485IXrtgs7MYrgs+5RX4Fl4uw7 +0TbxVS0WwX1QBH9dt7f6pt7NByeGx6EYzj7ycPXToIbq50fOVT8POVf9MlSL +4uFaFMPImETxr0/6NotfY27kydUjWIvAZvFWrkNaSFEsnMjRyB7nUmRkT8Lf +qG9Nwt9CnIWJ6/0tYbFIYyXbOj6ao7wkx8WlyXHxoES26zgsse5JJdYODloj +Onc0rlU5VzEWi/YY1+MnSayOr5fdz3O/CJcZuzwLt7YOIcXFsb3RpLrYyWrG +xo3tE8YJY9M5MsPUeqISg8fNLH1kaZ0Lf3kTf5SVTbL5dfOn4O+Axjd4Vmk9 +B15Z+LcBrxQk+rmgBfV/bZP8Plg+ClrS6OBLVeeCC9CEApPaa4oqIXG6l34W +w+vGXfT68YAhVOv/Cv39rwCZSfyRVsMP0tO8P/3JewjIdzvNum7WSrgn7oTh +hUWC+dxLi6O2NXWz4YgNpj1HKq0NxvgnmkfgfA0szJXV8ECBp/Cf+JzBbxwp +BUmqYQHmS1HDzcqhhhNl4xJVJZD4nWVmuyw1XK4GhGD4FUI+U1FVu6gwLFTD +lEZJNCCYClUfU0GPC85jk8vOYioqxjEVeQlMRSyP57r0WA3vkWpYdueVB0+R +F1fDxVoNc+XoWn2mIZ5Cn2eLbRTZV8NhWc7TIlkxJIgf97V0Q/OCb+6V6loN +10SPUYLgVhADHSfVsIfj2Xh7GWp4ON0bMmoc5bzDrPhg1HBDizYgzLsgflk1 +/OqnAQt8WyLE1Zlujy5hY4SlfWWguEQCXOEGvh8prcSMWI4cpdtYzIlHCD8F +w5BhzutUsZkXQyvxMxcbj8WzF++oAD/RZzr8lhHFO3FqCe+hcAfCgh/eUWE0 +Df8JYH6Gnuy7e+1DpxT8TwInFfxPBlIHCFAUyPrFAV4i4NsHvl8YucNkF4Wy +Frr6JNJnun4FyRqP33p4GcEpMH5tMSfVdIMHxY8EPcQ0FWZRLCbDV8rJ8FhR ++srCWJAnIGt3FdYcBeUeYQle7OO6JWKYyDDS9yB8GKPcZ8qiqQiPP55xZJjg ++iN/QkwgPmuY4J4XcIv5zEqXt4vQJwSYx7SHSjDbogDMFiu/i3mKY8jicdQq ++v8zd9ZhVlbr39/XECrGsfUoDQqKdDfSIIg0CCKCGJgIoqJgACaCCmJ3YJ2j +HjsRO2iwi5KUOHo86l/7XXetda949swAnt/rdW0cBpiZvWeeZ33Wvb7hg/E3 +lQ0YV55mHiquuKo/HXY+u/HedFjAeE3dc1Assaa+D8ZrG43Or2s8ygBy5VKI +KG6xhPzvS5oZSm6S/3Vy4/yvU5iUYXw8rWH+t2tDUq6bJuW7DCnfc3T+z/vi +gAsIgKNwi6re+FiHv4XtIlteVe5tWDXeOjwQI8ekLMKKMPhN+/ViYUV2RLKu +lXCBR26+MpPVrGHo2wTdKLKMati8YDAv9K1xwq/XGRnRP6GPW/iEwiwpLzzL +knIj1WIspNzAE1dcowqLbmSBhRQW3aZEFnc6cTIEXnxwPxV8vv9QESRflDW/ +PJKrSiEYyMIPMg/fiwIN+BggmADig8/VCLn48nxj83XB19nafN0gEAHlATxP +IM9+i9vj/AlWX3DEQxjISEOxo5cdhDsPWLEv5NV7As2CiwCFi+AtQ8cTLBWT +QvJcVkvA92K0HeUfosgYxvlHm88n3xO9e2mt0ik6e767XspY1G1xykcpp8M6 +nUqqDpz3Dl6TJgEBWKGLIoCW7wV0jKVRz+9WOu4V0vHc1dkebqHjIKXKVulm +9IPFnQeJlKowAj8oifJzjje4Ol3lSXFiOz/UMxniVkulVaAHRdIqnAfvY6sy +FjoOPXiaju/xwjq/qnmToePhRMfae2dTKviIbH5Ql5dqlg7C28SHTCkVjo5f +V/czCW97nDPA7+NUlzm8478xQcfjVPb3SFQXH+7yjZcWDjbsrei4u6Xjk9Nh +lYv8MJ40HesgnrAFyUa653InlZqOIe5A0/Hxio67pej4vgw6niWH8huZjn2V +8WBWUNgwF5V1nLJxhT688anArxRyKUK+xiopyJMH816cG3dhSubZ8UxMtMDL +qSh/C/xi3spVwffP4FkxXHIw10QsPoHQDj4nfH7QzAIOAhrD1w7PBVASbgVD +DWqimgLw2Lw2MLuF+TpsvBGRzWsJOw54XQGV4TXu9eB3BpEhKX82zYQfpPfj +4/7v3XwYWjvUjNjphlWCiNUO6zm+RmIWTOisYr6FhRpi6bwbm1C5XDQqCNwb +rkQTiXlxmFl8Q6IbOmr08ObF3+Otzc6LGwedd3Vjq90bel5cRW5zqs2DRROu +EzqlIfb7oJenwth4MFDsrLiymhUzEv+QgcQ/1r7I2esAievAnFghcQODxA3H +WCRea5B4XZOR+XVNTy0lGs90aDy+Wf7fE5vmf7m0Sf6XSYzIoK64yg2TNSL/ +NsM8FCKjwuJ2g8h3OESmYTIh8p9eCZ/27FXx89+y/HqvJQqrFyi/3gfSuBpo +jxMtIojICXWF9uqFuciypDwSDJJ1rcT1y1XuGwtZ/UEy4PFBGH/gGllrJXPf +fBTzj+yduoIap/SxZAqRG6slpx7mIzOSfTYZJQyNPr3GqiyafHJ9hMkUczGH +oy6gzejOImBe8yNFxHwPUDBkU35wbxHK/KrwH9xJg2jzbwmNZ+LHhs8Dy12D +TychsjcxuAjoCLrpjua5APo7RO5gXo+WKF4cYpZiGL7D9gKwFrYbZ7By5Vwe +DF+w7IBcWdiUGDw+nwvCBYtxYMwCyVPRbCdD40qExhgvcowqDW+MgkmV2cpa +cD04dsY7lFEsJv9kJyujUMLiRWOt975BVHFAahc/i89FjMR4rPXfBo/fCfH4 +7d2Px3MK4LH24F/ut+Vl4nEU5sajljDENcBjnIalMo47+mFu96jRyiOtQjx2 +ERW6DSrG409RbOfs2RqPKaLCx+NUuzTg8VyLx2tOM3h8X11nvmM83qwa8iI8 +5jbpHz5x5jsJcQM/BbZJryT/8SeMxxjiFuDxP3SIm3lIBvhshcfTVQvSRK7G +IXUYHITJdpLbIy0eN1XtRyk85m09bx8jPPYCDseZh946TuZroxR43Gu34XEX +i8fFlKlpPM5qybnS4bF3vqIukeIsXBfpBLAg6cDGVehcXEEwJTYG/+q1Xbfa +QbLB5CJg33JwMeWqIgYDOiMKm78Df39qd/oY8PGm8KQYsBy+BsBBQGL4WuHy +BoyE5wWICcgJzx1uE6DjxXMnRON1iLDw2mGvKiDyXT8CJpeBF7YI3iqCAXBu +JnrlcAw8V42CZ6+jAGJhX3nRUSysld08uVc9LMK/wy9x9yg9wff6OlTURNIN +CdIvNRbW/HulebGuYv6FF9Cl6zkty212LBx2dqxS9y5nICYf3deZocShj07r +Jd7NjJp4RUXuhIHEsYdupToYo3vbnEz+/aZSMBIW/1w1iZi4xGklaiX49zjm +37o+/65pZPi3MfDvaZZ/1zU7Jb+u+fD84IqVSq6hmGUxeMcFzfP/vsig8ASD +wojDTQmHr6CJscNh8zA4/B/E4fqIw/+FGAtOe/t9NuHwHyEOJzqpfW1FMDH+ +VwqHE2LjVFWI0lXYUr1FGbqKlX50hbbhvW5teHuxN0Wlu62M0920DS9Od9vf +nt2PRj/XoVxGLG1SummtqX9mn4xBFvSSyCM9mTzNtkvR9CXUVkhdyCWoZrWV +IXZqzEj8sSDxTVZnQYV7MLm8Dds+Z+eOsojsHnNYBXsb4++sfFPz7xGBP74O +NRygnm2IKH4xfk2wBMJyCEsjPBdYMuF5wnPuu/h43BrA64IT4yW1UaANXvgR +ZksB+RGgMj5jKamEzZJtftrPQRQeywgM25AzWUE8mj11IziRjb4PMCWWyf0x +uDUZYjUUwaR4sd6e+B67Hsoa2VlFU7dLWCOjJrwomvoG1wueWPK9tL0FvN57 +OPzG7sPhrE6DQjicUR6dVflxQcKqbdd4bSSS8YpqyPUS29Sy4uPwKrek8Egl +TGyzHhQepehsexmj4BJSSWdSpPx2GocfsAXSDodnMA4fxzjsTsX0PS5MarM4 +rAqjv9b2YsZhOQH7gAvW3zL3sNeUcULjsBSqu6Q2yv62SW18D7tA4bC7f1XF +2B23lW+K21Z90hWXqcNpypAAhyXF0JVGCw5L1I7bKpYIh1FVjJfHkzuJw86K +2pkDwJLtaVG38JpEt/AmLxB3YAm7hbMsXF6/sFavqsvFU7AmkBiUxkBmFovN +rlKmxwZ/c2XhCL8I36yMJ/pwnYEQA645wDrYksK1iCPiQfSJJzITjxMmPpec +BKA8gJE4PFlQJ8C4GEa0eN8wLxDeR8z9BBQOqHQwewqDuuWBeXO3YAoekS8L +IW5VYgiZ/loC3qxEEekUiVAYoV93MT6EqmHbb69uURePKF4ccVWgY0m2FMok +uH3CPqcswr59jsURaifv2edqLimYJvFhxXdQHPFxdBujmPZFURBxIkmiEsTs +3FGwkiOcAocpEhS0Zij46IuximOVouBV1jLnCyPWNhiTX4sUPCq/tslp+bVA +wE1HIAX/ZCj4p+Yn539qMbR0NOz0EtvPaZHfcb4h4guFipsxFRsitlQsQ+JG +joqvq09BFTc5Kv49pGJtw4Pa6YcDKi5YEhJQsVUbH+4CKubr/tRD7IB4VTLQ +TZWDeOEUegVRmccrnf3uX9Z+V8H6Ux5UYW6h/U4PiHWYG64oqGY9KEoBc0pW +8av4mcf+Wb2Ug1ASWDYVj7Fn9rTSUOEarTZaSzEJiYzK9ISMp1KGrqXjG4iQ +P7oJSK0IMNkAMc2Pb8YHgq+BOFis4K/DP4MPQRB8FX4KFDmbha6xAWEAdfjy +YD4Ei2NXs1DCU4JZEsFwR5w1wcsBMgbIu4OXCl4ymg8fhjNeANzRS0koPMag +cBFMjA0Qj2HxMDxG2XCJg3gmDMe/R+KmhPL0jmLBd50AhuNvBdmHutu5l7PY +iRsyo/V74dl2wY/N9bHNzvbfJlq/CwLxfAZi7jCEFX+XgThqMygFEJeg7CMJ +xKoIKguIxaR9kzprlFhPfc6oe1Hnid2usQ5pW5kIaeMAisqfqXNFMGMXCqBw +PXhwlugDMa0eHhC/UDwQy61tFQNxeO71peco1sETDohfVaaJZ5QU7IGVcM4l +3Z/7UTgbA/EUJQGD3BcMMbRAfKS5ZqopO2pDzIpxQHx8sJFXucWLtQ1VZemo +60MDMQUXps9O/lIgXlJCIHaXB0YY3yYt6tpup9yofIkMybhEdBJu0s6VVSmc +iPryxpR9fLExjisBjHtsIzDm4xYDbQaIDR7nqiHAwfvgz0B2gTx8An0M+Hjw +seFzwOeDz22Z+PTteFnDaBWeB8AmPD8YxcJzBjhFlbGBVtgs4C0E5sbCxwC6 +cIuZ9ZP5Wsyrmbs1328Wj4EFhG9mfwPCML/O8HHgtZ6uxsKsFJbbkuTiDNM2 +uhQUnxfM588M5vNhpkRGEnEsj9iW2dLhx6ypTsJEvqTUdms7XfHyCNVXZzMl +3oj66hYlrHROMVx8vNrXNl7tehoNKxudZEn8kLDRrao1IQOKx+ZXY44EjYbX +WmmEQPFIhuLh+XXNhxkgNlDccqh5DM7/1GqQgeOKpZdMVNgzv/2MVvntZxtC +Pten5F/GG0K+2DwuMY/LmjjFMXrzGtrAChvnlqLkKKziKI+Sf3+smmsIYUqG +GDevIQTmKay+0zFum5TSeEMi9jismA4r9L5YFjaDlGe/ih95XJwf7/7Ij7dv +Mr4t9OONtjKKw1Ex6/vx4sjjvglF6wmZlJyQUihKbmKrpsdxNtgE85jIoRWX +4VG+NIYA1opXr/En04pgfYJfroVfrsO3zF2UIHgacjX9iymYNEYT6Yn4WeAz +Nlt0Lk6xARiPx+nwMNQawsLZ24Pitjilhb0CaHxhiguTdZhQARgD3J6C5YPw +KoLe8UDzc36a+TWPTrpT0U0numGZClfkCX11ntILDJOG2GVNtEQoj8UriVfd +y5yQtjs/HkT03fUjLbEOzgucdplA/LgHxM1tGfSr3MPyNnewvI95+cUDsd96 +a3uhSgzEZgW6aquXez88VQqtT4PDTo/RadN2ZqeHbb2V1cWNXO7sAAePa1Qi +mwLiZt+q/Ims1GIC4vkGiBdkADGJ7NJAnFUMbYH4/uO82B2XxHY4504cFuVO +pIRgFojNXWtJAMR+7gTdtfS+HoD4bgbiWxUQT2Uglv089t3gCcvB1g9x8pLq +nmtYJ7H5juFemUDcPgJiOs2S68MD4k+udqcnH2efnljBRM/dDMSfc4mwuzx6 +3yftdz+ShlUDcRhYGObeFkgu1gcpElzo1abhpcJQFlSnWeHE4MB9pybFOLmU +aXHP7UVwLZl7JjAvJLpM77bN/A5+rYK/nyosLDzciz4M8B5ET6BuYohiYvMl +jTNXMvA7sDwMuuGqH624GOfF5iUACS/qSMx2Gl4ifKngjnLDplwRkG452FbA +W5tyM5l62eELY3e478ALO9XRL4zgB06h4At5kYGAZeeh3b9ZBCw+h6RAWAcN +B63cejSM6pTubjQMvrkZkW8uFEgo3xyMhkOBl0qQ1CIvTyBRLRRI+ALhdKpa +KBCWMAknELZhEpWywyQgYPhbFAjHBJwOkmC/nCHg1Z44QghYvHJjnDAYxRGn +xgTcYogl4J9aD8ivb9PfkPCRpRZNGBDeNsqA8JiW+e1ntkQgxrHxec1JTDGu +GWmLDRD/2wJxYwvE/xELHgIxjY3/K0CcsN+FQPyHBWLuA4lyjakLRII8t7/I +Zu2Xta5YlhFJbfNtKTbU8xMlpMgKqPA0eGS7eyew3ek840K2O5fYth/aVbJs +d6PsMpMSUjSMdcWLQ12xs97ZAITF/thYDu+pMo8O8FFQsehcjgYjKMZOKUQ1 +ElbU5xEyiSuuQHRr+OkUc7MyiFwGKA7fMiCM4At/av4m/YtLqeJ44Xjz0cfh +QgcILhAMXxF8dSAxAJkETFrhmcCzciDcEp89KBRhEgULMGwXCIYr4Ss2HCOF +Id7jEPNzPmLpIUXwW0PDtL/4O4+CK3MbVw3urtUE3MC6G93LLCPhjl5Flx+Q +J3JIXd+dqlyhyXxMwfocWCdMcTieUPD793Ir4SNMwU9yZPSzfzEF/5g8CPaa +UadKM2pcThDmeHoUfHa6uuuSVHRREGdvV5hkChutLtSCyhSsDh01BXu5E14K +m1Awp7BFFEyzlIVR7sRjagXxe6BLTMHvHJbOm/DS1/ax7Z7LkYLd/l1cwi5v +Yi/rECYKpgr1u+3JFlXeX7diP+sMvtTu1/dHrRHdnqie6OQlNfjWRA2eRMGt +E7k5urPDyeqjzo6Frs6Org8Xr95ItT16FOz12fAO8f2H9UwYaDibghfEFKxL +H6FAWFNwcHm47g4/hS0OKYxPTgapEWVW+4OO7z43rLlJBRoM57Y7gTO4bBQJ +T0mQMI6IFQ2XBXQz93CRROBDo28f+jg4Dh5EnwvoG+AQvha4hAEe4bKGsTBc +6gCa8LwgeEIkE3B7wPEwQCuMiAFi4fWBcS6A7fQtZmUZeO2W3Gws/EDptTxw +7Mu3HPg3AsBXcYraFOWOYz2KjIHldS4OhEWqHWpTwsJmr6Ze3aLi7hSX8zEz +2LDTOHgtnWLpcTCCcDwOflZV10cRw1DYXD2MGBaNxAIbIJEua35WlTXP825j +XlFzpTtL1LTxnW3aABCezCAcFjT7+ggBYQqOcCC8JuGQ80EYxsAEwutbDcyv +ZxBe36ZvfkPbkwSIYyLGEugKwUB46ymt89tGtkIe3nZ6y/y2MwwPn+Xz8I5x +zS0PpwbEwsO/pXhYqqRnp2qka+b/VDz8R1QhHUZRVHKCu5ecz25LQlhsxyrv +x4tKSkLxhZJQSJiRTjBGj93KOME47MO7R/XhpTx2ly9zHjvpwzs9Q0Lh10Y7 +YXH/xRL7GfrsHA9LPK6c3QuoUfSBGxK3XHQ2CngpIEwGxRfywgSSigksq4Bz +/UuKYKWCXy4z3EleuMvwd/gHuSok+IMFDUY7hqvhYwEFw4IH3r7WC8+0JNwR +SfhkZHdYNGHUChoEGHoTDbczz7kVQiq8BjQaroOiRSFigFwaER9hfsAN+hoI +JkI+kl/LymoMXBPLt2AxHxqBMAmF4fO5MKl4HKylKt3tnmOYJ1XJ6lKpx+Jt +b7FXMNwMYXhmor/2vp2H4dd3Ewzf5nI9qQ51s6eKFBgeEsy7QhhOh7A5//wl +w/0eW1lpJKoIYbiHG7fcDD66IL9TRi1udQlC2HBl+SKOmeBVBccrHgzTaOWj +IITNwXBWzMTdtur5q5o3Iwz/rGD451c4iljv5TPD12gP7+IlKuRXriwehv9l +HnSfqsCHWGbPvpJS1ud44WsEw9oDjOFrCMNw9PJ3OrhaUtOLx9FRxATDdGDV +K4giJhge7sWvtFbhkQTD+vooIKgPYLilg+Fcrhvz8DOchv6vksPwSwqG/xnA +8GMBDEvS1x0qsfvWn1RGYXBqwsLhqKijQPcDQKWcnnhn9bJ3DP10WivBl44H +xCdtN7dpg7bmRnm1D8XliIrNW7kj6f29GZ770FgZPs4VoosIQXjUdryMz+dZ +MIAlPAfQfAAMw/OD54lxE5fR88e58BT4esyNwywlqJ24Gh/mqzOvVu4WC7oE +uw544TWUWw1oL05WsRAjuD8To54n+P5ED3zH+uArvRrj2ad4cehTBFH2wAKx +wj10aWBok1vvTYEjHURLfWL1TYZNLtXIvNDqIBZE3RpvKR1EKjnNFwf7/Zts +kfOamIvr1LhSga/EQ1xi7XE++HK1nOrS8MH3tPzawBq3rvnJ+XUCvq0M+LYG +8AXo7Zdf37Zvfn27PvkN7U7Mb2jf2wDwEQDAFSzzFpoI32xJ+OchrfNbh7VG +It46sjUTcav8diHisSCbaM6yiWaR5e7X0HKHE+KGFGfMkonfQrudR8RHWSJG +ycSjhogfr+YTsbbaPRsnT2yNWu9US/QCvyV61ccH5n/UsZ6L0qkTS1kuoeOL +tc3uFWWz853aru1urthTbCibs9mJXGLcslTqBK86MMJcUhsVsy7lwB3eQyiZ +b7XraWntBE1rlojlAF9PiU+3tjuk4kVjmd5oWgwBYWB3a7hwHNDuwovKACCb +H6p6mKeLD/qDXFUcKzdC9L0A/3Ezxl+wjMMngU8IOA5fBKkihiC2y0AYllB4 +MjD2pqFwK3zC8MThBRi6pC4uwycvFRSuzjgM8t/KZSAfwpCw0C8532UUfBQm +eNBIqw5O3IcgBdMuY5D5NI6CpYSDVMLSmeIOfMUhPyjfZbHfwh2GrDkl5IX5 +upjqARKUyxIr/fWGhG/aDSQ83yNhvdTvMgnfUnoStuUcBeOIFQmfkiBhHbh2 +gms5tYkSYcOpOnSMSdgsNSy5S5PwEi7nWKhmK0LCb5eChOVgEZaU2/NfIgmf +giS87YUjvaA1SstRsev6RAtJmDqIvuX+IRu0Zu5Ryzkd51M+xXo3kHQJCT9p +HtYGvNLt1sUCfC2fXAEJy33pHK5zJBKm8hpHwmJyoGMUiuoWVX3PqOZcYrqj +IMKFrsq53u4g4RN2iYTbFSLhRzj7VpPwnQEJi34ocYng+T2f3XsFEBenCyCk +/VwEEroBfXzi3D5Jw+F4WMo7aERs7tyGecsD/AICAx6f6COwSINRCqExmOUQ +MKMGPMeZ8Fl0bcM1LigMTwqeHNwLTmEcNvcH84mHXr7DLCMWi6/YkSsPkJub +hfcReB+k02jcxVm6Rl4JCFaz3jOUF85LhQhUJnjPGbW9+HgO1XiSFRqMvjgW +P4hroVjxQ/OUL6640ODPvHSI96I2jdcS6RBOvLXEEz+QgGuFwd6VEfaKJ64w +9v7A894fVY1cnAoRV8itVSHBDnuVFy6Q/SL2tlHY21awt1d+Q4cT8huO75kf +fOTfSyENZuPcXnvmtwxok/95kHkMaZPfejJz8KmGg08zHDy6JaslDAODfJin +w1Y+nGGy+9Vw8K/SdVeIg28PW6BrOqVEcXUez2W1Px+hAopZJaFlw2ZNWS1x +E7KuyHFjhkpChxNbYx2rJMRYp48eH1XGurRK4m8FVRIixvNbn2sry3ZjJcrj +43tbE9HNYzXHwRyIu9jJhx2zaRaWCfFZyG/AwxAGCqJeUlCcB2hbDqAuVx0p +F94Ff9TM/DX464DRgNNAvPAhYbmDZa8TUu/JODyFLwd0g71w+HsCSyE6J8i3 +CQpDZAiMGuElR+NLAlhLBFwNyLcqkm818sEx8eJfxR3EsbiLcLPfRigFdmUb +JITob19JPf+V0g0/z84XQ/gibD8/bZwl3waWfK8Kypm17DEkXxcx3NJ2aIfk ++2aJyPf40pDvHQH5zixAvpPVaW8x5HtuSL6jYgOKld4lyXebR75+eIRZcdoJ ++a6yUcPzVNSwNZvA6SKsNDZqmNzXQL7aZJLKUlsYZalpt7Um37lIvquFfFU4 +zuZC5Av2348Lky/kQi7KIF8dMWx35srqKx30N7Jm6youNNcZaqej1/TQoMS8 +jpf/aDPUMsl3cOAjdbJ5LRhy5HtZsry8IPmSZH7nyXchke8LS7A0GMi38zMr +sVC4+7ygli4kXy+J+6c0+XI1nZBvquFhdKLhQYPbBd4c2JcHT9RzYC7nkMvn +ingWTAKJPjwTLg9XFk2HKxPynsTyB5n8JrAXLlbYrsJXYifAZyv0ZUkE4Ogo +wd+LSZogCAwYC6+CuWEUAdvmZjvKVbQ7jA1uslUYwQoHHPZe7JPvmPAF1MqS +0Pim6Dca+gr9ZlRmTO25LZEKEVdkWt+up3gQA5wb/MYGuDAfeHH+TVuq/KmN +QXcGuEKKh396eY++9DfskZtjHqlECKjKMPRbOTX0NfRbXZcoZ6VB6KHvGFWR +IXloI3joK5LfoZHkV5QOG2Do297R78bje5hH9/zGjl1LScEzLAVv7tM2v6Wv +efQ3JDzQPAYzDQ83jxGtSCsx2tcOEw23yP9baYetmc7Q8C88Ff5Vgtimp+Mm +9FT4j2Aq7NNwNaRhW9lhoyag2C5Bw8VlEb8f154WFzMRaiRsDrEy0ElY0VMr +04V2eP64PKWRoIj78Z5G4kAMCyNRnqxDNW3gl2+iK46GT+SMAxG0kmUF1ic5 +yweWk+kwrFWailsvOqMIFi7zowRwDK6WFgvPzpXFX6vh7/H95gHLG0gB4J/B +h4APRXPfEbgUAjoCQgJKkgSij5r/dsXBKzyNAUkSrs8C4WNJJIw0fBQQsfla +hi2tYTCY6Pgo1P+ibAKlDzECD7II3IJfPpJAuOFvFzX87W2Hv4USOyQmQmTX +OjetLmiCFyaccUkMTnVtPcpF2dK0UToMbrOzGHx7CoOD2M4QgyVSOJxsydqu +BjIXnc4YnIoUHhicPSYwWIcSzT3eLT06kEj7rtMZasswQw3PGWHYUmWhjRR2 +4jqdoaYjhelsMRUp7DB4Zn71qAIYDL2byey0A10upBTQL4sx+JPglvS6l522 +N2Lwo+YW9KC6Fd3Gh1OUdrMf+XjNQ7LTzmYPL3VqkkSLWmkystOWOGkWYXBf +lS94MpfLB1HbC8cGUiHZJobXx40YTeM3lpNmvqUcjrxrMLjHTmDwp/mWEQYv +Jwx+KsTgbxiDv/cxOKkWIoec30m3w3fIha0OQZG5l+cV6lVHbffaHVJDYCG5 +AIUN/oIwoozTCxsELo+/VsT3CQjj/FdgeJCC4ZPp84kcAgbSMge2QDyWhthA +pDgPZiiG24GAsXneRfAClAG2NTdwS7n0wD8ror9l/nruBputAfsFT+PAngPU +jwSCar13GB/sHS4pLpNZYW8o9I3b4tKxwKlANLoHsT+hnn8Qhfch63rz9Q6x +6+0NFWHzohX6+nqHx4KDKYiyuTuoT84KQksPfb28B+V2Kx57R6kYYIW9zbXT +baCHvesRe0nnsKEDYG/P/AbA3o4Gezt1NY8u5tHJ4O/hOzUE3tSjXX7zCeZx +Ytv85pMMBvdrk9+CGNw6//NQ8xhGGLwVJcMtUTKcFEiIhS7KI27sMFga7Arm +SdTK/3730R4G/6mGwr/Pq+plSfwiGPyctNYpqZ0XR3SYl0Ecpa194jfWfb1k +X15r2INio+vLJ/OHs2xzjwW2ublq7Qnzh0NhxNnLDkQMHok1EORN8RvrtHWO +MHgAjjKB4/xR5onW19VHyVkH4vokIglC4eGoUXA4TENiQeJ2hvEM55WDRcyg +Lzjt2tK7FO6ehv8UPgx8OBz8mk8BmgGgb8Lek/DLORHVv93M8tkFv2T40gdg +YppG30bsjaurBsG1GW+PzpUD2DXoSxoHmfjWschLWoeGODt3iWgtndNwiW7M +iCe/dteAL9VQpf4dqZSNXLvsVctexE0ml6JyGrH3E39Zd9XLJcXe58ya/oJ5 +vGzW9dd2DXvlYFew994fsL0pib3Jntn4UBfWLqkJsI73sEVLxT8J9l6Sig7u +qyR3PbbZVHobHdzJP3OUyCEfe79z2BslpWnsXeyigyt/pHI35/MyA4Wkcrbo +lpg09t5VYuy1CWkB9sqOHBo0vmLs/XwFRwZjaWZ5DoRw1fKvBdj7BGOv7MDl +1iNnUVPNAxPSzEN23mfZ5hnB3uqq/achY28rl5C2JBUZnMLelF001AXtFPZi +NXyu+27C3hVp7E0GCRa6RKCL8WdbxRz2MYoKWB+UhI0agJG60MEOL0MlMAvo +dUhEAn2LAOsQfcsD3OFb+L7cEXjSH5IuDJQvU6QLpD3hNHLBwZdyIdPueUy7 +8GUDtQvxwtOBpwV3ASTfiwzHGoItB0+6DLxlyARplp6/wXIDyaDfvMCTMRTB +i5G7xpvl6pckyswoSdlIwsFGPXwKbEMXm7m7QDg53mHarAoUDd+RoqFJnPTr +udhq+TXIMNN1igbtYnszCjTLqkDWoeXOfzA3cLA5sPV7LgzYVp5s4DYGW+1e +0zEOlOyrwFYl+4ZgawW8LQcp51o/hFot4EWwPV7AthvOczd26pzf2LmTeRyf +39SlQ37wEYeXPPbhRsu3G7t2yG/q1p451zBu77Y88m3jBBBDlRD41FYkgLCc +25I5t0UUFSHWuF/BGudxbgPLuZEtzouJ8OvnsKFZJws/pThXR0QkU4VTtUw+ +5/6ojxWVzxoXF9VeSsKH8lGi8Bufx3Y4L3lIHTPOKUb4cDELH87Fng3g3IPx +yJFsJ5X4KB+qm3zO1WLVfmzZSotVBd60CGKQhTjm3SIgVHPT0chruK48LFpF +8LtcJR7dCssOQ57tYni262IZ4/bHTwNoDUxLjrbuyJNwOgp8CZwJvAmKA9Bv ++Fxbj8e6dZhdj8mVB5JFptXj27qZPKuVDIVelhD/u/I63TkR7SABZ2Hir2Na +HeIENSRxvMNfyrS8aGcxLTaTpmw7esG+sWRMWyj997yAabWP2oadDXIrkA07 +k9PErjrmQYWdtXcxDw+2cWFnj6v035KEnRHTurAzf4VJ12ForRytLMUwbeg/ +kC32+5L6e6AXcvaVDTnbi7bWAdNKGo2f+ktM+wgzrfgM5DZzPUcyYrzDcjhN +OsD6C0Zx6fspfGtxIWeNVOF7O9o2L3GpvyfokDMVBOhqMIRppRXuwkDxEzOt +uz6gesepfSKm7VUqpm0WMe1Sn2mfKIZpU6If7xLxG+IGhSW9xaka1OViD+T1 +OHdkepxbiGsBXK3CoS+Q7UnbyyDUHmzHtyLhtWDLqWbw8QEW7RiXdQ0It6xt +gK+V9Q1F8ARy5TzGZc4ldC2PFLsHjnrheQvHgiYCtsDmH+bog1zrixNkUjsm +IVA4tYRQG6b09hCoJXfaDCyV3pRRZLyWioy9pN7vVDRDeGrEDrVjQ4daEM1Q ++SMvX/HDSKgQynR1MeXDUXf7FxVDmW6Q0Fs5yCeD8mKEWi5vQ6idEECtcqYB +1Eppmzet1XUVKo5B5LnsStvQti8JFNqlBArdeFLbOb+pc0cDtAZqgUy7E5ny +9La02gXi447Ix5u6mg/V3UBuT/Po1RYHuqRraGtVvj+LrsGqfFnXYCB3R8Lt +pgOC/RoNgdy0pkFDrutYDuoz5vn1GaTurVxA3fv3KEpTdysny+R4kJulZ9AO +twWBwy0MBIZB7sMr9rYONwkEvsWsOlGvsoHbS1ndewGre880KxCoe0eyunc4 +OrUYctUwl2JqmzmnG2ahxRPKFOhChwNmQSwaiIf0PNwtAtWB+VHqzDNe8zC/ +67RoWFl8syISIAkThiARwr91ZCvT2hPx8wHdnoh0S2IFCC6GrxG+1gE4tW2R +INz6TK9IuWXgCZdBzs0H09q67K5pwK9FY+VQ00KFdiq4LNTr+kPubtYFOCzK +iUP3H3dQS0ypo1yaSjXwit6m5Zt+IrkNmnL9oKYW77tuC8oq3QnKfSmg3GdC +yv0605zeV1tykpSrTmNTlHtRgnLP9m04GNxfgHKv7OOHxtv8BmuUlhYlHWa2 +Ov+QotwnmgZhZiok/lUVZqZbk4qn3FSY2QNshKYozCTlvlqAcj/IoFy574ij +oADlvmCdBJQv8/AKctOKi0CyZeTAaLIh3EuWQ24DUS6UVZ6GsdiH8Qa6BlOu +5Mk0VyceopHyCxF9ys0qQ3SUS4KFUNATXh+ZlEuChad2P+U+XphyaSOYoNzr +OYxrmqJcVQXjUe7FCeNVKmvAIzvfuRYJF9Kka6jRXFTmji2kyyKGvmqIi3+Y +OyQC3ssFeEW3IEJeBb2iX4AvUzQM8KXDUzBXfo4msGXthJcfe8DtoQix9mbU +OlgJwlgfbnFiGwA/hO9KO/HF4UtRwppogFzZP2s5lEBuGMOQ9KOplJhntB8t +I4zXb13/RO2rQ1nCq/au85nqaHNq3MeCIF6/YUd70Wh6K160jBqK6hDBwA3F +ALkoSRgX5I4pSYJtJgbIHZlfWwBynRyhn1Lh9k6qcBFyDZVu6mKotKuB3G4E +uZt7OCodUrE0UoWZjnat3KEzfw6GaB7vbgplDANYzTuUqHfbiFaBt60ledvO +bZH/d4J6f8XRLqQAx5XKnq8tTAC+S6i3pqPeR4M6DO1pk8I4znbY+iIl/8po +108682crKfnCNyKV4xoM62f7fA8rl5PUX+1neznws4Wpv1n1yVKD4VS8BzD1 +Hqio91DMdhgG8Zoe9dYLfG1BNC2uVPHZPJFvb/a5Cf3247kmpKENLAL0KwdT +2jJgfzOYi/Nfs8SRCIHQlga3J2EUGQ1vT8BP0ocRFz45DFNhqApflBviNmc0 +bcKWsgbYfkcDWhAqHAcz3DpIuMdxRXFdLsgTCa7Mb5upJDJxo4kUt3OB0XY/ +m4TcZdFQVVLhUsmEcJuz17wxJyA3+CzV3MZ+80/CPIb/S8INNIfFEu6WJOEO +K0C4Zyfjen3CTeYyiDMECXd7FAhvE8rU+mMJVwXBI+E2DhPKKK4XcxlqcEKZ +7QWl9YY6Qf8XhMtugQ8PjNrbUoT7mXmIPEqOjV4JCPdxJlyRRUl6otxTKI+B +CHccHxOBPxbKX6gGHHbP4gaoxztFJtzF7Xhn2JUJt3dBwnWydb+9ra6Ksdbn +HLQD9PNKdhfhvlE84XZjwk2XHCYSyjiXISLcawLCzYrsFX1CWF4xVhFuoDLV +s8uUPBfTtRzlAuEO2I6YiyBbhrQKqFqYnM214TB3OH0yyAwGNcCE02iQqoe6 +8IVeQHxrPqm54svA89gDnhC+he/L3eRxayTAGLU9epIyoLVCjMHF8+vUHoXd +ZCl+xUFt61V4D4EMXXG4pjN0Pw/UB+wo0z3DlfwM3Q+KVR+kOobvd/eWiq5K +7aukrFbcZKpEopri16OIX38Efq3t8+vquk55sFYktag8GMmdwqdgZq7m1/Uw +pG01gIe0IqcVfj0B5bQbRU6rVAebWHUAbLm5R3uUyG7pbbiS3WI/G7YcUqk0 +HDvLcSzyckLpYJh5ozBzplyhtZMriDtNZLnWnZbKLGvCUgWV08BT3GROg+HZ +34Fn7wCereVNcf94SHi2KrvSDMs+xdVu/3DVbpjcy3k/mFP2qs4pUzKFBbrW +rXA+Q+xIKx+n9n7uypHC1F4/n0EVH6/YL38DpmL+zclx1YSFyo8PzJ+x9CCM +iieePQJ5lioXjlZtFsCzYfuumlriGaNwXTfFdo5rT0DGgzUL2TZXDlSzRUCt +8Eu/3JEBvPZBKiSA7eVBbB+E2C5kLAtAdgCCbAs8AYWvdjCGjRHMDnEwm9sD ++NWQrFMghDNaMZM5JYITIrs5bbFqhMWDM/KLT7d1Us1VwR0obCGv2JHs5Oxk +sQ9lpc4K1f+LSTblnrEkuy5NsnLcWky31JggUd8ax8co40cqZyGDZK3BrLPO +WXBHhppkJWfBZu02lhVIkWyYtYtHhKR7cyTrsnZLRrJ3M8nOKkiyG5hk12mS +/YiTEJFk97Mkixm7GSSLxrLP/XwF8bnKDvlOJlkoibyRRU+Ur7B/fiKTLCaL +mcepTLKuC4eSxQapjF1KOexkM3Z763yFxS5ZrKNXOEFK9KZ4nkEZJPWEZD/d +WZKdh2UTINjZCZJtXZBkVV23FqJbkl3tXyJAsmwwG3idzlngCraMvF1Pacun +8pHJ7MwwG5ZB79RgSDncVyZY2COaRZgtA9hXHqeyZa2+lim2CJn3gGhAG4Gs +yG4tzO6wMDuOYNZArPmKzadAqOXBrXmUA+lCEbxVBH9UhH/3Joux0G5sdQYl +5fWBJRzHZrjEZqd0B3AjsTjrO1VpJPtVIhl3RToZt6rTHbyvAhIoGTcMSIi7 +0eJ2YBbTVvR70WKX2LSMRNxL8j9onOVxbMohptuA1zWOk3AlFAFxtrXGWS2i +PYHdYU5vsCmhN/AEAjgqbWuDD34earC2cmmwlsUIFfYiEW/7XvmNMhLuqEbC +iLiFhAptKIAB8NbG8rZiNa5ynUlxm8HbHRxFBnibLG0TvA0dZzyu/f12wNva ++T8Eb3U5hYogE7z9j6fCreiKKbRA4Y1AoCDBPoFAwXOayeKjxihL0dm8R2YE +78uJCF4ZqUSBC9x+BHgrKtwpZjECvKXWYsbbpRD+DpGXB5nF6RCO4tWOs2N4 +jFlfuc5cgsAAmyBAwEejyy4Wc1m0UAR0an6UetkJbm/Dl4Zei/DNwxXA9uR/ +0x3/PQkOCGTh48N4tH9BmJXJbEMlQqhXBBRbFp4JvoVUW4//UIhW4hGc8sDP +x+2g9LWhZ8xv5fBb6oYH6gOtsaXMYfGNSTNdfLrKVPtRSLV3pDJBFdX+swDV +vrtrVPtA6AnXVPtTfLyqqXby9sgP7lFtRnrYOO6IEsFgSLWTg/SwqZZqxT9G +Do85HSU9bJ13PmgXI8nNbex3E+lFyKfaTyzVfhBRbaE6tRTVjjBUWzeiWpvo +AjVq7x6a2RzhqFbvmROpYUi1FZBqtdpJ9sl3mAdmea+QuAQ+7zE3kIuXk4wf +U8OWHYiF3VDeTVR7NOZNR6lhrGbScQk9FdVKul6Ul7vobC9Zz1Gtr0OP86Rv +V1R7v7k2SIPeAqi2x65T7fNL8x0t1a4sTLV247fav0RuXq+oVjZ/VP016MrY +P6Y3gF6t2gVBAFYQnaA9ZNH4MltzmyNiLUOXFjEgqRLK0bjWvFXkyHY/X2sb +kC1qbsMxLdFtEeBtGfgq94Iv13zCcfJFnw6oa/7UQOx40Q6w6ysFrqEhDqUU +IhhOzmJd5aI4wWZ0MfAq8SrHZ8Arpw0+bO4XVrWU0hNE+bbL4nzbKqLT/zAZ +cxDXOjxjPaixnkDSveYagJ2j4DUQzYZ6AhHNSo9ZCeB1jZrFIrw24VkswGuU +Z8tagmSWbQ+GV6Uj0NDYTUFjHwWNAwN164jW+SFVSgOxt1mIXd8u1jds6NDD +g2oHs05/sJljFLacqNLEgmzdbae2CjomWtpc3R12VutydS3MovagUdQtITD7 ++22Up4swG/RKeDALuoMng9bh55SlTKWHbXn9CGwctrqD+YfSBEX7lG0+e0J3 +oHN0WfWWytEVO1moO5DYBFLb7mvVtrMYZq8364+obUEJd9lyspSNYx/zWDwv +JJgdsfRgrMkdhn7mqhyRVUtZy2Re2yTyUgnv4Vn8ko7MfJ1ZGtBNzW/h0aM8 +Ea55K3coT1+74d87iaew8G/JE9aRfWECsG0YYkVBK/KCxhZieSoL2Fpvj4Bd +B1soj3UFAzxvWDsP0PvYyZLTFvTU1b+cdts5qZ4do3LsnXoWqzE+Y48YNion +4r5squdt2VMn7ntw/Ao9py+axytmgX7dsOtbil8/+Ov4NTw8VfxqF+aQX8dn +pN+e5Q5LM/lV0ifF1MHrE+auB/w6W/Gri/1K82uYNPlKmH5bVfPr+4ZfFyjT +Rsivz/xl/Pqdaj77MpNf9yR+NfcO2hD7/CrnPC7uaz/m179Zfp2kFPqYOLiM +7hXUUF414FdqY8RrCPlVx32dqPjV5R44fuXrxEuGvijho1SnFt71AfzqPJTM +r7nciYCwJeTX9wy/fmj49WPi11cNv768ON9W+PXZwvzqWSk59qvvnLWeV2yA +jf1SlcDKKzb48rRXTGsMdJqVx6+sMbhQ+6ME/xIzS0S/QE2L80uaYQqw9iO5 +QVnW14rAgBQJwL1lLMWaj2beZz52bq9oRoskKzRLRJsrB19jOfhiy8Bb8PvT +3KyV2dt8RPPvc9cjE6eUwJOUEthGmJ2kQgxYYJ/EV/B8dYo9X7EcVhrEqSpG +ywmeafRlOqC2tquMeVOHGejbB4QZVJJ6htcL1jOk5QSc0lXxdpTDful5vm4w +6OrLYb8L8NUG02IbmcHXY3x8XV3vLCuFdSEGUr97Sv6nZpzMBfjayuBra4ev +1utlw2h7Bkf6lMrlyQi6t/NlBP3aoIwAMHHrED+BYCvLVIdULYUvbLaj2Lb9 +vJyF9Yay16sRMSkQfPUBKXY75DcrmgX1wRZW09oRsfWQkZp2uwoEsy0RFzT3 +29JSIQmGZn/TNDuTaTZqh2CaxYCEwDumO4OfVd4xDgHbKq0QeBZINLv+bV91 +sNoq2yBsElaf/d1YNlN1sIeXviM5uKHq4OlAdXAfqw5sCBh3cV7n0Swl8lzs +BYFJO8RBqDyAMDBK56mi1LTS7hV23ArRtgqotj2TbUci2yWdMMQSlQlLuuT2 +AF7NlcXf913Smf+8YxGgcG7fDHhtqeC1WQiwOSDXBlB8o6QF5eBXRFqk2XAK +m9IW6ElsGFib7QOjsLMhXtpBmOAl6US0SpMKkGh2IjYzNeAO30afXm1W62n5 +Jh9L4kG4Wme6ulkKqGh2vqbZd0pHs8moou9IDhgu1Zpmb9qYNrsoms0KsbU0 +OzZBs5x8cKn2hGXQ7HRuEQpb40XxFqZ5PRqG2DbSx4K0LJHCTWg26HKoKF0O +Ic2muhxUn28GzW7JolluL/tR02ywM4Z7CYUJSrsM0exb5t5BKV4VbJa2qPD9 +FK/9ULEEu+CprFaSNhlJ8RqNNHsoZ2fDPUJaZBoGNHu8alH0U7y6ejQbhNfa +gGeddBf6JUtBsz1LQbPvp2n2xSX59kKz/xCa/Zxp9qvS0eyMjVaKk6RZ7QlL +Bdlqxex5O2LH/xm+L6ykE1lDhISmZV0QwoDtVj5bjqDWm8fS39/LSg28oaw/ +mAUgPQU+toe1p27fCy7tIkTW8gTcAt0OXImOr/PHrjqvoZ+fVSAhXJJXoLUD +Mn4t1s7FNwhfP/Cd41elH4gsXQn9wDtRbsE7gX7g5YyCBdEPPORvhSEB29MP +kBz2G10tlswsYH49Wvj1ovxqyCxQUtjVavy6pmHAr2LjCpJlXQBXmFWgwrdS +I06rG+AR50kcLBAVJrRmSapK0DrTcGy1jHIGrOutEAxhf2o9MPpqXWSYNp71 +9IxnmzoFA+PuiYHxAB9jrSlMD2XHhhjbTGFsEIMw3SAsVzv8dwZj7K0hxh6d +//O+o/J/qpwvawZ7UhX9/jOodPDUBWq5USW/a4PCzB9DI5gaoqwsoC6I4w8q +ZKoLfPEsDVbIuOEw9gqV83WRGsqSIeyg/GlYrClRCKIyqImhroX7CnycJRQk +pCX1QXtA1A7mJwvmNKh4pQeSK/3ZAayCbc//rC2nF7ThD+swdqDF2CZKHYuC +AsRZmsY6iMX3GZKN1bFaS6AVsk4TXLzXK+w01pldNGdqjf1tvkq2Pp6VKr8X +tyzFFOtn0dIq/VdTrB9O1DOkWDC1pJZo78A0rGIIwjZ5abbV8Bf4yQa6G3P8 +6O3p/K6BcX7XNYpiJTkSvBup/K4HWq/yPMeyQKUo1uZ3iU9DVTGEFPupF3te +iGLvdBT7QF2KyGaK9c553nENMe6MJ6BY7kkMKfYDc/tYEFDscyoKGyICJT1F +bh0zePd7jeR2cQsM5XYdmB+17GBWyVbEZr+hS0VjH+R2cRf4iUixpCy3jX2G +YkF/0zGVRbtorMprVlm0EcWGZxZJivU1BSQkb6ElN/PfNAQ7v3QU+3RIsYng +j+Io9nrdSpam2OJyaZO6gtD7FXbzZhzJG4qlCSsCahmLr+WBEossvrLiIHMm +OwRnskMBWEVhgDALMGo+7d6ItLdaza78OX4libwFmRCjwTOctmqxAEeaQCC1 +hA+g2jVFrHAjMNR6NwuMrIFLHdL43Qhf4U1Bm0E9E5fI6LWJKxQNVIpFA/5W +96nE4Q3dKLCzBUUDOj72Zt/AVWmqP3HlAAJJ2dJVYESs5+XX1D2HBAOSsIUF +uBQ+4NSuXAHGkbHUhRAYtyz/6bhYR6wbkViF/chAtSXKe21DvQan+FFY23TF +F2e+DqlO5FrB0mrJhAQ/tRrCz0MJH1qrIt92qtehfajc1aYwERk4lhUTmn4+ +ZAhz5b3bdEcDCAw0y2aEG/w2TVjWcOwMw7Ez/W6GP+7URjBhWTKCCcv+56nK +cV5toJTd/BovOjw6+Wm+jGOD7h8Us+2f/1aHRi4NsmoDcQGEGryTEWogAZKP +eUrZfTGvVrPsjTbYYD83kl0malk/0otqyuTgkMYtUBZPI5ejzIJVm60dfhmB +i7ZqFoAhsm0REOIegKT4Vm4/Vga04vABPWjVw9YmnmLAfDIHqUUCqceV0TPX +wZ6Xq5Hn59K5Ww602yti7YLqBjdzdcRqFQSLdVlYNrFKtlAjVBBc5NR9oICV +XtBPReEXEuvszBX5f0GsJ5SEWGcGEj9NrFMoShOJ9bJE4uwF6Swu6dG1XZap +pIK+3ODOR4UyZnGJs5RUcLssVB6xumPBJ4MsLp9Yl7nE2WriLPaJ1S8Pcwq2 +UhHrK7uPWOn8hm8W9uyGiFVCrR9mYpXN7i0BscIm9xK+KZwriqNlh7DaqJLq +K6yHyhxKOGmN15AkFJyoMrgcscYZXG1ssd45PrF+pom1kMomuj5yuT4CrUSs +CxSxzi8psXLFNBNrJ0OscSBzuKn7UWVxrcUsLkkqcMQKHXubPX8XCm6u2BEr +YS/2lQQ6j+vsoE3h/AxiLYUaVqaaOcet8KZE0aLjy1PFlrFT2CL4GEUIrmWo +YYEe5nfmE5XPXzZsO75VxO+0OgAkXfNvczfZmerkgSoDV+aqeraqtAFYctB9 +K25VI29WMF+FuBKUwwf+LJS4ws2ghaPVp5Xh08uGrRNmwy72s2ErfaQ0AvMT +GoEwktpv8lqOGgFf4koFtiGtisRVtXhx3ADpAyYGmbDnYyaspdX6Z+XXNjjD +0wfYqAFNq14WrEwrHd1t7BDkwHZmXxba/YlWJb4qPHDfquz+W9Vs1SO8cxXl +jWuWH1qjNGW4cxy1thjGxQ0nuwiwqJVMCQva9/b1DxmiAjs/1s9RS2SHqdmx +kLg0L3j0ylEGlwq9NvZKdpFeb2R6lWYx3bigYwwerp7/3fq8QmlsJS+Si8Yl +R9ChH0dybdBiAhtCe3B+1UcHKTFBwuO1nGt8EqW67xYjJrDS2JX75O9fSfQq +Pi+KMdhX0asWFJAB+SJutzzHPM5iI/IonsaeaqexWlhwFEtlj8GQ1rCCwD+k +b8oT2mZlgUzLADoWwVvwSzNzU3SYiqhaBKxpfiCVvlXNVFEnADlamKWVg7hY +BFc/h6BeBr/Kl+KmrTqBoXjNwEmqNCJs/UooYBeN9Rxc9aXw1vIr5xJ86s5E +m6JDxW83SvPrvKDVczfy6+MZ/Arlt8iva+JxkuXXLZ7xWqLgI369KK42ivg1 +yCfAMvtBGfkEPfiYsIuftCXytrvbS9KWOxbMzpItHb9+7EWX7x5+/amE/Ert +X3tyOUvMr37CVgXbvy2aI7GC3sQpJ1czv0rhIGxoz8DN7CGc1FfFFg0ORn5V +uQRL2rODK+RXPqFYPFQ1igQJW4tEKa5T6DJKoT1+NdfHB3flcv1cdMd7Dyl+ +5eiOd/7X/OonbQ1Q+QRJfuV8glAJO3JCXIIraVtjz4kzCqx2YFSptAOaXx2+ +7sUFYf0dzQ7YLqPXnMNX86YN3jIsWgG5tJxL83Ifnx1jN2vHGF3KTKp2pto7 +mKsqJQAqWTWtKtG7R6u2mittyJqnt69BooA1ZSlFAAbu2ZDXT5Ui4D2lCJDb +QbYiYGlSEXAHKwJuU72zN3HAK9NqpUARUH2SF44V0urqkFYxSWB0fh1UcqnZ +6jqrBmBatUYsodVUoGsGyfXSJNfWmrC2hufq4Vz1HFczsEMFVEGf1tCapaFW +J3dd13wEP88RnJggygdtPBsY0avX1FAcoffIIHSh11OU8gGfr+rHld4weJ4T +JanAyWF/nZqmV69KQaUUQCeu1RA8rgJln/YDuHbI3PUl1hDA0uNpCGJTlzMR +FzZ1xRqCPb2EAlmOREPgArj2QXq9Txm7JPwRSnxieuWkguV0MHghHw6SluAA +O3+lxAKtJ5AZLGgKjuZyWKFYXTVQn8ETFKplmGiRas2dzfxaBL/1KLUh/uXk +ZJUwlfsM6pgPQAmw7hPWzWDWJh6zDgiTYBenMrQK6FwXD04kwgY610Whayuc +ucapA03ZVd1MpcI2x9SBFLM+9dcx66MZzCoLchazTveZVR9/WmadoBbhzEyt +7VGmlmbWMH1A6nh0SjmmD1hHsWFWdm9ZKZtyErtUR1qwspmV0sg/qOg31/5V +zBoe1qSYdTkwq6eZpxvFG+omQVlaxKwPMbPeqRyfN67QWVrErHAjgMiSMXgD +kDS+qsysdfAak9QBKgvs4DFrL5WlJczqUgeEWTl1wGPWCRnJHGGjM14fudwA +ukTeF2alYI4W7z7xP2ZWuUR0OixJwj1mlZqQqT6zeu6tDL2ram31c7USPQip +hqvQwXVZnBQLU08XFruvc2+VdchKDwTQPeBMv1xWeEFupq5UoCs2haU9KD9k +OjbF+kf+JFL1G2MtmqqsADJbrfLMVo8ps9VTTZyqHXenwdH/K+ro/83k0X9o +uPLzW+noX/f76byA+zgvwD/6/9J2D9xoxaoOTSfnf/DEqqneAR9N19hBKqNp +EzdIXaeO/akpNhykuoyAODdVegYKHJErTHND1FZxxev5LPvEmlfCUgyXAj/+ +pCb5oUf9veSGrNuZUCuYp3maLcld25RLctFjNjzuFEsoX3dJ+QBVCEiqrVn1 +0MqSqlf+lVAJ2EytKY1oziqkej2Rqld/wBEEv4tpCxUCUvhFCoHfnqwaqV39 +RltDqbYinUjVN2z5ZTtWIbAojonNUgi4+IG9rELgBStV29uqXR8xlPrgSld9 +cAeHO94akOo0m6kFkbFUPXmxUgqcaw1cB2JEDtHqwRxjDoMWMGhUxsULiHUY +xhMczXPX2qoCFkuycERad28ckZbVI1IakJq/VdbWa2HRVpFXQ1AYQFMmKwLQ +4zNDXLVEtbuKvNIS1aTRygNQMlpZSzTGBkxxi+vH1yYAdI4D0Pf9iVDLpJNk +5wC0ULZP7wczABRrZtd5AOo5SRIAqk8yi4u/shk+WfEBCkCvFgDt7seMU6zN +ehd/JQBqOyC/91VpWaGuNVT8lQAoFHBV2g0A+mJappoEUDhvWRgCqKs++Yx3 +qlJ7IgCqw1zlbOVeFTkyMwDQSWzOhHOUs3kHSnHQRzKA1sJrTcdeDZA6E44N +6J2KvVqcEXsVGBIJQPU1kiXjnu2Gpv13EUBV9NVfAKDgSeyfAlBruNoRS1Un +KqnqeCdVlbrVEgHoThz8T47rCspRXQEW0Jq3MBML6rok8dWQ5h78l/tTrYH5 +PVLnSWoY2sce3ZuPb9gzdwPSJx3hb6MjfKZPsvg70eksKzpNDEfN3vKewO7/ +kLm8PfEpx60+bS5z2Ws+Zy71f9UjApXLPbJMqcQ7PCvhy774yFVn+acBKVv+ +K92V/6ISNWD5x/k6sWoqHud/Xzlhl4KKV7H7R80BTKDRcHQEY9jJqvHKESg1 +XgUEqo65N9kmKkYv9s3bFiobrWrwa7g6xufB6LYzVayp7V9VGKY7WFW8KZNo +CVF0LqHo3hUMhp4etH8BiY9UNbc8QAUkbeEErP7xf1+bK1CIzJP2sT4UObtF +W8dUOtZ2Hp7u0MNTOfr3umgNkl7NSKobuThH4L9swMJj/7uVaPXhGioRK+yf +reT1z27Vx/4wOH3DN1+tC81XhaJdM479xXw1X+nQXuI0m2cjJN07/wDPR7wO +Wm4wuFFlCQiSXq7Eq+NtQhad953FZ36n21YDkQEcbqUAbphajSUBNVkWgIha +BIy6DwgEisxvjykHPuMy9AfmLZyHCsIekwMUPRZRVIawWShawO+vklcpgSvu +wu1RAEWd9tSdSYJbqim7pRyKcv8rL7OQLulQ9AbzmOGhaAtJYPXWWSWuQzuI +NjUXj6ItGUXblRRF789A0VsFRV2S1YAgYlKC06Mk1sD7H7mmTnd15dY1xQ04 +4pq6Unv/e24Lkqw224TFuR3W24mJrFWCovMiFA2SWItFUZqK7BSK3r9zKAqH +J18FKLo4gaKvK6G6S2Ddx0NRyQvRCayQEzKBr+ezlkI+yIHYL00SnuqMonXZ +8y+d0m1R/0K9ybHnnxLf/AQr1LksPNO2yEmClZ/yNqWgPrsF9W6A5nTnUPTd +vxxF+yVRVAW+aRS93EfRUxWK6jTWs7RramygQQ17BoqZhzoVaHlBUTH/ixKU +S7MMXB4AV90elkzLwG/LAGmae7KViFrWvNmxprk+5RD+WnsQryeedM3OCoxO +XrwUMueagDldV4g2PD3NKak6ZuoFzZyppFTDnAuiQ3nf9JTK96DJZyLm31zr +0loVHcpXvo4j/q9xElJpXBXmVIanVQWYk1iLmbP5cJKPCmMFB/LCnC5O3437 +JNJ/c7cOtp3KZjWFiainuKwmdxivDqclSh8nns1w4mlHf5OEtdjwfnVD5K2h +R5eGPe+07Lmm4Zn8mrAJTF6XRjo5llpo1zXTh/hDOIIrGI2iBNXVH2zs0DNu +ou1cPINSGADXDVgGTYxF8bVRr8uVjV3NAIcA/IYhAHx4P1ukp8o49WCN/J+P +VKccq8dVjpVuz9KmqZeUaUrnzcjh/XthIqsyTS1ypqniDu8xx2qlO7yXDJpQ +evqwl2VFeTSzWVt2M+vLIJdmOnskYJ2avIzaYS9VgQBykA8cejZWD8hhPqxh +NCIdgWMVYdEjmUUrM49WFSYF1KwB0Flzf0BT+O3R8EstRM1aCkGPTZz/F0bP +/go9KfS/c8mipgLb0/EWPcPgf2fUJ/ScgOjpilkFPadZ9Gz2McviPrpNoefd +iXV1d6DnkgLo+RWjp9/bE6GnnDHeuNE5kXlNHXSl08SBp8Oi58VqLb3AH+lI +fo7ETkWGfW6rsYZ9tj9NFftTV9/+RLFT2rCvUhEZPZ8sDj11CUClT2yCjI+e +L5cQPePIqVKj52JCzy8C9IzC/xk9/bgpOvm4h6Pq5MTjetblTOZTDjHqgw6H +WkMOx0sUjfpLavP2rpEqwWvHhc46boqLWRe5eDZn1Bf0pFi2JpnoKdJqQU88 +JYCpp787S10igp7//L9Dz9sMeqJaxaDnjAA9MZ0NXILb/KJWVW+ViZ4Z0VMW +PQuUAWSjZxmNnnyOXtaakPhRFmhzP6DNcvkrTzS/NcRZBpCTaHM2BT+d4GSf +aFJioxKds2+1ElAiT2qjg0uWLPb+efvt6rwdw6HarcHLWM7cdUCUR58FJKFE +n0uZPilVjkxMn6r2ukImJgo6XZxhYlrBJqbPK8LUc64hzzl87j7LP3evnAiJ +qs4hpygJVfR5bNCV2kACThV98pTvpxYq3FSs9mJNbx/IQa0sUklBi8u9H9Y6 +yL1v6Ucsnev3lP4iaaF81v7LFU142qlMPtMa5n9DqWSD/NBaO0mh9cea1+ic +IETrDNcp24imomsTU9HCfQaqOwAnoj2z+wxYUmpplDtlUxNR6nZ1Vn77egmp +Wxp1yarYEwAH9EpKSn2utUlKei9LScNUVTigf1oO6Cu5sis+oPdNUEGiqjZB +fZhhgpIliaeh7oB+j8jC/xZne0sclaNRWKYqWBrVkVRzrRmKrPwzeGJybXBQ +fzlPTyZyaqIjUpqMns3L2hiMq6IegZGGSIlK4fD+UHuAP5zotAjGLuZHb5iD +VHN7NL/Cu6qWB1iF31aHX2rkyuEqScNUO1DNOWp1xDrE811BQGppabUYwSiu +vLFgNF1T5ZevxrR6paLV6yytNmdabfHh7TtBq6+WgFYXlpBW4+ycvnfGtIpT +IKTVTS43R0+AEvFSuofHmp144uNodYdZanckzfqh2UlSZpBWzRJ4c5ctbHaS +LG9Hqw8kafVrtay53EPJ7fZpVdJjdoVWXQlrFq2K0lxuD3BYYtM9lu6DBayF +aPU1rgsJafWBlaQmv50PQ2awNkdipaTG+VxrcoLEDrh0K+P150z6zuSEJv0l +GSZ9c83Q7i406Y/Jt0RaFZP+BSisbmBoVUevxUZAe5CQy/VVl8h95uHaMFq8 ++6Si1ed3C612ZVpNZllwZVVfbsXoNyeDVs1lYrOEiyljlc1d0uxUbFBqaWl1 +T39Q2l8FO/VFM1IRkKoBWHsETw+ckZYDYi0HF+MeiK1l8tf0sthqPsE1Pbfn +brf4KjJRSYbCw3o7PN1qD+zBtEhups0WY2mIStf13BBl2xLKPoAo+yPGyGWi +LA9SUxJSTI/igGQUjVdd5Pnx37OdqcU5nJ727gWRwwkP8Cmz/yub2U9+/G8Q +ZSk9yjvAr+EkpFQ3JShLElKLaVFWP6MsDwrXG5R1Th9/kJpC2Y2pXlTRTCKO +tcaD+6364H5US8/RtP3csI9UDQq9Q3uVGmodP05LCemhJ9feOaRdXfc8fL1c +vwHLbqXjwOCt3QY0Uof+Mmxtrg797YG/eP77BWibnRe7sStJcWlb0NZtCwYk +ygNGKZ+/rcFSg9ZLm+Z/ndQk0+Nv0Ra7XIP6K9GePhoMWRMH/dte8B1SUcrq +gjhl9YcwZdVgre5wTfv793TaU45IfMV6/PdSaLt3hLbilLqdDwKhYHyGOvD3 +hq3LadgKh/6XBHh7PgbV7I/uKTj8P5MzAE5nzD0NswBECHBoEUxgzc2RSPcw +bHY0DyDdv5ufyOF2LHtkDukXfqlk/v5wxF+Z01YB4q1qqBbGtaRwreHLCJbU +Mo/awXy2NLSrG2e1OjVNu10TtEuWD7/UKiplBdpF64cfEOmp70La/eDOYCnf +XbS7aKdoNyy4is48A89y2NwTRVNd6HzK50TRVJCts6Nk1v4TOKVG2aTgiFGO +F0NrP5kovveiqVyY6kouuFruF1wx7b6rshJ3jnZnerRr6wAK0O4PLA3yi1mz +afctpt0XueXZhahSbp2m3Zt4g0uWfvJKjuOjlTF8nDICleZVKZMOd5WhPao9 +VnyQPaqnZ4/qtmiQjcDQtIvyGd4VNja028CWv4U7wqm53MnO1c/KmeYym/2g +EO0+o2j3xZ2k3WU+7T7xpXMRauWMLmiFS8Sj3fXcY7wxSbsDQ9rVJVd8uYwu +dS1AiWlXW6QkOXWyA90cSgByZbTYlGQAuTIiBEAxQDng3HIwnS2PdFuO6Xab +fZhr1Hy06d23FQHg5m5DxBVNKmRyOMzlaW0njblKn3r8T1Yv4LukVjsTv57a +cuxUMto/oVV9zdOqajP/xwndgO+YWmgdUxKWmtIN3MO6gbmoG/jKxvuLbuBa +Rl2JnoKg1Em+bkBpVVfV0ah7FiNbAnVbOGM7WoWSJv6egZk9gbq6hmogo27Q +7aQnttsTE1tE3YmEanYKyfrU/7A2E6e11wrmquZSOCO/5ThB3pIR7/2OeOtc +yK1eF/LLx7sFQ79rbDktSw3sYHd0fh2U1NqWL9k9DIvSrvymhKxdRFfVlMCd +Az1UypXqG9iqpRiWfFv45Dueh7qXBj0D2nWlil//O7uOlbiKvABKX/98uHpc +k6XkBWEma+i42hA6rt6PHVff8dRGxysWkhcg+a505EuuK1rbIvLlbFbJCLhT +ka9IXUVmcC1X4Fxt6Xd/LCYX+r1YyV7BjXU+T4HGqiHvGd6gVyQIBxoCpkLz +EUsPAhI+GEn4EJ794vwXgPdwQ7ZuDHwEF+5U1HNgK1agRAKhXyFfUSY4VcIQ +bj1I+bJC6j2Ja1wLerJwBfept4NndD7DUK8rv2qsqRdPWqFmXQzPLhbdUe/N +RL0fFke9fNwq2eg7Q73YWbkso7MypF5XhHVStKQHSr9weBV4s0bqZVzCAc5z +4QBytEptPju8atdJ4s0S6lXhAFM5HOB6FthhOEBHHQ6wzgVatVaBVs1coFXB +IixDve/sNPXeSxmLGdT7805R715Ivcu86gDXQPKqR72UGvIIV0FLkNUszrmb +zgnNlzP1XshX9ul49R7EoQDVuCBPPFlSfkWhAFBw56pcgXphpzhAUS+pd7xQ +AKRevE5yuTPQlkUNGyoX4JOrPL243Rh6l8g9JaTeV0pJvUuYepeXiHqzL5Fi +qFfpxvWlYo9EJvjUK5eMBFpJKOtuoF4tghXspdluOd9exRYrJN0iuADLAekW +QZtHGZjhFhnExV+25cqjFEFmuVaS0G1rEWxRzYeA1g/RxJIyYbOB41mdNuVu +yt/SUfOuG+3e2YF49272Zd0nzCsxq5IO4I13v7XM+w+VEICXvKgVpDnkaLfh +pfaQz6xa4b1ArVC8RyuMXKXbAXi0SK1wGxYEfI1qBa60qjxNqRUm578HrWy1 +yzjA6mIa8RbQyq6xJ/BhMQApFXx7/Ems/0yMJJF5O0bMuzkMb0qdtgPznt2S ++0xbuACn8b4fC0KcfmHe1QoFVwpVjzxJNytfkgTqzzk2f/IxpRn3Pmzhd1Xt +8ea1nIDKDxyX4x4CAsG05w32EgLCrHCw4/PRiRGwBIQN9SNt26STGOK4V1cX +RprktgEEt7Z6ZIl5tXuLc1q4QLDxzWxI1q8cPSC649/E52WLtlS8a1LVANGu +BoCfgGICguAw2jX0eNloV+3xsg3l7thSVA2yrH2pRr/LCmlsP98r/wZED3zu +Q/A/Ap2tjh+4B/NwnNY2VDdct5zsyT4I07II0a+XcCSBHgVrGBbFg4yEz8DB +ESyjbjQ8isfDIwGGzdpqqHcErrDwONiCsRsTy2xYk7BQsCJgm3Cg575hR8Lu +oF+/+rVDkEiQpt/xTmf4qY770ae3Qr+3/O/pV9qBnjT06wkOv1U1sD/w0r66 +MP1Oj3PWxeOi29pHX1TYDmYz1QM7mCQTTAntYFCi1W2bot/NTL8bXDJBW0km +WJWk3yjOtZYq0Pr/kH5Xwi2Cbw9Cv9JZIvT7LN8OpHdPR2LNZPqdZh5XYiKB +ubSX0yV99jKygcG1OZzpd+jSWl716wCv+jXLBjYId4t+IsEYpt+xudz5HEog +/XITSkC/4gTT9Hu/eTxUAvp9fbfSby9Dv2EN7EmSeKzCO5L0q5MJpuyINopJ ++r3Qv2R0JUFcBbtjd9CvHfZeeeJ27fhCCW4ZIF9UMDD0Tu1u3jLQWwauxtwe +jni7qvEujXgN4t5ofr3doq8oGiQIC8e9Hf1xr1Y2EAKvDdQNziYm2a0agd3Y +V655ZReru8K1unpBWSmVQ9js6vdkSTWJjH6X2tFvqHJwlrFiVQ5VXEzBD0mV +gzS66rHvaE6L0m0DQ918sg0hsB9PoDukuqhoAoNk3dkmdmK7OLOV0/cplqCl +s4id7UKxtLpBi3TFHvZroGz4j1I24En9zcexEJVP7Occ607t76qdP/nY0qDw +YxaFfzx6IvWOwevK2wtpzIUgstXHqpxcq4wYy1m5ZxokFizWSMyvuY1CoGiI +9a10OFmgimifsJ914tdf2/RSiohT/EgImAvvECTmIDJsPVDRB4jE01Rm7M1K +DcFCX1RDqLaDWA3h58WGlrMtrxWfF/tjkBf7rVZD8KRHkBjOOJ3Qd08r9BUk +fpXVff8qBonvZyTWgl+oRIeoSPCpwHnoDcudMiI1H57EdrSJyzQaH4DnpoLG +5yk8hqhJsKmBzRp6v0BFOIb7v0g5caC5L440v+Zx8uSEwockxMLCxBKrUMNq +IYYu0X1h4lH7a1i4UwYLYzoXRyI0RqXvuICFLw+iL5WS0bJwuNDrMdeus3Br +y8JLIxbunsXC9/zgL/TemMv1vXvxQ7pzCPzeGSwcFsr6it9EoewgjkbQhbI9 +XaGsF43QMY5GEBZ+TNp4mnxj18XnuYUnKpOtstCw8Kd/HQu/7p8f7Q4WfoFZ ++Clm4YciFnaRCFM46mQ8901jgay5Nk/Bbr4jMb4EEvWkQHagVyB7vPKlgdLX +sXBXLxJB+dLsntEWLedyYwIWnmJYOAjo8i6RuQkWfjRg4Wd3nYUxRXmlSlH+ +UrFwLBHCS8QTxa9HmZDXuRymdCl/WpjSJSwc+tMiFi5FoaytcPVrDby6AeZg +EED0gdgEXY6FDxLzkpa3DFY6s5Y3Bzi8nXC4jB3+mof5WOYCzZW1qQikethS +BNds7s6YiCMq3oBhJ6HeV4QQQMUSniBtsQ8qMQSonuCqn9f820gQgcNhDlJ4 +nq1sL9ZREbIZogi/QTYVI+vsbNTJ5Yd4iUJqhcTIVpqLIV7SIOsGxNdymILr +4/q+KpNxjYk01PQCvHSErGqOFTLWg0s7tGQybheScWhjK4bMbO+Wa4jd7nVu +sRjCBic08wbDv0aDYS2EkMGwCCEMtd12LA2GJUf1TiY4Ptk/uU5aKIGltFhP +m3vcYXHNyyilAibv+OI6RF4VIPKqYy5QVRLnEh7rzF6LxxxgG0yNvRQL9Q1A +6UTbPpnfhE3qm7Cpe+qb0DrCY/kG7DhHvfgTSGxtxcJXKrHw9QqPbznOvcAi +mbi/JkkmQg+cCqkNPXBW7pfywC0QD9xBZtmjRLAfzLL3vZoWk2QiTGSgw9BQ +LCyVCm/wcvhSolbB4TEkM1Bj5b2BdGI227hnSUrD8vTk+CpeRq9QMooYk0lO +caFCZYvJepLspBVFwMiGjEd5yoqDEla6I5Nk7Lc3SIZtA25raOIVJ+wKFYdp +DY6KdUmt+N+EiicqKp4SBMI7f096yQ8Pf3eRil/WVLwMqbiLomIx+URUfJdZ +8qPUBhl/UWDYQBUYhsu9pmJZ6icUX1o7ziqDXWntZWFpbT9ObegdlNaiD06l +NnBpLR6ktpHUBhUY1oRSG6Q8AQ5LX66jyhNgQmSp+KNdoOK5RMWn7V4qdkVf +jopfYSr2Mmv5kpegsJtZHQWX9RS+hC+StAbOoIbrDktqwf+29Bi+prT/Dag4 +9r/1lJJa3EVSKZ5ONmm5yE9r4GKRXG4UXiYNP7s8CDVxWWH+JXJXgop1US1Q +8b92AxWThKh7QQkRpTYkLxGPivXm0U9tCH1wI1XUcyYVa0G9FxjGlw9sLEFc +PyKm4stDKu4f6CLKxJGzqIfI7YGX3DWepc08uqEUIke633LmctwWDofNhzQX +KHFwGaxGcKKImZ03mfdZDu600bzvto4bc7cxC68vzMI2zsEXSsQ8/A3XKbBA +GHn4Cy/aAUXCx/oiYRcuBrUKgSeOy1Qk1PZjTzAB02Ld+jXP3Bu0UJjvD9j6 +NUe1fqlQWx3vUNnwcBUlmKhJ4WI/itKVD/ftBLNh2E2bqFPwPFw+im0Iedib +FCcO75U4eLsVSrSwQgldnfDLROXhukK1XXn+t/o2uFWLgm14FqDaXINqAQsj +tj1gsO2hGsLFFSwLFxoc55mQ985/X+MKHs5PVgP6y9CK+ENyoJwQG8PWBMXG +YrPjXF1Ly0rDYhMkgvSI1v1IX2GzzFSdbqjflpYLlbjhp0ZwtcNo+tbsOFsy +dJt5tjpdPmY12iIunqnTIkRXkWGpy8zO9YfIm9/QuWX+Mmizcz/xs3O1riJL +XJwi5dc/l/wyytN0g2RKjZAc3YeUyFjrK+YoofFMtpL7tEwhSNcoWp7MxDxJ +CY+FmMd7xEyHtOcliBl1F0tFd2GHyozLgMoySD5cZaGFA2Qfkwfz8HgQD49p +aW9ZSkTmQLNFhXtwsxC5vkVkMATx2o85oa7n0yLyh9Qr1uKDEiCyV1ZfGJGb +vA4M8Jm58jMoebkX3LRzlEzZZgNtthkv/5OpGjdc+sNqXK0k1pQsNWMTA//c +5FBJLGkRTMkzbFoEVeMCJdu0CPbPISU3/86L1X1ONTxI9Ca5xTUlv8+U/FYB +Sn4sXgX/B5SMzQ6fx3G6j3Js4T18md/KDtrr+FK+gi9bW4W7jKpwQcs0DFMi +zC506bF8HiO+OU6JWJzhm1scp0ToM5ZmNiVC6vcgJeLSwFsKKvvrg0tkDl8i +d3EX7v8xIqtgs5IhcnCN6DOWMCpigu81LREin54cHOdyf8um5IHc3Nc/1k/4 +rQx72KTckJCnd2c6LgIozpV3A2IcEm8RuXARXJy5B5KyCUjUhSOfW83mFgfF +OCxezxlnWYC81gdkyTxTYRGPsoNuXiSn0I0PaUh+1YNkJ6l4R0sqKpqNc8U4 +hVdLKhZl5qCRtZb6x6AadzYPjqV/zHfTlVRSsQborP7ZthLXWb8kz0s3PjCJ +BRle6cAI1fSQpDBl8zpdSSnOCaUULu/s10nqaF9nnemBsaheAxUxJczWdhWx +qIIlYgNARjsYzDcNuQ09rjQyC0fL31W/ijcpMriH8A5Sd38v5AwtHLam+GJD +zdwHp8iZZBjnKIueDqegjUxmG0XLRHVxu9D1mBHwwUP+LcF8Gb9fI5TbUeWs +iSXv3yJ3sWrkRu57c4Oq671N2fHudmm/SekF2/H+nbDj/ayUyF7UpypAc62b +NFv+bqGbLWs18kqbsUbSC1Ij7+kseTxU0qm/Qs1iy5P2iUdZivigoua7eOgk +M2ZLzoaSZ3BJ2vVKijGNl1uSY+xv6Hl/mjUvc7NmoecJlp4P8GbN5ylyJjmG +o2ax752qhsvDmZj9wXLNQG4RSy0cLbfm1HwKVcum5b4Fabl9EKgW0nJ9jv91 +tHyFouVpsX8+QgGZlqVoOcw3LUDLb2TS8vGhrHLeF6WnZaGBjNCoISynzKJl +3YnmpU1wGjAOxcJOtICWr06U8got27QJNufYtAmm5SczaPkVpOWlu4WWJRL0 +q5o359cwLetN9c7S8lJFy+8xLb/+uU4A3psz1fbmlIl9XMrEiv3QbXs158vY +El7MkDkIO9CG25SJ2G8XdqARLZ/od6BFimNXwtvMK6sen8gddKr8ZhEt36Fo ++QHzeLgALauE7PlvKVr+YNdp+YFdoGV1jYS0fEpIy0Gw2k7R8r6Olgsoji0t +EykbAgZWrmDbc6/pGVLydheP1nVrEVCygQ+4Cm8kD0COJsh7eoAMrthZKvj3 +1o4bYYC8IXeXlRhLDnDIyHe101JjshJALvADlpH1IJkkx3B4pIPVRFxhEyfq +Bf28nvSYD5a4IQ0FFpU4L7iiuRlUXMDt3Om84Dhk7VFzY3goGih/UVHnBTv5 +scsLvjLtwKvFA2U883dhYSSHHcMxCad57js7tfRaKorh5G7CXW2jc/2t+lzf +cnJLT3KcbKS4XCdMBEFq0gB2c13ntgukxsDI2AamGNky2iPMaVBW+zgV1g6t +u3O8/G21aVbsAvuWiJ0xDQS+L9Qk8mMNabCTvYzLdF5tuVm5+lSLBnIzNmjE +jj5ptXPBeOnDAOfmU7Jlxc12nxNIxnWIm+xv/q25+TLdlNEoW5MROPj+ZAff +749VIwefTJrRwVfZTZpfNNz8skya/07cjAvj4Ta8zeUSu5a2HwIHH2oyllbw +JcufO26WbGLd1vYWc7M0tr2Q4OZ53OT0CLc5uYnzvihfvsPTZ5CEWbOz1mhM +S+g0JnOW8SR2+l2amDwLO8vU2Qt/U5NmEmWkmLkqN7+JEEPCKsSq5/PygGJ4 +uZc9SFZ1GSXgZYEAiGRrxCOzmJevzODlWQV4+aFd5+XXiZdfzeLlFY6XWXrZ +Kxk9tdoLWu1/S0J2WUxORcEOYa+4zW/PsAt9VnGbWcT94rasnIrVeD7r0tm+ +UelsKl6fl8s0Ly9gXn69BLwMEfrkxhFe3loML6/L4OWvM3j5I+blt5mXJYP4 +GeZlOFC6n7fDc1hmpTuDL+dLEjuDIZ8CefkwvsSqcVtGXeXQY1XykuOZl32H +HuZTLB5sVfzaoUeXylhrz/NbZSYFyv1rC/Dy3QEvP2YeTyhefs7j5WaWl98p +ES8fv7t4eSZcH+Zxw0a/NqMkvBwEEZ+xK7xcLn/JMIr0trw8CHjYXEyGh+XA +RibLIr64mtXIzMpFqD0uS6hsU4S3ESaX8xPWsP0XcNlAsuERRGSaI5v3gcSi +gp0hWz7WjGwuWGbkIgDk3G35e9qusY48N0r+0bry0HHQMh4lg84qDKiAvTFg +sg1mk3Fy7aWEyVqHDBoshcmiu6CRsssi/oSziD9j3cUiz6Un94QHXUAbjpTn +8kjZ6S7cSHlaYqSsdBe1SB67SsaWPLLEqgjBZEYuW+iGI2Vdp6EcYpn5w4lD +/SFtAkxuGZe3od6ief6XwJX3S+jKg4IyHcIWjJJJa+FGyX/gKPkoq7VARH6o +OhWXPUrBCjjOnOeEADDWHFK3FGFuRMsV99k7/0316wwts3kSMkSw53m6+dZI +fh5IY4ScZUfj9BoUHa2VzUouY8nZVzWvCVTNYvrzv41hGJxQc2/beewkNJ1t +MwpKynu1c1HSSM2p6GN3KoC7HZGQs5JZwt9+U/3Gvj5Dm/xqFm/ye95QM6iY +X4JJ8xE4ad7ymj9pXv8ORx6rXjkv8ti2eewT6TNW8IGsxB6nqPlN1eqhzX7/ +5JBTUTTbifNKmjg7VTOZf+ZmKJsxFtlOnSkYVTQbITmHmg1f4Zym5jOWuUkz +BasehCY/R8yVnSZjiUyYRbbsJMsDUbLsaLl/KWm5Sylo2R0w7wwt35E4aC6u +j2DXaTkwKvWyPXPfGVr+XgW1Oi9ff/Dy3bw+bVIKKgnCmuMs1bKm5YuYlkPV +snj5pigv31Tl5bsR46HocJe8fGGuBQygaFV9splkGX8VZBkHtFx5d9Hy8CQt +wyZ6I94OCtMyVEvaanNz6Uu18bsBLT/HXt55nGx+H0uurIdvhao15svQ1Rof +bLvlhtluubp8KSkPH3fLnWg9fEqtvNj38LXXHr5FYa2x5HxPSij69SUC/Yu3 +K1q+j2iZ815aJqRKu0LLmTnfydMXRct6Nym0rLoYB2TQMu4qd5WWVR6MR8sH +pWg50mEEGozkVHl69+2sSt6T1ch0qCPpbDd13oyj5JmdNxfBDDn3qMHkjSS1 +ED+ellkoHbJCZCu1sIzcJsHIIrdQAW7i03tKJVg800i0yfE4GfbGL+txcsTJ +sT7ZSS/8IGN3J9DSC/EvPMQVdGqkrELdvAo6hLEg1M3z7MExv5ZeSMLCGbZS +zXKy9HVo6UUbf5y8QY0jN+lxZMomNkR8eq1csJjA1djmfoGvSC4wXKxJxii5 +vjdKFk5Gf96cY5CR/7CMfDSJY9lGhgLZh4WRq9kx8n+fqGIZGYGMj/+H1NsJ +Xt4n/3X1mzhregbLyOHbdINjZ9zWTLXfMncSIFPnSTx1dmqNHwONs+TIrVGB +Gd7EufEo1Sh4ivu26pCS1mFIifr22uoQbhTsFnMzNglK/YrSNcspwb91L/Ol +/C2F0GRRaHALnheOkQhM/uMRFZgMk+anKseTZuFmrAlxk2bk5vl+A94a7f4D +hcanf6Pj2EVphUbKAShNeAu4+kq42bkAaQT1LB/bwuLqJs4UmRpqnPXE2emc +XXBGyabO+1OIxjLfHRgGaNhuZ540n44lsaRnHsH2I7L8VWRVRnWu4qqlAjBE +v6wtfq3xKBl4uV9UcbdrvKzD3+pZXhYQ0NVdwMviW9IwkMXLoaN/l3i5rfDy +c3qC9rk3QSuel9cSL880vAz6Ze+0eavtZh4M+mXl8ju1JG13Vr+83U7Jwv4P +HIf19dvupvdQLj9sx9po2+7uxOwL4uUHJQXZrLBZKci6l9nycuXS8vL9dooE +K+NXNWcQL99HvLxNLA6l4eVljpeXMC97DXefU9ml8DJmXqykra/OvLh+BW1p +YSt7KR/2nMsWglGYPfN31i3X5Msp1W7XKdluF+uWQ3ef0y17XczWAcvWvk+c +ta8Z5yM21/mIH5C0vwVnwiAvL+DtpMfLr5aOlwv14mTlwggve6cv6vooxMuT +S8DL40rBy3EAnOGCdObFwAzNcm+Vb6EVGOTiQ/deUExXBJCcK2srlkltsZEm +yWX0JNnQtrkkc+UZkwWR16LSwiByEfBxbq4i5NVWkGzz3WythxNcQI/PU4Ew +WdrsInFyneVeqx0KlGtkufg+MI/3WHjxthJeZEUfO+GFX/lxN90LrEj5Flv5 +QaNLGFuySLlK2G6nnXxxsgUJL+AQP6DkFiR61U1scc0HRfB6GJUpTvYtYnYE +eZ6yiU0IBBdTwhSL+qq1rm5ikiy5bj4hk4VMxBYhIVdVhKz6Kv5ZyeaTDa53 +xM7Q8pfVZ6kywln8LbsZ6Tki50rTmJqvVvF9kw01X2G1GugQ9NyBTuO8uo7T +ONuJcwNJX9Y6jVN9HXomNSc2RUF2Bpbt9eI2F+7f9r7VrK3ZYfujuWpE6TP+ +w5kZvhMwlbDMunJv0lwlkZcRaJpFn6GdgO84fYbnBPxYTZoX7hfVjFhdM+sz +tBtQUzNpNPbCEdSrXDnyIuubn1WuQI+aWafhT5wpRyOpc1Z5Glla56tUIrNM +nH1qlnI+N2kWTbObMkOElQgsRZNRg4SWaN6vwwZ+0S+7QIwB6PYTWu60G2j5 +LHvArGm5fiYtT8+g5dsTwkxtY9olWm5hiWCJIYLCtOzHYAER/Ij65b7qvLn/ +rQENJJxMlpbDbugJSpMpbXnSG2Lb8rZbt98loX55YNwbQvrlbaRfZguRpuW7 +2RL0oE2K+56T4lxqskfLtYiW3wLZImZCfWQLA7Jpmaw8MkuiFfKOBC2rzfNr +mpYPM5f/oaphSNHyEqblFWlafotD1F/ky9mn5X05C4MuT6Bl2wWtXH5jlpLL +bwQmJVdBiRNcSqRbJpef9IQQLXdLtuOJbtm5/KQd7yyvHU/TcnyJXB/Q8mzz +UEZYj5YfN7T8ZAFafuOvpeWkVql4Wtb96cXS8oW7QMvzI5ffFYN8zbKeLF/d +25HyNQEpX8sZFzRRDrvtNulIi/xtnTZ6igscJbM86k6rSF7L3R9xrAVBchBv +oYKQI8lFYxeI7ED58wiUSXbBoHy0irsQhTJKryQCDhTKMFKez5f9GwaUybbg +u/mewZHy0sjNR5tmGinPtSplFwMnI2Uire95NmnpSnfjHTPOjZRxBsnSi4aj +FUWdQgVuLYfm10snXii9QHpSoAxdFV2Pz4y68EG5VQzKF6o8hUu05KKRV1X8 +H9sBUlfFW9RRo2SKefv97lpWkfyncu39mRgj/9eDZMpeAOoSSDbkZfZnO/5V +0VDx4PoIzPjfPqWk5i+qzUELioRcf4nRJbcGBH2TC73mYwL8vlYK1c6+ZsMF +Nk9gNTon0dlsjXP9zVGUQhcm0A11Ac3RRknPnNX339Dz5m6u9htDseX7L8cJ +qNNo5aubx6kNksybRaeB8+b6rqDPzpt5I3Q/OwIfqY7KZpk3/2YzNCqrbpIj +k90kG986DOfNNGg6NO0IZHrGamqUNPqJcytW7mldgX6Whk/P6Azk5DlNz4X0 +GqlsjSzNxi3cY+KHNpNeI0XPts/EK/ajebMlZ17WhZxPwSKEQ7kMobJy/9VS +zj/RZYiKWai5naLmLta2lJWPEVOzXzCiqbmBnTFf7DcnwGFzRM3hAC0lz/xL +qbkzU7NPBt8EZPBj5PqLqDljhlYqFTNTcypj+VLWZVw+JFYxa2rGvhFewiUj +4472yvUHSXItdZKcWWYxSU5Ts+qXhtNZPJktTM2SnCqmnmxqrksbaKTmI51M +S1HzWslgz6Dm5UzN0iu9gI+RXuUQSclVho0v5Krfw5coGBFmqE5pMBhMXOa3 +643EKJojbbue7hcZKP0ioF5e0iWjX2RwhtvvDGzXa4rUfL7XKe1kS1cF1Ezq +5TQ1359vqY5gQmpuDpfHOy//j6k50CyVgJoHTi5QNDLeLxrZaWqu5Kh5iNt4 +htR8VWq+3J3iZ6ar2hCy9ElDXmY9iKEVmCk/mvTu+fkWQsurXMaFFwTnmqLn +iYevqQ6EI1L+R0Pl4wvGyp6XT+VegJ/vrYSfr7AAw/f0LQpC4pZnjJa/TITE +fWtHy1e50XL1SapVzw9NXmM9fXruGAuV17fWxARZveEJvaMl26IXBCWHY+Vt +OhRO+cFstfGk2MNHc8cGVpwcteVljJRt3THnW6Aw2Y6TqybGyS6pDNWwcrIP +x3kv0pHeoAZH7syYeWW1O/nbeJctBi81PKesguZb/b2OclajZy3acC0nQShd +BM5k6/ypWHBOnDDwxskrEe/LZYos1MAiRdk0hUKNyBIYNFvrkTPbNTPD56JW +64oZrdaHq/C5Q50lMBg5AzjTyJnBeYmAcwU8saUAuj09W6AbO+8ZjJ33zL/B +TdevKHugCDae4XlVSrChR8/aJqibTrRoQ8D5+uXkz9fgPEWPnJe7kXMIzWcp +aCYbIITNiThDjZoxXM4XZjjbnwBzh1IDc2YLCSszpYWkLvr++bT5M9VC8unU +gAaygPm+vwKYWxUCZq+QJAbmPsmYjJ/yA5TtD0bNA6YngDkhZB49vvhSkouU +falEQuZuKdvfBorJaE/O+gc4evnRIFTORi/bauplATB/XCwwL80E5tkGmG/K +rx1pgPneung33/ZiRR+Y3yoOmOkSX8nAvJiB+QMFzK8wMP9Thck9sJJOhjAe +gy9DqaOeZB4Xc9YjXFp0WQEwV2SdU20+rQlKSKyAWcctuxISiCfvaOOWR1nt +khRX+ikyl2TY/W5QwHyrAmZ3CmOB+d15DMx8eSx43gJzMwHmtxmY39pJYM4q +67GW2AKipRCY7VGMAuZEG8lpOwXMQSf1cAfMgYAZgVlb/U50qTMixnD+PiVY +9mCZR8sdSaWsaqQNMBtMNsB8hx4p69YQqZRm3UXo4PNHyt9muPhkpMxtIvXJ +yeePlWNQprGycvR5vXtZGgy43OPRchwUB5c+peM4tbIbLbuDfDnE16NlsYkl +guJsmrJ29QWj5UxCClpFkI46ODo6Kex6a+3rLxKBF15ysi608ALh6uf/q118 +GHQhI+VaFECW0l0EARf28D6EZBgnA1WpqmQMW3jRjSXhUB8sZANjYC6PcFx2 +2JgxY74371hkHi3PPPPMMvjuPd5kjr7G/H+1efxpHs+Zv1rHPHJli2HtIw1r +L692r9o63eu4u6LjbtGya+7+KuLuazkuZarN4P7OhkKraDtdn+Ix9wWewXC1 +6qnRudw+c4fa91QcR3evWHsjaN+78WGFEkdvHco/URhf14pkHueIzIPbGrWh +0Iuuq0/NjLNSg+qa3qC6uAgOL+zZlmgfhrUoMKj+KRhUR4bChDhaPPjLramw +fMTc7xdk7lAk7eQebmC9jzUYZg2sdSC0rU9RJsOQucNBtUg8RBQ91gqiJXbj +YO4/kQG1RG2IcbAen0c3jkyDxNodS8zauvGvXQZrNyoRa1+rUmkLqTt3hrXn +M0y8XyrWfvpzr+YkNYDrgyLPLNPgBsXaLOu4ams6nHZiCUOcT1dVJxLinKg6 +0SJoAIPrrGmQRNBzrGmQJmEwAZOIDRdJ9zUu2DDRgkWairAVa1cR1v6AWfvt +ErC2TKbmMmvfaFh7mM/a3IZkWXt+SVh7z4i13+HL9xUObs5i7VmstJquyq/H +e9UmsH2FywkupZoqtNmJn/st1qHNPawKqmTi57Esfr4goXpSrC3N1x/NUKw9 +xzz8s5uW72nWfopZ+1lm7ReZtV9j1n7bXBrM2m+WkrVTJfFR/EyCtWetp70o +t2Aja0/fNdY+O4u1T3cyKMvapzBrz0+aBW3pdSB8vuYE4extMWezjMMqnTl4 +GQfSJWkjaZuSbdAgmhyA3xNjm0sT+6s92cbXLNtgxpaGEm7s+1ddl5Dzsk7M +UNIN6PL0h9GfKPkGMfYH3FhC8o1XVRizHzK32AuZe9hc8qmBtHRcz0wMpK/O +fxdqnY+62GvxW8Va59UshrVaZyQjsI5BUBkJYP1w3z5Br3VXjlmgEGYrfD2J +ha86gDkcRgNjXxAytgqUQ9kGBJQ1pO5q3UpiCOn3YBBtw5Y5esEOopVkI0zH +CPn6FxhCP1dRTS7hvI9oyopmMaLhCLPnM1xlqHhAwyOTko5y+J7yrQxB/2He +caL5/yUGuLebtw82jyL84z3PMO+CNwaY/9c17/6beTxiHhvM48DRo0eXKZa9 +980vq/YA7sioEf1By+ErAg6nHVoWh89wOSxWOR8EflQR6bXEF0pEHs++C4pG +uLqwETWpr2vCO7pmQT9OSRmclfWbvJ+4trSrU2KR7RKFF5oTL2OBUFhNqAI9 +/isz77vVzDsls36a+Vtk1i/40dFbpJbQm3kfYmfewOCrPjpImRPjKDzd3u0M +iikGp1wsj8FZlxky+K6IRpIMnqgwdDPvv2HDtwhFLuLsrXPFkMjN3adxsQqF +d7hZtzMhhuIQkVSn2LuHPeXe/ewtrirF3h/dFGhFS8DeC3Ybe3dQfOHKU75Q +7K0NiK5ZuG9Yvm3Yu3+KvTVbaLnoRBaH6EjogL2dpDqjQCWQVF9tC1S2UyQ0 +V/jamkFbvs2BHcjeP9h4u2c43s5j79rL0LoPA7GSs7ecDLtWhC8Me8Pt82tm +723A3s8H7P06t5ACe2N2T8nY+xNVLfjGSh0DXYFj7fbJ37+SfMOzOdX9huXK +eLicPL/ncmEK6a0OYyk1OBPq4OVDx0Qt7Zb1JGTvUEo9wIuA7sj9QmFhSmM2 +HjrtFF0i0ikI3tym2Cl4g3UbNPuItqaWvd8X9pagjuLY+01m7wXm8Z65ND40 +7P0xs/dnu5e9tXBK2Ns7B0pcHyF7B/2CIXuPDdl7jGNv2yvIbduXAnv/K1tK +rWbcV0cz7kAMEnC3lU3zcZIOcL6TM3KsACQrlU6JP6KSE07dQOZOeQob0Fxb +ZtrQlJ011ybmXoRtofPVXPtdJZl2LYFvBCIQaQrUs+15arb9kDfb/iJI4vg6 +SOL4ViVx2ABhK5v2Z9v+RNLNtrW/cH3UEhiLQDZ27ugCgSWiAQhoUJt0SfPZ +uiG7OU4i5fSfPIWufo6k0gFz6/BmTNyQmbYEN0P7nxN+/P5YoaSNSiL6KEJ9 +9N+c7oPH2YLbBFAyxHTiAZhsCHrrOXe5qmeddVau/FLzmyuYreG/583v7za/ +34N4es+RI0f+bt7VG/4KMnZ5+Od7BmS9tNqj9udiKXpPH7GJhv60GzzptD/7 +vFJI2bdwIPhMleByg/rZcQbH73UsSDjpzlKXqDiQtaGp0QaGD/cIO9VAuVEI +OwwM79meVSWS7tKGVCXysxUaGeHnyovMY4/qdVyaIz9Ls1W6oUy4bcg0/ez4 +dYZ+9IedcHvRH4exkVGVs6jIPEvYn1GtIU64g9g8CZtGwsZlOVSW0BItFYdv +G7JGwl4Zmxo1YT+tCPuxTMLeN0HYJMmeEUy4JQJEpNgxXR9g6foMDpUeaaPx +/q6k10cFKhInux5oybq9rSUEx1WfXSTrJhFZT0yT9cfc2P1Rlp5095J1U0XW +rzh66PC8oYdnl6vybiHrL/MnRFF4Bch6pl/gPcArWzHkMCWWlJYkPPqCVHi0 +qEiywqOFrLFsZbNfTYhkvVZF4f3AUXg6OPoLr5Zw18n6LkXWN+TXKbLGy53J +evMukTVtil9XZA2X5jxOtbyPN7hY2M0bWTIpShUhJehAucqp6P+VcpVa+SFL +j4vDojE9MpZb+2HRvjMhTL6BS6SeLlf5TJerCFkrR8JHwaGPbet0EXgtdcEK +FtoDWb8SkXUTQ9aNS0HWXZ5KFdunQtV3kqynOLI+uRiyPjMk67NKQNbDDFnX +Tsqtg1KVYKK9nSM8qHjbp+rNlqqtYiRRG3hPUIcSTrGVSqQIdrm5u9wAW8XY +/aNxEGMHIhEAagitrLsiGGJr/6GLtIOwDtCBQZvgAh5io6q6UqiqdmKRONqO +QjuWVHycwemhWFkN5aOqWZAG2TcEoR1XxoPsQFntBtmQIXx64EcbZpMcnA+x +OLGI8iD2a+tJaSUreHuQFbxjnB/SIc2Bv17tgDrZGojH/McmB9iuBcWPd9ah +HL8mQjns8Jppels0vP67o+k3WYor4MQ+tv6NiKz3tGhsB9qgHjlPkfVDY8aM +ecK8j1Qi5WubN3uaP645evToF83bP5jHfuedd16xg+x9980vrvYEH4GQ1IjQ ++/EAvR/iI5H7LXrLT5QbcM9Wmn0n7v5Gi0zQGemG299xB4wWdrvG8fGUKeIJ +TELsZlF3k9Pyazm52jkhB3vY7dL34gBy/AnsFvwEDnLHKFZYoh2QFyktf6Gh +Nm/f/riD+hD/lLS9ZHZIlfwvyT7EI9LZITDUfucQlbhXQFiyOBaWxIPtFHbv +qbDb9SSmsPtZLoN4KhR1S+/LyuKxW4ba17P1SkQlFrk5vEAE3OczH6B42zyc +mEQPs8Xp6PJBRLQ9UGWDSPI0ZYPsCm777d/1uHgCrFt2Ssft303tCXlKjbp7 +cbtxjNttLG4nWsBV8rSfDaJw24q2DW4zUvS/2RelRrg9OS3azkqfPo9x+8JE ++nQkJFGibQgxwPRpalTDaRuEE2BXC0/VKBvErPWtCLddkt6XNnnatoCXGLf/ +yaEA85Rq817G7dtx8SXcPjm/7Z7j8v9+3gVr/hzg9k8lwG0wMS8yl+/HbFwW +3HaJ0xXyj6+gne+9XFWKzd/a3chRPBfw7vX0ZZCed6i5fLRYu665bHyxNu1Q +uwZibUmbHmIulWGJtOkz+aznXO8Sqe/htoi1qctQNFbNEbdn51t8mKr71Lit +EqcRt18qiNuNDG432SXc1imTPxTA7QyR1dX+dnTIX4Hbwx1uDw1wW9LzQECS +EGpPx50r+R+4oNBr7XbibCca8QQjYVx0lmuR8j2K4DrM3e5jNs6sv/IxW7TY +gNnHFdCKqEy82LyoNdl+3EeWgXExYvY8T5e9PJEi/QUCUVBMWMkvJsSTfZld +S5CaN7se6+o60MHGsGNP8eUEv3+QIK31IhKU5hxrm7V5cRg71vTpvS3qbhYX +2U0R02IDr5xbSqBRJzKnjivlVtEef7BG5E8xK6IGu4qdWRNiq/mji/SIBtY/ +ewNrh9gbJZLYIvahGE1s7qa5IsAlA8X9GlcspCF50PzmeQXOMswm5C4H2pGv +zLvuMg/4q1UMehcv2ja8vbDaM7hjo+Ie2LXJUciTir0f89h7eSZ7z1UxjLdG +7O0LS6Y5cXcV9aPH/fFydLJKZ/l5opKxidYYvx8z2vWZH8cNQaD5BpYvpcQk +P0c/jsoecF4LO+q2Ym6Vcv0fTrn+zfYp0o/fH9ynKIkylrnDUbf8mIVibhl1 +e+0wPOq2mX0iJlHcvTAhJlEmyhW7zN2p1ph0jp/m7rhvcV876tbJI1rIDerS +S5YLc+9vmRsE3KNtNp9LF6GeRDJKUkVFKNxu44rdlnTaBd7m/vAEb9e3vH1F +cCqeUqRmhIy9/0hQ+MYn48jbL5aGt5sjby+MeBubXp5ZGTW9IG9b4fYPnCrC +wu3AJNk/GOHp9OqBV3LbyxVKuJ3oE7eC1LEuWQQ5QuXxZfaJ92He5raX69Ak ++TPCwa2dskySP6osvm+8LD7sRTxmGa7ecOI8vyrx9rvF8rZ0OkhF8J0cvHWL +4e3r8+tONbx993GoNpRUEd30gr2IO8Hbb/OlCZfks3wZPmZ4+0G+5OZKd/hy +14U4yba70NZ19DLI3zucLp8lklZdny+bFnjJUG+4CLZJNKJ7w7suBh+xy99r +J/l70QmQDnUP06p9c2RzvjxsXej7hepCM3j77TfzTVO8/TrztrkuWr9UQt6O +9qLfm73oD1HijtuLBrydcfyzW3h71Ha/D1F4+z1fsK1FI8nR9jY72r5BWBu3 +r5ttaogyQiYNkNFIuxVtcb127yBH76nUPNuaHuPQaW+eLQKRGovcPNsTZofm +RwLtwiIRv64lnmlztWGluZaAXB8IH/ZzteF3eqatTJC2XRopx4mzkXAaj3Yz +bd3+DXTjGSCDLo/Ofv+dE2a3DiaKXGNo59lMN7aapbEjHJhnXxsLsn1xCPfg +3eusa54Ym4XY/9XCkARkb1eQbTvyeI69mSF7s6Eh2/vxNlvcHGTzRJKnkiy3 +7dekYqwccYJtsD8CVU82/xfBtoLtr0EqMnLkSHiUg39bHGwfse9++c+qPWeP +SchXC3u4ZxPg/YQC70cj8F5hwfsu9SM3Rx2l3OJV0ciP3zfsqvTU3MXqTKRp +U+oadeVM8dC9oW1fFZht9oAdQ31JnFpCDspW7sdS9n/JxJLgxxGOVmDPx+7J +P6y2xMX86VoZ8QD4MX8V/SMTHnRv8gbd7sfK6UsYuj89wOpLvpW4Pywzj6sZ +l39ONTMxdO8ZQ7eqm3HQHWpMYuiGHLEwOFsG3bqmUbQl06Mh9/7ekPs8qymR +GAXQk0hzclXut6jNAdj1A6V2a4btDgq25XC8N8N2v1LCNpWPW5KIDspTEtQs +2L4/A7b5sBzblUsK258SbH9GsP3SYlurGMK2iyn7OkokOVG7JG9fTUDhxZRt +tHqSgQgUBiau2YZAAU7JJGxPcKG+UYyfrVfc7ulJMJVEKbUnJ8Kv/aqYTVG1 +IshEH7YuSYLtZxC2v/SCrxG2a8DKbVbtKtmwvciDbcruopVY5Jq3/D/ezjNM +yyLr1n21fjPm7JjoJpuRnCQroIIiWQQVA+aMARAFQQFRRAkigiKCAUFABSNK +znQ33XRjBsUsKDgmZn69p3aq2lVPPW93M17nR3/X9+nMuc6Pt55atfda98p8 +VmsMiu090+rgEffE9nv/u9h+j4+iTUcasf08hyWoePxQPFoPq2qYgVINgyQS +oJAw7HqzYPvqJbB9XVXpeGeN7UuUjjuHNjWO3myZ8PUA21cgsOuh9ni4ahhV +pbguaE9andaepIDXKxYpsf2+FduN/lex/XKK2Maz4cR2N36IdtcJ4qjXanf5 +YvuuSortKwOx3deI7SVeuMGGixnZZ4vGIejA+yErtNGWFQrtHxNCO+bGdr6R +7ZYzD/sk1+riO7BfEwd2/Y/UNDuLyD5ZRHZgGvGm2Upk57n0I4nsmHHkdWsc +iU20SflMz4S9iFEsX76P5dtWfYjF8n11SmgeEVVznVU0bqIdyaS1DibaIWVE +OsN1WZ5ueLm+mXXLpk2zf9ciW6/vnzzDiGwGVWv0nhhGFFHkP0ITUQJbN7ho +o4hvuz4xMsU+3k2xlzLsWFSQ5NhWHZuTC1oo5x/EcLOO26My3Uhsp9BIvjD/ +oMCI6mYDBgz4P6ex4T8nf4srqrE3VF+ksrVv8a9rkdXb9JRbwHp7ntXb7tcm +EMik3iaK4NNMM9F6m3Yqn6ght19cowgmuF+5zy+s0Z5uPeBGWqDGbLvE5Hda +a3M+l7S2eQK2vTCoQeecwHnQaA+lNC3dE7AfW5qQfcMt9trO5FU4OkPJX4/S +L3JvpPZc52792nNlKOHh9u4oqeQE95TTppLVxwRY7SOt1ra0kqJD6MLGtORB +yNwtK2Njibm4/06tPZcjWSG1ZIYabodJSaEDPsKFNKKz7+eO5XsZoX0He7eh +axlqGq9C3/YxyrctVean81Bbk/+YRGI1thhQJdolW/Gef6PGHplFYwti4Zl9 +1NhLIhp7rdHY67XGbl4BjX2+l/j6zGjsz9GX2iXwbMcLNLTG/tnT2AnP9r1J +DZFG/rsrxUQi5L/hykTycKfdmdHnK892ey6YYTEwXUgkLUISiU/9Q0y2rS5P +19gFEY1taX/mU0gYgiciGjsPj/a+aGyIXBSYo7rOlsocYPHYCyQFycfM1ZUf +ik/Xh4JCmZuKBY19HPqv+hZXxyCxVJV75pHNSfMI0EfOL3T0EW0eaSUtpQW6 +pfROcyxkmD3EFcpsIDR2Y6Oxm4BXez2YRyJ15avL6Vxa8QZrbHM0lr+rNPay +fdPY8/5HjZ01zwAaG86Gqyv1ymUi56PCGhsIJMTFzsmpkaCPhAlIPcxG6gg/ +U0VfPxHq67ZKX7chfe0IfpR0nNUiMcQmo8gTeOK8cGMDTbfW0joCFdHSukbg +x87z59dQoLgapbXvyV6vBJDMsAtTIH5xX/ZTiRn2Z94Me6Td2m+rNtQND1Fe ++zNs6Qv5uh4BRpwvWwJplxhprQEjTsBY2nUHmWG3tkG0n6VmPIY3FtTaXY29 +Rj2S1hRG09LaBtImhjARI6ufq+UHG8WHLb0vgaxOAkR8WQ0b/F2JubX1XhsJ +bUR1zmFKVruZtcjqL22QzeEiujZOSOzyCNmgl9fVeBd/NvIqk/WH/vnI60y0 +c6HVzvJT0vnILNrZM2c7g8gntjJ9bEDd5lVJMKf+UtcR6Sykarn/OtFyL7q5 +b4Ku/UPrrtlNITGqNq9NbAwAcrVhieMDKk87WtWeoyHkdM8QItnH/3JuNmEI +WZCXrD2P0UWY8JecT0dMIZbyJ5U0yoytZtQlqJvjpBE/BwnGkLDcMaabI6YQ +O58+xBpCtAk7Npu+n4l+kne8jUl+17ERBKjZl/PFjznHzbXZBHKmMoGQ6drp +5XP+N71cQAO3hF72cGRKEKz3Sxib8NAtqpd1J3OqXn5vX/SyT+5L0cs24xjT +y0In+zaS4xI6ma4wD+ZuumwuQgDWVARN7/NKGbXp+mIhZe9m07VPysaMY1s2 +Xev6ctTLnytyn6Zki16GKuMNaNdck7eyEnp5CuMDxhu9PDrzXf9LUS//xvkK +ooecaCnZP/AxRr28Br765evlFUovv6moIbM4Yvw0HyvwV0m28X5Lxj4ic6Nd +6UBeIY/BO6dxTiFG6xPAZbJ8MTR/tBTzR4HzRyEZe1M2MvYjRiuz2XptBKqz +uhyg5QrOItg6mfeZjg16mY5GhfSyl/1N0cse1VLpZX5LVlgvq8LSiujlmwO9 +jHRsnQXW56QvGkCielmT+mLzaDZZo1bmqDBo5SkJrZwk8fn5xdDsAfS9TxLB +xWRpYlpwMbvRYyUKZQ4v5i3PSgTZ5Jk9wgDjLI94LU9g6hORKmuxuj6S+Sx/ +tN22U2W1bh6/l6ytpw50cTLhpCnlgmJZb9ZlDu0VKXYyQlnNoTsos4etn2az +hy3QUzQQzUQbrNrFeQYtXOK/1AzaUvfQTa2o1ikmjz+5UE93g0Rd1LhxPykI +KTrcB82e/0X84hXO9ioiGT6TO9YeZUTyUYr2wGpn4+FW8cBW/uImSQNIRRTz +mhofMM2RHl30W/pAqedw+vwmm4jEsT8/iDimOz0cR9u5PD4Kps6etRp/c27q +TMpZ09bFVn2XMxsFxHX7+2soyjmoJzpbT5sVDVI7O2AX4lFpmBrSTz3WhBoi +lPV7mLB+fyN0dfwu8cWxTFX3mNj+tBl3Hvb3Frg6FrpaIevUD7jYaV00OsIY +Vc5ZYoy+ck5aqn1G34ER5eyijMLJDqfNYqUWLp/YqMPo4igmhQxjDvZgdnPc +wZDeG22D3FFsnT6JgWE1FfO6rqoxb84dzG2UYtbwsPIU8zXe+CypmLmAcSNL +gg0PKsWsO5ljivlZq5iba8W8IqaY38mumD+ooGIG1rWjgqBifjGimKMV5pFu +Ga0MWDH3zmKbvkbXmAdkkDtUISNGr5Rivk/bpru6UZnjXZOTw7NN8yhsJnfL +AFB3jlHM85RiXlTHKWa4nqHTOLtins8tbLq2HJZtTynFPAoV86/P1MHHsGA2 +UTG/6/D2qJjN8Y0pZkg8lLJiltryFZxmeCeggUg8UcoXAS8/WuzSnD5AvrVR +zJQ4OMHWlV9q68obB4w9cnB0CUkgqcWLvIApuAWPR30sXnR15c4u7XfJyPKl +6VpZviiD02pJE3A8ERXzXFbMC51i5uVLk6XZFXPTCilmpuVgnOCTIE4QKOYp +FVHM5m/kz6q+nFxOFd3AeOScQDEHNBA4Jzk5C6m7tHfg4NDTZYuq3M0EEMJV +intjYmyy3Fqr5a8SMURrjebSxLnhWNnWizOn+qwsluis2UPHzXNKeYVSyoL6 +gLkgqJpFyr+6QHlX53j8PN8a/Yzamyerxz/LG5VwbWyPuDZQLYdzvnA3bjln +DvfxQ9pYOW2+dxk7NtAW3dzaov8d2Yt7M75HRCkHc76w/+UFH7oQOjUSFeKh +Dfqdk5JgPG+UHGYMj2KVfDSrZFY15hO5PaQOF7j2D61wujSp9Ij5hMMOy6yq +uZy3FavRDLQ6Ip7dY+xd+yALrdIFnnhOs0nPjFikn2au1GT1UEsfO1vqTATl ++BWTZ3Yoq4b8FL/RwrkxPNxEOENV0SVJ9odn0wjGzdpAhA+3Fi4Oe6OYh9ih +P9i1nst2Q1B7fnnjaV55o7NoVLePNOfC1z875bx/182nErg9cdkL0Jo3FdsD +5F6YQ0wTzlCTDE3ocFMDu2tdpA2d4NYHBAUzcbB1aIeezvvjKUo0j+ebfgwX +yIwILNB3MZTgZkbqUaO5FDPmMZzgZG64OEtZn6kgpjvumaG9vP3/LJidpzNN +MD9UAcEsrRdSyfw/COYPhRNGgtkKg6JMq1Awz/cFcyJLpRuadc5Q2zLQ3vlj +6igtUcioRcFAxfa4Ncn2sIWM2vosbI9IgznsluHmhzkZhKPQ+tzOQaqd9Xm7 +yhkSRUAwelQOQ4L5w6yC+Q0rmEusYGZ8Xt5TfLs+ToL5CiOYp9bBmwRtGUzN +dIL5+FTB/IkVzAdmNpcdgMdwDW96gHapeR7YVs5H6ik+So+WKsszQ6lv5k7T +/uhmOhG3MpcWp9gximLFi8zyQDsGFS+GLI9mzPJoaOO31FTu3EoqX+ih88SO +Ebwl2fKccCutjLuVmixdkiqYG/+tgjlZnFSuYB7hBHNlLEtRwXxNIJjZuoSC +eWnc8txFj5c5V9gxMl7m0pfQ5qyZHTZHKGPlRIYwLHRh/wUWupQF7eI6O1js +bM1YnChCeZMRyhtVqYuzNq/Nk/xg6L9YrJbnC7yxcrE1nPpjZWcylZrqJ5M5 +QjCW5o20sGCBdmwPgNP+aC8seSEPhm8i9WHTP4bAjvNV3V1oIL2a/Rc3Zam6 +e9AfKf+phXIY2ILRHlISkr6LP7XvQovkN6owMcFn3v1sRPKu9yXEJebS48nG +vFxVcazyR8kwRhavxZcbQpFMi3MynVLAy6iZXJAzRhCDoOnStPITZhDMK2qu +wjeZuOjdu2yVfZuRm35p5Gf3jnLV++808W0UKd9GiX2vRbYbyrPxsfVsPKHc +9mODt5vgGiM/yZOdV0MgHq7jk1phvg39zZ5Pw88R/tjuvOi02YI72KPxi80Q +0rbj37errqHwZzmGyu4t65yhHXvFoyEOe25gtG+2sIFRN8C85bCLiWnz8uNU +PFX/7Pht5k2bqQG9PHBeNuGcAHjwolh8GmEro26DEU71s8rXPEk8zezPGMMG +zAcZmDuE281tZtB6mY/hOjjJC9Y2KuC0wMess4IkmLt6y+byBXPLqEGTQlC2 +pqJcwfxksqoiURP3MgvmefskmBtlF8znLHDCoKMHwiuvUTFtkgZT5p3oy+il +fBm9ZMqsWhX761bFFF9GrNllkG52Ub6M4arBfPT5u9nHvIvZ0zQeQx9zK9Xq +otrLtWBGEJ5tU0wTzG8FgvlFC8AjpyMDOczt+nmthzPfX9GHBPP8UDBTo0u5 +gnnLQZktLJg3smBGAB4K5oMYyEFv0ef5KE3mFhftX753C0UAbiwBbLtY/09C +2z8dFe3H4EVM0bn2iFyo/Rheg0sMxqH9GDG7UtryxRWMhkeDBDNlBMuzK8UF +8zojmDFCWzHBPDc4FzHBXC6TndsULbSmYoI57WxIltY+KHXzUSiYV/nwO+3H +6EzsSOtd5lZyaSSnlsQfrBdjqoLciWc5IZb5SIlYFqrdggafZPFfwFQ5mCjX +VkK5mt8w7hHtqghoYzkeTQ2PduO+mP/CATdcK0sA3KgyRTWzRLKAthExC3RD +GhHFsCyNiLID9xJXoSLhbjqZKrenMd5O1ZoRukZ3W9doFqpBiO/VKDG7Axeh +bFQJC+W94R5cINEglF93u3BvrJcAQ4tKOd4CoTVIQzBjIpJDr8U2o1jsqI/d +pahaAgrC1mDsd+E+KebDM0tPXus2GlU3Erg8X6tnHj/j73Al/g6T2433glbO +Rcq3EftNvpK67SBv0FS18ZgYPOQeVVlVDYV5ILOtum+oj8HOxVT/jW0QYp9G +E/FpRFKBFjXd0dt+YF5VP+guU4nA65t5iGnpTYTf6B/DG0bJG55HQ5M3oohp +8QPlZx03e+0uid+jUs6h50f3mAuFoyTS9JLFpxFD3+lxc+huFvrGi2y7TFXN +XNwmTS7iaB68RbwZjLUrPhIlwBUoAZjJhTLglISTuad2MlvFfAH3j1dUMd9g +FPNNXqSprm5o2chL5w2+TdPrHV/HNs21U7Mo5lcrrZgb+ooZ3JrNEI9bpDoQ +JflXGqFr6OTfF5mLnks6mVEZWJwd4aN7KF8Gpv+0Yh72a3L3nNLYki39h40t +/XzChuDsHrzI4ewgumSdzIyP1m0tcNUDv9Yq5oafKHR0KTW1VEgxv4afuaRi +nsTL28eMYn4IFfO/n66DVwwGewOUHaGj6ah+naqYDzCKmY6dNLRAXeliVszS +OT4j0s6CuGjzd/cWwdfBVuYYBNLQUanN9qXsRI0LNVGjMN7M0oKbWSAQ29D6 +MSK1oBtGeH3jgq/TwJmma9No6sHRWP564mg4xbw8RTEXGMVcWDnFHFBnoi1G +IVY9gXksXzFne00K6jGqmC/31jComHv79iU9XoZyI+1e1iSNief+qNTyd5ln +Wn/rJfxCtazxdOLBsP6LcKyccCpDpG+z6iykSN8yCBHkp7Of/Uifz3/eqDJZ +bq6nlXKKW5m7w7WDNOwx9J2j9yOr4EusXRG1zEqEGQU7ztKtzldHclbCAZOl +t1MhP0FvuKpbcSpEO0XZf3FT0n8hTuU/wqV3GOdTI2VPiRil/NcrETWyIC+Y +4zlGQYyK8YNlFRzn4+ZwEc5eCzVKjqpkO9eT2R4Bev0sFpVZlZQJM+wfmc7N +Kq+YjzeKecnp651zHtcc9HrTqw5HcXG/zdVVpAJomX3JafPGRs9N/2bE9fxq +hLUxM3jVJbOC9Ft13HLfXe+bNbbrfOBpft+9e92FDud+bNTQJS00b7a9iOd0 +UK879gzpcpYrgjWIdTaTSQP9QqCa9ctuXGjS8ItZPI7GK5pJHmHWLT7Jq/nZ ++Z5yzzO1xXvFrQodzrEVh7zcDrYzZ/1iy6acQ6OGP28mdzPNmw+2mUCcNfNw +LFTNT1gwtGNnDOMSlntVBpAaI45ENzN1HZ7EPYcA19LGDNg2N1eK+VwPAECK +uVslFfPtpJg3GVmwKVTMaVRbaZHQivm5LIqZYVsVVMwNYoqZWsNbLyp2rIwF +5SjmgAkAxoxuHuTWAaB7IJOO5mm9YsaMSP7vypTSlZt16cq1qkiiv2/MEF6G +LV2JMunM1X9O0sk8WzeHN/wUr3WBP7tuQ3NlVzOKOb88xTzb49B9bBXzo0Yx +j8x8f/klqJgxoGAevr8iK0Mp5g98xfyVp5gPxisBFHORUsxLWTFrRsYs8yeZ +vwkW+HwYPjRhNTNwizDojs5cZXnp1ZiPIV2GZMjonuBjuPny+YW9LR/Dz/vx +0SgMjobuMtzoeOjakNF4vcRhnYO56VpXsNLcFqy8yE3h6migYl5k/t5SiplL +Vv4OxWwzsVkUc6KISBmWKqqYK7B/KU8xW6c/KOb5cQezGDJUHag1Y7R3vYVi +xtBdhZ5aZhbGywkTxqeeW9lrSjlT2r0jLSk1Yly5AH7hKWVqSnFKBBCRFTFg ++PM7jzFXJZzdabvow1maU9ixDOrjjNvjzSmNrnImjKZiwvCVhzNhgPI4V4Gc +43PlVBpBmgEjMrPbO02Uci1Uyv99SVG8QH1YpZznuZN3J6i5ac7k4xyYOVTJ +6xItzTm5oJFz9g9to2S0OIzHeCSQAfQlUF29CBdhAsvwC5rnVdbEDHr53Tob +0Z0nb7n3a5lfac0izyaU/KWu96fPWJ/pezfWKxpiiGwJfRvJX61o5+BXq/wa +nyT8GppLxwiXRF+9mjifybq5rn7nEfPZTZvFpxG+887nd56q1YREICDIeyky +omxEbmyeLFYZqotVNA0x0Mza2Gw3IcTRSHCeF6rkaViP+d6J6dPmFUmfxpfs +09ie+p5LYWqYv2wGZ5k2Y6/4Vn/aLB4NbWyWNOCzgakZNTMvl7WheUiJP2W+ +jssKr0Azs/JlWL0sxcaS/Pt79LKUpBAEgESB6GXhNkdbIKR1ba0fa0oVBX+H +Xg7YclovR0ohLooamf2EUw+9f2Zt0Cvqy3DaIGtpSpTjnEz/hb4MzcsAvhws +mce13+UYzu2SRuaXm23LvNpEsTKE36zKCZdX22j08roK6uVptv8bE3/mc/VF +rRGZH0AvT6mD2QQ4vsTKcPzmyujlDXy8kJGxVRgZB9v+72e1gZmPjPDk7rA8 +uaPN09LnY1xijkiiHGWzePxjab84r7l5oYRhb7Xefkr7kR+jQeDHaCSLl/W6 ++3uyORa+gZmekeLtf4X7v/2+TqeX3w/08irzt+Zv0MthOWFML+t3ZIpeTuEu +2ndkJfVyjCVz3yVGL78c9WOQ3383+jFwugxJPz1dPoeWMlMC47ItGmQu84sq +3aeBcWRWdhzmGIM5zr5Q/gt0jyrnqJra+TtvrZPDshM/ZuVP6yRiNY11sqZ6 +hQ2Do1XrhJvUfVlzSErxyS0OGqcqlan4xBmWfR4zRKk6WeKt3W13CljM/fzd +9p5wtx3WJ6NOhsJuLjhRimMv8wfQFTrLVbnt1b4LLjQhxI8PhPvZ7rdPTFa6 +WbZydMedCwI550C/3Q2xFoeGwsPIZ/P9yznCH9rxAHmzguFqEQJAXHCuwZfy +/BS9vD/87wcplXzc4Ydn3qpXkHnL/EbfNr9RMdWTavZ54dCj5b3tqrJnKD9w +PFdZFWFt+G883+m8MJJIlY1I2IxJHo2tUY+Gr5Y/V/6MRCQQ33nO1byDzfZf +B2b775pqsz0xXMQ/9GOYSmWOOBrtezI7A0CHV6pGFPEOBW88TKPyNuQvuw05 +wyfOTXelPNZc/4oiNb8WqSFMdTSbvw/dhNk31GfzZlQgDljKGw/+sYpa1t4M +zdAQYvNbqo4wqpTtdPkQS5obz7yMMcqPMZRjfwO52ttxMo7lwH++ZWQk3cti +yzxnn1QyobJAJd8aqOQQLSsqeQyrZKlvmMBSQHcTa5X80v8flcx8jLkSawrI +WdlcmgEjw+6chcTsqeTd2UnMqu3Eq/O+UUWaIpE/z4uh2k5cteCuzBPm6p8o +VDlLYd7h1QrC9b7AIzCrCm9WybBgW+up5NfxU7aZq7uFJGdruyHmZ67YL2o9 +aFRy78xvoJLnVlXu5ZMsUc5XycfgcYyp5MIyOl5CXn5nq3AxKAwA6dlp4lou +JZA5UuRKCMR4G0PLwYPRX1pNAiaGRPy6W3RMWsSvXzzip+xJ9XiibCN+G12j +vWZieMuWtcGyZbW2J822ruXmiZZNUcnvBip5xT6r5I4xlTzLr/DGM/FMFpWc +aABylYJyJhLbFqWSr1UNJ1GVfDWp5HtD4uIl6MMQlZwW8RMehsT7LDmu3feJ +aN/zDC+fHVHIcyMKWTuUow0lojaMOl5aVTDK2p2soQRJdRyvAkzzXCQdyvG2 +kvEVYF8wKa62U8g7TmeFXOcW5QClPTaR4qga8LvmMVKcsC+EbavaSi4WnHKo +MmSSzJkpr+i4fjCPOzPYYbt5HDqTNWhgboRrq9VxYod9gkeAS1UY7LFwPIvD +AwfoIakqo9Tmp/5pWbdQ9LQp2GnLfE4cobLb7tg8H30YB1ltnG2u/E9UzP8y +ivnNhkW8DSkVyqGR7OaXbK7VBL+ltmK4VC9SLz6NBve9RKsjXqL1qU7nhcGG +JOYn0r9r33n/qXLef6ac9x5MA159tfWrz3c1f1NPZQHRS3SZ8xJZTHhXlQMk +6hz6MjpylyC8+Hq08nxEe9hHpN3MHhocNyPQH18XX3y2O157iHAzUot8GbOZ +cBj0m+jf9L85jrp7keqHlxef3Yoc721FbJH3Kr0V8elzAtL4THULhr/pmDcj +NmP+sOyAzBLVc7LIU80HWU6zOJmnK9LcBMVmHm39GMJkPtLNlouPYjlwPLOY +a+CS+dLNZ6It8xKvt6SNSv6fXwHFfFVCMetm4bhi5uHZBn/ZbBVzol04VMxq +eLYiuWwuRzFbuXx2IJfbObkMqtrm/TwQAOX9Lgzdy2nqwHaX/GhhAD0DL0bv ++/3MX0wd6NlyRTJ/9+vZchfdD7jbejHEvfw0SwCb91PdgJj3q/sRNiVAZ8n7 +2FlCinmFp5jfU4p5Hq7JtiB7mcEYVVzO77N8UMzDSTE/dRYeXTy23A34y1vM +uPmAwi/ZFHNJoJghHPD2VgFjcM6vjGxMkzgqaylyzJO5haEYxJGpwgwZDcVg +5nJqxq+nyvhpD8YAZi7fGDCXzbGIZfw26mMRUuSkdFuvW2KKWRv6qZe+2XK/ +KhNyfvuimLHLZ15p+YoZX5JfJku4UzszdzIgI+JPynImtGK2ZyIGj9E7F/Oa +fKCXb8AYyXlYsitjJjYn5307U57AK5jQfwHUONtFotTynGCePJ/V8ht1nVoW +h/K7pwKksQSPldflV9UcsfwCr8tvpfVcrPQUxTrVGkFKeTFCHSU3VeSxlEPP +RThLnhqguiLb63zxXRi1nK8KSFK211/HXJ+Jfj+tLLrYJggPfgHtaeC76NpS +ba+V7+ImpSzCWTJ6LsidbMtGvAaICOwi0QCRn5zFRbfWgaJglex8Fk4lb4u5 +PYt8t2dCJRtFIeYK3Qih1cXqiEIGvi1us1lttD87v7JGDBDMC5oUM/Rwq+3S +8e1E9NOGqKpnK2Kuy5LY+NkzbLjaSjJsLFegjdjo+c0UEGLayiQ0aURGzhwJ +3MaR1W0WGx7EAaUoMMF2cQCN79iY8b0tB+xMtZXy0xZ4xkUBcU6vSbL9tEea +n/aowE4UmJm1nUiqKclOVC3VTpT2E7fCeWk5UcC1KXFAFUn9hFsUkoUnzpwR +lp2sEGNGGf2U32bi3BvsvJynRs0vcGZpOrswJ3P0TxsyHuT80iA2MN+KGSYw +MMOW2bkyHVWurkr+8xytSJeWhFkmnf7vn6oM6osyUNvmRPp/A6f/vfayWEPw +3yeYG/ojZtDMLRf7COboOM0zLxvBPPMLZV72xUG3UBwE0aaeo/zIXy+FYk6L +/IVkudTIny7VZmemNAOjKEBB8IuFZEyKmJd13O+1hj5R7r0KC+aXLUmObuHJ +uMnFz1L+6My2WsMyP17WK/P75Dp4K2H+YKEIZgZkmKMpJX9wDK1gNscNbpOt +LJgLyv5py0qQJGf+JOY3u1SblgnGqIuz7+SOn2ssqTyP+ub5aND2xUX8unnH +Qo2XixxBrm2EINdE8WLOikT8Gm4MeTGPJShy9h25JnxH6qKSZALWCeYl/7Ng +bs+CWcp80KA02y/5c4LZgWPiBZhi6K+kYB5YScGsaYu9ccRs+TEX6755YshQ +tw+8KXf54+W23ycMGCiWm7NRGYtIIuaLhPFCxLIQ4miR/WGNIhubipouWD2s +SSyu34moh4WJYoikgigvIuXDBT7LH5WMSGmxfLIfkdK1w98EVk8v0tciKZbF +pPyjNilf1JpMyhyP0sUPaLywy+tGzqAMNk8bjarjmZOFDPffGT6GK1GVFqgI +32yhqtKUgvgWe0mPVSUiaaUPeuxmBHLxQXF+bVncXaEX1zoepSuHBS7wdhkx +gxZxVOqcs6tWOup37OFHZOY132IfgvPrf5woenfRVd9ohCYOa+BQEdaw8D0A +bgjwxX8oanO+v1IpTIydw0eiNmykK2drNKo6Ilij+M2UDvQS4MMDg9H3CYOR +exBa5Bz+xhkbbiOAzTECmCw3AWQ4gDMicBe2M//lrU9OZjtzgJyb42PCkysU ++s0nEOH8OLRRwNgaxWLBeY2yseLc5i02luqPm7VJA7Fz/PteHFHNLyrVPI1t +zBPZxvwYjpkPs7G/IbxxBl8mWZiPQrScS/7XUqwsDZeFNoZ2EcXc1UiDHp5i +TvdnxtoYwv6yUX5/meJl+X2/M7jvN8WfuW+KGdXBu5tIMYdIuWwLaC4uSXCY +E/iscAnNCmFMrNbsV1QIl6YtodnCHCoEV/m3x7Np2sif2jyH5SUCyRBjhrUv +J+J+n+CVD5/At3TNX/VN+EkrXzEzGKPKJP4cPWo+Q6OMYn4g85NRzH9MqoNH +Fq4ntC8LIKOCirm47AA8TnBtLGeUHNAabczPKGbhLsMxGVtKGDlX60dQDGiQ +J35MNcWOkYgfj5c3i6M/qPQrgojfpYmIX4tIpZ80Xp5VMMgcC7V12cg+pfV+ +SUmTdbrWz0eSe8fCKyoJvErL/ebLv0UxRyz9thZbW/rTKuOjgAxWzBHUoj0P +d7NivtOBY2KK+a5yFHMP5ey/yBkyQiDGBOXwnwoPSz4mEu2bhRuZ7RVXy0GX +tUXEJeZt2QwYSzJpPdZuSf2aB7KNo7j8sXLMhJFsf2ATRlDXBwtr4Sp/xVzl +HV4JiVbLCsNl69K6+pG+wKZMS2sFv7jSKGUNrrVtDwy+GK6ifDJWjs7dKqiU +FztuD+DhxdoZrUZTSjmO3PKX04B8E5gFzthKHchiMxstoME0abTwobW67UGD +axcxvPZ1FY4CFFfbSinm/axifrXF1pxc+KXnHEb1lIolPp+73BfW+5iKd1BB +lwUmji25cAhyhiRTrWr8jA/HvE2K9awYMHkrmFK3NHDrJ8UzWQTDRyP5kMpr +qkSHfj5wX7T/SBzOAfPljGDcnLpJ8UXzD0yc+9EixFsRpxm3KC1pi8LcDCea +mzI3g0QzjZobeKL5TxTN4SZFOZtD4pzChqNoBld+rFNbatq9inZ3DLT/yBwD +81MxB8EoLvQfZX0wBvRD1a+tx83rGBwrP/0PPdF8YFQ0z+SC32esN4OWzaAG +RvGYGSyaesx8PaKyjmZGRhUjmGsYZXCqUgaNHR+jSHBZ51VKMDcr9MtKyKqZ +Jpi58HedLvyNCebnlTKIWDVXhIGmQBkkBbMFzZ79DqtlxMmBMih2ajkoxrY2 +Zg0CmEEggK4ppozswFk/8GexciklwFod+HV/e7xmBpgx2+VzTx+QQc0llGLy +TBltnY35hYiN2cIxEMBcWbX8dObjKhOppQS/Pw8btTzUqOWemT8m1jGPXHrg +YmvJG7QGArW8szy1jNV+0lTyz8yyMroqFvGVgPZlo5bhYSn25TGlhJADhoyY +MQCI0b/4X0Ypn5Tpu7kmZ2Dr2oCfw8d1kDo/eHDZrUthT1tQEhLkHI785jiO +fFMSR97IMpeTTAzbg20pclowB0Ul5lg0xS5scyyWR47Fvgrm15RgnhPpxWbB +3EXY5FowT/o23oAZWbuAYL5kqN+A6a1dIoJZd8ZHBbOCLg/3crAOHyd+JT1b +9o0YkIndYZFxAlcOLcsaE+fZle1MuSg6U/ZLrB0+YG2K+SKJhtPYrWxBvqlR +7JZfMsyBqDwyYHyRxa6sA31WKatA3zeslL/1lHJ3q5R/VDUP8VCU4AOau0Lr +W2ne9puAaiEQheiA+m7W9oRDwznzhUJtWWRAPmO2FOSCA1C/MAqO52o5OQ2d +Qki0OoTL6CO8HurPApEsi2gcJVuvxT+jpIDVSiA7n8UBNgflKtF8Tq00PEgu +6hUOd7TZhxHzMUcckXmx1cfY606kxM/xpoDGStvvLhyYWHNllp53GT+/rx6S +pJ5D2oZv3MCGlLxlEfX8tvUnJcfO+mjIAzKkJj6psq1jMp+JF8lmA+UYqFzg +6Y6g8Y2Mm22eFahzSjVrZ7PXUsmERG43+SVkiaufPtIRBzUm1TzM9Wj/EdAR +vWbK6Y4j7vrbq+FN7NEzUuiIVjkHdMRYI2WMjvhluGopZ9wskBdx6ksmUEKr +yyUPuNVXzdrVPJutmULMmIzzs0Nt/d9Dys18N8/RbrSbZ9dfhsYMG25S2T9b ++BtTzOkIAK2Y6/H2GRRzPa/wV0hyoVVTz9Ik3BRKg5eNJAgqGSKKuckyXcuw +IlDMG+yIOSKaE9m/WKWZFc1ClXNOZuva1O0lIXM2kXXancw6DYqPmbO5mW3O +SfJ/fdJYzEzJ6LDTGjOm4PyMrJkviDGjyRfoZLbZP8tg1qJ5NUbzfdE8l/uw +Z+LGF1DydCOPo3mVebBvr3VfZme/npk/J56Fj9w/bfavikeUw17sckTzRr5O +lpYRpPEN5WDW3OVxXCcPG5hBW2j7QsDFY41o5kjs5toJgpxU+l3M42WvoYQf +kO0rZOq/U7mXfYJcHBZDVAzK+yUr4j3ucjmKuQk4mJc5MkbjpbrIZ535q4Bi +tmciRTGrPGyXcggZFVXM5eVhUxXzVb8mSHJsVMIRc2hivsD1Xz+miBjiXp7K +x4PGy75zmTquyfRPTSQf+wSMmAkj2mW9vgIGjGQ9XzYDBmWfwuYRMWCE7SOR +hoc8n35h52ssmL86JUbAuCmVgPGtJWCIarg4RTUE+b5LfUbtr8rW+W9kbQXk +C1ELOFY2SuHp0IARaXOwfK2UkbKapdm8U5Zx8uebfCUQqmQxWxSXxVAWDmPh +GS0UKeCdwGQRKuRXlUKGT+GLpZSFmsl5qJYtqlVCMR9kFfMLbT61Pe+zm2/j +Vh6roHNBQpsblgS0K+hJYMfZ1B9zQMvb0sE3UqCK+Un8+FpvE6Pfl77b2fk1 +5Jj45TyUVJ/IUBjVYJkvUA3zfqx+f2TcrEAw1rgvwEQu4vGQc5GffyLeSu/F +X0LRfId5L6Jodkhx+2YcU88XzRNj5Tu1bPmOA2koj4bCzrnyHfZpvB1gxZck +fRrx8h2Hn0uLBYpw/kiQzRamQZFAOSaCai5PNL/MTNnQmzGeI4B2zAy1f1vI +qnmzzTYdjcCMyywN4HRuZIgL5i6eYI43MrSUeVrhjR4NIFn4q5bPnmB+grNN +epY2XQlmpwxIMM9LCOamgWBu7AnmVUYwrzGCeR0I5tQus1AtcwEwCOzQydz5 +BTVSe1bV/UXLS7Rz86do5V/vUCSEk+Y0aIbnZvbRckNCtFyIYk7xZWgMs4v+ ++Ug5oGwCJwhu9zUomAmUAbf3ZiuYn8+U5U3jbdeTvOUajTfw9tpDjGDukflz +wlmY3v2LncxS9Qc2QSuYl8cFcxmWlRzAKLl/YoL2bU7NzsVtDG1i4Hg8yR0/ +D/GxuIc3L0BbvLr4X+ZIEJmc+DF11XFopY4DWZRi/dflHwd4P0LcL9y4JFOw +9khwSUmzcsfLzI7xjsWb5kiwH2P5u1YwN44K5vXuWLybFMxt3wgFcxkL5pTS +khnb4o9ILZj1edCd8crZXxGATHmCeVBSMNvIn/YpCW95nCq+ZnZcTs47PGE2 +YrnVjmjMz4rl+kkfhhXLCQ+Gw20lUcoOgLEuq/8izDrF/Bd+8YiDBaRNlqWA +JBDLsVIHrOwTsRypQ4vg4nw3p68WYjCMX0QsK3DAv8WxLErBFgcHk2VZRuvC +EXZvJoVynl0+U87JrzsLY3wheNaL70XGZeK3KAncmbGKM+3StODZrTRCXpwy +QhaB/DIL5NmsEmZyicNzjNqaxmO2syslmGnEfLQRzM+1+9wiFGcqjCKAYlBA +N6daSynrwQelAsfMS+uDl/GzUdCLVTTWzw4G6tmCZOjBuSqBWVyqSrnjhg06 +O64DvhTnPM85pKIXgWXljI7+kbbKcptyN9vOd4RpqMcjlpz0t13v3zeXPGAA +aoYy7Q4E0fipUwW2LHe4UbODwijVrCAaXiWg52wW1eybNJBhZasB1bhZ+ZRc +LvAEzgWGRg2lnO24+RiLGS/XqBExaRQpn9I6TzUnXc3z+Wy8xOXZ2pwhGUAp +zB7GjQx3cVH29cVkzCBgBjuZN5/mLaFFMQMRIKTMJhWzH3BqWujgy3WNYq6j +aQBWMY9Exdx4/RjV+OvCTU3tLM1h5ZxijoSbcJYmVk2mAShpQK0MnmLWI2YR +zS01L+N1Fs2qHNvLOekldKKkgbNOCThAMFZ7JO5mzgrNqMCY+S5VYDKYF9FD +YRHNY2a9hB4r5SXn6Lo/mqHBJ/AlcTKrcuy3AZYhpSWpipkKsdEnlvcMfnJs +UQlexQ8axTw4s7OvUcxPnIVbIYjtAh3SZv/MMdyFNX9JxfxZ0WHYYwVY/iLz +J5CMJeZ/hytFMn8avDyeCYwPssF/IEOXr8FHpDsOfXDjosfLmrSYXoDdLuJe +buIVYNNxsAUl5ji4jYtqu7QepVgMNgLIWKlpi8rB/Dcp5tasmNu9HirmWGH8 +Z7h26SKvyGnbXfbvqezZv56Y/dNngQAy4Oz/OxSzApPDiDkRh1XUxfHc5+PG +y5D1+9o8JhkjJ85lVd0no2VdRGK9GKKWGYRBPoz0sXLajMwVWad5MML6hhkp +ub7JSikH2T6vxsE5llERBPm+L61987ZkBVoMUHu2AGq7M6A2nK21UwtpnX1q +4UqDb1EKYbBCbD1cL/OHZPsEgoFj5VOwKPi/AlIWD8Y8pwJ8pXySl2v64UPf +oewh4TDHdGQixxTLMJWWuaWyvulljOwVAZe5MfLbSiG/wS5kXQgsCvlFVsgv +mE8ekDRnsDKYrhTy06wSJvF8rVmL6pVWzEcdcWRm2rlf2KIerLY052JGSweN +8RW0K4bXdZeonsXx30gMHB/7Bo7E/HkLspr8vKxUDRbgC3QZZwalXhA2oNAR +kDxTtKbxnf9zmYj6UsSs8bQ6N+OVxVmIGsMy26upeTObNOzZsB4lXzW7M6Eo +Guewam7vVi1WNYutWeAwN1LZu1dtElPN4uQX1Syw8dDaPFvXAgZl7wo67tM0 +wlxgFqNGpMbyq9CoEckFxhB0obV5laJoyKxZsHOvcajpRX5BPsvnYZLMmZWd +eegWyf8dyb0MIBGOc1ZmHKpBL0PA0Nq8r4rZ8bPqxPhZHg5AFLOzMPuKOdw+ +xxTzm/+zYk6hZbhybKMQ5mhjhnMzX/h8ljlzVkeznjOXXwisHc26rqFCRdnQ +ANzDx8xB868FM8P2uR0bMwAx14oRc+Jmxqo/R8uAlIZTzGvwmk8qZgYxo2Ke +ZKtK0MVsHuZfnnwvzZjH183snVUj89fLBLkB35SlZbznK+YvrWI+FI8QXEVF +iJWjocz7fL3MZ+/SzDJil4eGjHvRp3QEdsZT++UJNF8ulqOgK0raYUd8go5R +WB58+cZIN/w9qukygMasj+PkfI9SCI6Rxss5QVUJZf7wWCyjY4E+JX0slvpd +8dkVs3pFxhRzBC/n1/l85dX5dI/U+ZC7/2eb/RPFrF+PeA7uiTv7y1XMrvoS +FXM3B2GmNh/fkDGBGzCnoIP5G2vyB0UQupdp41zObLmWmDAcMs5jaEVyfUkD +RpICoN3KYsDYkgAru/qGdMdypIIEsXFp+b6g+IzVsqiCb1gtf8uFwd817x1U +kbBz2ajln0Qtn8+VfVJ81lfNlgWyLJSAIQEJY2xdZ8KAGZoUnQFPC3J9Gqps +3cqKBMC2TKuUlx5vi6q/EaWs7MkeJyt2q/MWWRsuNgb2S1vJYD5ZwslyVmSn +kCWnNzdUyObT9gIbLGbY+fGhOD97mguBJ7MqAEgQrNlgcPD4FqIENNlHxTyl +w3Z09sOABXYv06TqEl+VX6OvzyloVtFns4pOKOjPkwpa258VgcO3P2/xjU6J +OkzZ34jleXXAqftAvUblfNHZohWpnjlTQHCrhTkHTg0p2a76INmba9zHL0ty +aew4/TZF0pDdy5VEi2FXv4OQK/aczJpl59I1rpp/ZdX8q1LNv7NqFofGH6ia +6yYreqac6qpO9B5GXBoK3PznPF3+nm/nzfFgYKwAvnwWXXLenNzXhCQNrZqF +PSezZoE1L+Q9jLU042uSzgiEAMeVEmvuIW4yG8xDNQw6FR+Jzb+Xe82/Eers +Zk2ddYq5o1LMieqSQvFsEiUjBGhRGfZDjAN4xPo1m6wTeQCNv1MTOIBmqzUO +4FUfB7DvirmJVsxvRRTzglKjEMqSVuYIT8urMpEMILozWCVMcHbmHo+lVTeQ +OyPB1RrkKAFp8zU7Zx7gF2UP1tQMNWceyRnARzswmBmcGW1DZwZ5NHX+722m +ZWDRn7n2y1PM0Lb0UZ7L/cGqd1u1YZkvT70HZ8x/PF4vs/eFmpm/XqqOayBI +G4CP0Cpmc9RAMX/jzZhJMcNjs5A9f8s5Iv4mHw20MJfRQ/LJUnJkjGTs4t0W +wAwGpWP48VhLOfrLmy+XU+hXcEOy0A+OQoE8HrV9OTwO+gEZBP4Srn43X05C +mBeZoxA4+5dJ6I8Uc8NyFHPLFMWsi/7OryxBxjoz1FkIaRkjWDGnnQNdGA+8 +RVVfElXMPjkGFLO1MHdOEjIk8+fmywxeFjKGVct0NOB2J9hyCFoWyLJvWdaF +1tp7GQcrJ0vOdOy/PLAy3fC6qC9pV6a5cgo3rvoQP+NnaRi6JljU8oAUtRwv +7vNmy2FNsKbRCg1D1LLM0LRleTz7NKeoMusX/LkysbPyrFUZKxoCpfxjFqUc +EmURmiy3OLsufJX8j1SVrAN7bo58oHVaiEJ+VdmQZYb8fJlWyDQUeJpXaZN5 +fvwkB5sABzCOvZpjefs8Gim0h2UataxRCcV8GCrmI41innjeV7h/gbUknJEp +DCmfqlV0629ERefC4ckZhptLMXLM0gJamziapAnoiHkjtD7rBiBY50Csthqb +NvKdacOSNvKWqZygszoXYhBHj5xf5FqBGdi4jRbnxLh5jBo3UybwSzRpwJFx +qEV6WF7nieZvtWhu2S1h0IhlAaOj5lubeqLZdgOOIMPSH9z/bltOJpwRzQOK +SQPbTmy/pWrUnsdGjQWBUSPMBRLAORfOlhFcVHUZ1MGv9H0aX0UIjOLRSJs2 +lyQmzVJycqDVzG/yqZrL781Z/MZ8RkUAxc08nFsa7pZeM4j/lUj1X9VM380n +Y4kJLKJ76ZzTZl8oaL2sobPxJbTTyxD5s31mGzjyt360V/OnaQBOLytxsHp2 +kG36n/RyVCy3WsSWTS2W57NYDvByrsWEl9BiymCB0C0yYo63ZMcbgXsHXWf9 +szUCayuzEQt3XfOrg2akWJml+w9nahxwQiJAm+/wKwckgFnKxrzAKAL4TMGn +SdBy8BmCbXK6WH4OgT3U8TcO3+FItax+f+bL0+42Yrl75o/H6mf2muO598Ua +yFEnG7ODZWB7iSeWD8db6qOSAzFWXsA9r3ADSd5vLt4s5jYpow3keG4rgXMw +eAttWm4sOSpzDQJjCCXXd7MeLTf36IpS4APW5QvIugzvLTdd1lG/67AXvmnY +C89mfnk6QgF2w8R0+dHgOEyqwMJFpst66aLbL+VIGL28NIBkLF1VMb2sfErn +LOCty7z0wnjRyxcJLCM1+qf1MhAXf3JHYcQvVIVZwcL4SunlwME8CsXyz+zo +J5SchmM8q8AYnhEDbnIeg72OASZ/rPy+tiuj1TIwYERslhWrZJDRV0WgypN5 +HDYh0kLiOvswxIRNJIyMs9k+tmWefFeQ7fOFslfb0MQXyt+36OVbltsFlmVW +Aloo264zbggWGAaMzf4AofwQL5rZrrzXGjB4uTyLUXGyUJ6v2quNSNY2ZXuN +L3M2SxHJX67jDj4JJdGVDaAMySSVmZu7tOwAW1MWlvvqPbH2WgjQQk+RyYl8 +kNLHh6CtbGZZfIIc6uPxPCl7rFRr48NRBTzE1WYP8pINpmj1K6WXj0a9fITR +y+PP/xpvDPAtwSZmIvuXJmsNbY4PaWiZQlv9DD6OnFyYQZvPqHVCJybQFCOc +oybQSfczTJ8D8ZxSn+neqzoryH6NPNnsyBF8KzpxpiM3U/k0piigxjjmN48i +P5Mg6BikgVsZcPtDmY8SzRiJVZNm8mcoVzP6MwJ3P2PnfgnLezxXcyCaH1Sk +RRDNuu5yEnNkNLMZPRo1PPyclGtrmIadNqfkAhP8Zp42+zWYsVygKvgpb5vD +8dmiFFfze0o1z+cz9hIvoMWbIUtoODMjVP7vDq5qGFByjFHMwGTOM2qhltcE +3CuqmPWEOSkVLIe5MFxC3xuv+RMHs635m+iKsdeyPFjDxdggD1aHNACtmIUG +IMvniivmZu8UOBhzME47Z4EPZLby4OVPrG1Thf9ARXluZk2as+5NxWZWU2bP +walBAeV4M66PTdiu893M9waKYVgPN2V2+b+fM+PPpcJsqGiAJdtzrRxlDkZp +2GLCtX/wOULRXFVE8wpEyaeL5ictgxmCErC0+ur0gSSaxzbI7DXvWvRlmCMJ +YyA4fhAqiIrmTSyaoRybOTOr+Wi8zcuXOWzwn8ZHQRpLMO+3RfJ+R2euQsGc +p6bLjr3cg6v9Lo4tWYqStqRWhdeaY3A9s2Ju8XDkHkpu0wOemd/FX5PTZToO +euHyfGLh0nxl5EgkFLMUY4eKeY35W8eKGYiLm5xifjuimD2fklbMoZMZmn22 +KSdzoJgnBg9I22ISh8dIDnafFLNy83tbFguNMQ/H82KjZXo8CnRZjBhhyI/V +ck7OAl8wn8w3NTiWq8UcyzJV1i0M2ct/Y8XWvv9iWtR/8XGaWzmtjQQdy+DB +4JYG7jfboWEYTI/7pp7O+KW4lpEgp13LpAJ+ap+iAq7gVhIUzE09wWwZy5Lv +A8cy7JYhx4QZJgfB+BOCEfNoaeXR4t6WZD/d3t8vVXk+K5bFUen2wp8UH4Lh +iY/sNJk4PbHkvpskH2hzSDGvheezKCV0/MxS7UL2FbL1V5SSvwJu+Ue5u2xM +qjo+Ap2ZMDeDXfO93NJQlxRzQjBjV/ZByogBMnnsBd+iYQngSo+bP8iLP9H+ +J186G8ks0tkfPTvzBo6eWwXmDWXcwJZ5njm/1CywPXNocL4Cnb8eMWt4sHMb +HeD1Dlic82W9I6/WD9WLVebMCxVO42WF0uBuAcyyT7D9AgKAkiAgvjiRJMN2 +ZpTKN9ELE8DkgSnDSmVt7W9vDknHc+z6Bat7enF1D1Njdl/HPZeWNedL5T+0 +VNbVJhadwa9Na8yoRYdoVhAEfMVv1f6jQmHAk+LmjNQw4NGe8Yleq0ljxkdb +wJhxoAoB0gGUapPlvMJ5j1c32sYMz9AZXP87mfsZHt2iGs22kBkDMRlInT3W +o85egoG/UCaH1uVeCZlM22dqMmtcSNO0+h44K822rKtKJiWhWatnGHnMMjlk +ACholpPJAM16ryIyWRsxpOivhSjlNFlgGVpkxkiUm1mOlrNsZp0vh8E/O192 +1s1shgxbdJZm32QC7T1CoI1AmUd23s3z5V8y49rvws+dhP6etYV/bMYA+3K9 +j/FzBJ8h+PwsMy91+OTAWmtdQibPok8KsuSeQI/X52zC2F5zSOarM+7M7LzU +yOQxDTN7zfEEM8ZesS8vZETGOyfi0dIyGV6YcFyw4I9fkqv4WIht+WX2Jj3N +E5dHGLw8BEkxxJC7pgRK4k8MLMtS6KdfiRfwXDkZ8JOOS9fYA14kt1ux9SSb +uJ5EEWK8gJ9GYqxVSIyEJ2kmmzBkqKyc/CtjeMVQJi/16MtOJm+Iy+S3wvNQ +Qs3YoUwOA39Zq0uozqdHhLOIe5aETN7jPxWD8svrAwu/J5PVb/8+h8ZAI4bk +XrHYj0wYj3fYZRlyU5QnCdcsngHjMzwOC+pTBhZu6YREZvOFwJVX5q8P+haW +RWmxOswXVvyWb7rQQGVXPRKfJycDfbawT9mUv9IN1x4vjqtIMPbvJLINMamZ +sldHco7aLneMkGYx2BdI5Hv51h/OM+VHeJv8JNsvUSKT7XIvGy8w2s8wLNkS +//KWw1T+9IHiwmnDhfDgNh0RyGO+mRlvsTkoHlvDeWMrjS3Owme9aQuyM1c4 +fMV0ju77stiZKh7jYNIjbKgYpSTxcB4Y38+M+cGMzbwH4/706bsD18vgQzsi +U6dlTRwsH2S1cflGjMONYh7V+XucrowxZwZWkzBlIQW9C+ky4OuzKrr9T7kg +oc2Nq0X0FD179rwbTkA/zw/UF1BAb/cEtC2f56ytbQmSrCAcS/FFwdFk8SwZ +Qbfy2cQpAnc8oQplnRXO7zDE+Q0+kvPwOLrak7QZ8yOcmX2QjhZmAAcFojlp +yqDey0scaw4c/riK6Wg9SzvPT/Zdimdp9/WqHF7Y5IP5hanmy38o0ZzMAJ7m +uZn/K72AMmOGlY1t1a5YFjB0Ne+Elc77YRbQwcy1cE5gGjU9o/gQK5rL7HxZ +RDN5n5Zxg/Y7/EpdqBwZL3izZfIsjWa1IJbNW7nvrz9aNk8wgrmGImQ09hSD +D2D2BTPgAGSgButnEsyuyKweV5VYF4ZXVTKWZ8ou1ESVv36oqfmqsA0bEAAB +Sy4hmMWimWWubNRBk/croJYXgFouDd0YILhjszTkaD2nWkymMkvLujbJwdwd +hML4H9KzTiOCuZoQAga72bLn3szKl/vVmjKw40xvo1Ex7EYHM2b+kC2nMn9M +ybCGDHQvc94PmHLVChnCvBJvfyeY5+CWmODLT3HO7xG8oSFCDJ+OHWfebgRz +N3N0G1IwBwwZ7F7+fUFSMEvWDwQzQGbKWDBv4FUn3FBg/YN15myOv07G9cqh +5lY5LPNACWX8bmOsYn80YuQhUrFP8RlsRGpqfvqQ72ub6bo5Gz+un2dESnNg +1MM3472p+T67XvH6/HRrj4bECBHDz/gl1yyxBh8lmD+EFp+/UTDP+ThojA8E +swYxK/dyjwkpglm5MXoP320ejXvw0Rj+/vVuxRPM18UFc/KxyHlX5io+rgJ+ +YUu8P1P+NCdnEbmVuZWE3Mp8I2uxXA3GWPo2TssapTf6aupFSUIoS5bPwZTF +ePGxNV6Es+SHg1myeb+iWGb6Rc1Bme2S6TvVZfqo+feWzA4lltGp3FA5lZVY +/u7sZNP1D7rp+ry2mZ8u4O4+sWKKWL6+edKpLPNkIWBwpk9YccjDkp6+ua6j +71eV5dPGC0jqf7vyX5YLJ3PkL7w5sssWaY6VrkzwisbYD/aW4r3NZxa8diDP +UqVj063z2J8bw7hLMnljtziB/HDEUXGfEcQwDhvEqf67Oad0B1cv3Mo02Rux +uIyySwPM3+kta1XCibE/CubDjjwqM7LLj3Bt5GYePn+3uQlHnbcb2eVwkmD0 +MrYjvT+djlYa+lyaRENOBjY4kDAH39NTqQKaIRwqOijwjRf5VJLj2YFqvIpN +rA74yE6e0eV8WvJdCyYNiQaiu7nKKjyqWjhvxCP6ugVp0LR5NlFTLbR5sm06 +ccaMEbbhBFc1SjTjcUo4mRnQDNWXrbv6BdrnQkm8Ec2d2mR2XWSOTncWzf2k +JJ4gMlgSL6KZQTK/DeX4n4jmUQyUCcAZuKaZAsi5UwjUjMYMc8RmcsNJAqDB +wrm8KOBiKZRXwllXZQJ+LhYFXJMSs429Z8vMe3brP71Js4jm0Mb8Kkdmn+cN +tEyZpQX4AUUFAPsyUQGkBTg+YhPB3NkIZrBtnmcUg+73a800ANf864rMSCno +1t+RqqlEY+QmMDNrSopCmJ0umJcr+HJWwSyNJSSYG2nBjOqgCLbOIIQl7ocI +LRv3i/kxIpE/DZ/VMadgCd09nDILLGP0rkzPh39ONjdUEERbnoUTW0wUhNZm +nQQt14FEg8CYqb3EmTHmWwfzFlxywadmRVWq+oNPC4zJkoJ5Mn0+8scQRg4+ +G7XvyeyocxsK5t8fbkSLIFgAmWMI21M4cr8iUo4F8zIWzOa4gIUQBHOpEczA +k4EjAccBxjmviwlDQTEe5YjffXiLgA8JiIrHOCCGeivqdpKoB6nokkiX37WV +gy17x2CsWrQ44LIHxFgTAjGC4+BxYsjJT0XYsY54EMzLufay4oJZzkPIJreY +xZfTMIuClVOPR3MOuk0KsXI/JkHMgRkjGyBjXwUzgJcfcYCYnJwPnQ8Dpsti +4kfX8jZbei2jLLiJ5RZ+R0MwLC7ORfoIabXcQ1rpDjI3VZ4XRPkEejEzi+ni +qQCmHJouxljTxef5qq5PGkjYeGHBylYsc6TvDHIq7/AmywMYKKvFsqrvQ7Cs +zi3xjd/e3fg78cYHsKxq/mXAMmaWbKxPmS9kssxiWRpIyKlMxovfFyjjhZoq +460sMT6wSa45xkX4vIkyCWUd3YuFiZby6ErieovUJFlEshgsBGLxHN/OUxW8 +YgLf1Foga1PFCDU9Dg0VThwfibMATxwX02xgAENkrzKfvSuLj8VGUwDKntqy +diUE835WMD/Y7aecXJi25Pwf8vzBzwRIRtjWPHzBbvPvRqGSFhUNDKbkJHqX +rdJEBQ1eDhlBWwX9fXQEHcI3pD0Fgei8BdLqeX5QUB/WbsLY2WYDq8eV81r7 +wH2bH7fi05iDZ9SNm5/hqpMJ/GB1dmZ8nAo4w1PN2sqsUXO9aUMDrv+2F9oz +9BM/OKEsfpd5cP4sZfGXUVn87gFJ1YxnSQrjH/BV85+imjU4g+3MHqg5Zdy8 +NzZujmUBrXI+ySpnGjcr5aygzdQK9K+kpXl95MxuJpMURm7VqHkjm6NWCmaO +H7Rv4LaHHrGzuKdBxsyPlbrQ3+ASt5S+Gvv+juPqEgJklK+Y3ULaC/oVkF9T +qkoo3RT4MTYm/RjJmVpMIuhUU5KZZeVBBRRzQ6uYJdkUBP4WK7ns7MugpN1Y +zUEBrEpAtFwAyoAx8/QvFU5Lj5ldjUk4Zk5CmdMhAd5uWpdmszfjTun+Cwuz +e3MzsBozy6gNxsyTeMwGnyf4LMHnCD5BYNeEbRd8bqAgGzZby6ttyKzKJ8UM +n5OChGKeRFsqtC0PI0/kyXdldtS9ObOzT9fM7yMam0ftabQBMsfwz1dYMb9B +inlnoJjhiEBZ1hZzNAqNYoZl6IfM8p+voBhT+BoC9/7wLW68fH3JMQhcJtd+ +bcWFaRZk/MCLoWHLWWAYttryVmVX1pYkejS6ekvlxZBNixfwU4/HREVJynHw +2nsk+xoq5mVKMa/2FHOjFMXcKqaYtaM/BiYPMYsaxCznABQzj5k9MLm8GiP2 +5cuG+PblBCRGr1dSFLME/ZC6zKV+41Sh39O269KOl6Gy5OVmX2DzL3gx5otj +WdTyaQ6wTD4MrZaBT74y4sFIHyvHa0eey+q/8Dv6tP9CxsojLSbui6oPuJoF +9mDgWFnXkMBtDhisMxQuTtRyg9hoGdQyN/5atUwgDKeWFQhDL5Uv48ASuDDh +lr+dE/5DFAQjUMt7pYbE5vrYpqw9GHwjw6MbQcrKf0HoKlnyOqUsAT6wJW8u +c76LtWpW9YEKEElgL1TJ4JB8IQjpyRjZhfNoCTyWP1OjGGflK2SykoXeiujo +uMSo45KjM9cYZXy1UcZXojI+ztzyx+OA4LLiE5EDBFWmMCc7pdUp+6CYj84M +6wWK2RyknP2pOrYL1ceaPxLSv+N7FIQ0IJhgkQNv0+QoWoloFtDjlYjGEXTM +CI0C2vdvSMDAimeI5YqFSotniefWk7GzMjsrvwYsT5fnE8eGgBorsXgbjrA7 +vm8E42Z42M7AI0qtthOtsflzSLqDaK5mHqqWNieMZoFmcKsJ9Mdzgbbtjvey +fx2MaE7WYNrsn4hmYMrc3JSOky3QNkfqPhDNDaypWYvmv8aRsXnvk0o0C6cZ +x821MQdox80virm5uj9ujmUBX+e4LTxk4XguDn0aJ9pxsyecdRZwzTEJ6hwe +30I/A1iK7b//RIWwgbdBK5iY8R63mYg34yXO08qYeTxanugYwuP0LjYyD8DF +tAjmk8sRzBcHqkEW0mxgLrgJBbNUM5CBWVAAw7jX7yFLxaBgkyyhy5upiUII +kVnJUFM2wcztv+aoG7ksAGYWzJT387v+nIt5S2TGrMCzgtHyWrIVWg5na0Fl +AyoFp5h7wIwZi/94KW0Vw55kW3aW0FPoZgblMBCAzDr0x6Enj5RxwS9WObgZ +MzG0xJQB3xtYcaGL+dQtmSU1wcUM9szVuGWGtRU1Yr+K22P4bsA3A67vz6s+ +jGsp9HGdemfm6/o3GrV8cea34U3oQQvn0ZzBP825+/21qulqufCwzEfmWisp +PRCvslU85FmkzBiw5ZzEAb+HzBUEdr6B1rkMZX6AjhPjvhBhiKDYlcN9SdCy +qyUhpXyNxcY1LbjZb73edLfrtdx4v09RjIKW5QxM9n1JifZrv9DPnQUd8HPr +FnEvh2q5UaCWG33gVi7Z1HJ6/jWoLYkgFj2k3FPOnESmDAi+/hC0YxOQXEgx +sl8JXfzwu09Vy/2VWtYvxIv25OSsROgyXOHS2jNBDPxtXWuPMMhf4XyfGDHE +tQz1CTKfciaMNKUcwl5fN39SOxKfKbtyvpB64buUPw1AyjrIJ+SLL5h8sa3q +UC4k45kyK2XrVhaljIE+VdoXKOVvEBcnLKw+XPMrENlYeR9nlnSwry9f79ey +9VKK+6SKZATYLcFqGSjlGZANptBD6FaGzS/VjvhOZWu+WH9UVCVv5XmydieL +6WKZeZBD0d67W8VwQZliqQ0RIPILHNLTAT3BV+AMOXAga3VsnceJ2TE99G/h +mP4NooxxbnwUboqvKD7aKGLwmB1nVfFlrIr7FdcwfzUxzwzXPMBjYa12cqvT +o0G/LAbmQ41YHtrnJ3x3wmkCax9sKuEmGdaVThcKaCeiSUDvJgcUT6IhYQ5L +TSugOzoBLRNoK57bB0lCz7/hJs8M3bDCmVKDjmfzikoL2nKh+nFcOng1XDpQ +KHRkqVqbtxSjuWRuXsQX3mvK2DyTUM2YcJ+kkoCj3ZQZTp8tNbndopkpD3CV +AzGaU2XLTNDWpACMHc278wLGlXfTsIyzHSxDC+aBbGgeFBia4S06SqoAdRLw +DEoCij9DRLPwZ56vScS5cNKclgiUGk0lmvcsPikkz2XxaICx+ZhUDLoIZkoC +Huhh5rRg/oCLTASUMSeYMD/JyT9sAi453DYBX8ulJZdbsXymbWkgsXxuqlhO +pP0KXEnJWRohtykFiLFOmFmaL5s2TtPI5dCLEYplSvs5xuyKUCynaWVbzuBN +07a4aZpn2iSqnJsuh8bNL7zkX6K8RDwZrBU0HID0ws8OqRUYmfVeOo0ulygw +UShmL/3UTSZtuxnDzMUlarqMqT/tx2D8MpVib8qsrLoG393Q2AujsqRWNm/r +qiOxTRcvZfN5+KbB9ZldlxitfH9TYqYCMd1cgH+9WAOPGLxD4QhBJz28L+GI +wFsSfP5bSw7OFButvJGNy++VOR/GTOyxMhfTlkNxXCPFJLeWSEcP1PhV42UK +2Y96wtuwqLWFYDi/fmhYviJJGi/Q3Txh/zvTxrVh2fNgjI8gliOmZe8YhGwY +nfKTRcvbCrfMx0GSfmxcRq38wRpctjRaUgmtvFCdhSABqwtLbDG2OQcXx4qx +Y6UlWYqx5Z0oXiStlQUMo9+HFdDKD3eimxqZMO2ZCZPSCU9cGOJgvKHTfTyO +csk+0slUKbbCMypvjJQixEzKaQZlV2IdkuF838Vnoe9CyqxBI4NJubpK9LH3 +gmJIUKrgUn1Y5Ms6mQpI9ES5P8eTaARmdXICgHGeNwr7SdWUJdJ9t/KNDpl+ +qewbxVhloMVNFp3sk+JQJy9U3ot3xXvBJuUVMk1WBmU1irIamSfJ6zlbT6Mo +V667SBmS5/Ie90W2fskE+Rn2WEziWxfGVOKvAKSbmI9lcozGY9bG4dQYdXGx +mI1JF5OfQibGJ5ib+yRvWgy6uC/q4tqZvqiJT8WRADB/4HaHTx7k+gGBVbvV +GRXXyvuzVj4mM6TfT3B75MKRyoWZi/nP3y/auceenFxM1P4bb5bhrJ+VdsZd +Jlo4xMZBFg7MnUPwFifPTEF/tKMzQLup849ofhby85R2zvQ8rfW31vDsiebm +fnf9q8qmsdCibbYi8okEs54ykz2DUBpwrMXU/B5OmAt4wkxLoZfYliHojEm2 +1NaJZeoyQZI50uW4x6Su4DKucjhm4MrYDMBFzsSMdox2mZ3g/9cmZovLUH3Z +kAOwYrkJpv/QyKzF8sMOy4xi+fGILeNpOH4Ma9QT5heUoVlZMxKY5vncDxSk +Aa1gNmLZJ85pawaL5ZW6EZDF8oYkCV3Estio5Egvs3YMOsrzOE8gBuZJTJMb +zcphEB/H621XST7FnVAou320E8qOC0DOTVINrsLMNy2f5ZHjNBIjVsTAe+fy +LBgxchxP0TQDIKEMljplEJ0qA3f5XaeUWwZK2SvEZnWQoMl5++fP3GQ5wMlR +5O/rJEbLxp10KfAub7qGDuZhv1Y69qdZAVBcAl6MQf0i6uFCci8jI8N8m7R7 +eUZLmizDRkt8GMheBudyzU2ZFVXX4vcDvh2+Un6GectjM59XG4HbJ/RfnHGr +uXKvzezq3SXz25BmODLC8/jsyZm/zJkDNgYq5bdYKUPMbw0r5aJDjVI+KFNk +lLI4lmEZCkvQl0oPxschjHEe44fhfXj9HJm5sfhoc8WAWxmulVqZS4vP9LwX +3Yramd96jJbonMqkkuOd72I9OkuBlX2V/LBNuLrfvw9Xjqnk5DnQbuW0eF+2 +DYtWymtTlLKiKuJZSGPF0MvRZ5E7T5Kt94vVXdqyEvX7V+59vVXpxb97z7ms +/Ef6926V8tUpSpmAGKKUx5ynWi1Vwm9Gy6DVkifKr4tTWV+nNbhCTE+TrUpe +4nEvnOfiNduA4Mf4knV8H1WJ1fE5Z/KnWf0WPEmWshFwJ9ccFHAvBiqVfKtS +yTcolXxN4Lu4POAqcwGJhsViwwK7LDu2pRlYF3Eqt0g4lf9tncoNKdb3MFzd +DIaFz8NU9l1gpI8KeoE26atkwsL9wE2g36x0MT6ZJMN1CjYucDhugWXtVl8h +a/qURPakEmyOjepRUcizZUl1bCfH7H58SMXyhjKh6l7lp7iN+5JuxInxUUi2 +BMAr+CiuUj6Ky9BHcRLaxxATj6qYJ8Xm4X9p8Wnm7wysT4AFcR9WxLA4g08d +zMAoyN8EE821W9eptFI+6pjMoP4/QWg8F0Iw5j8Kpj7Ij5s/c7EOvWRPzuHY +nQlrS+Q1sogGbqkMoMPh8wgnoM3/G0Y+53xNAvp84juOjohnPzlIqUHtd57K +xPTpnNcNhTMlBD/3eZANPo6QbuSUFyEIinZG1HNCHScyYX4Td0RkyXgJTzO9 +dQPBLMUDWP43hEZHcAKxLlv4ctcEVdm9nH+5jeouac90mU5kxdjVoxX5l/sx +KgME83VOMMt0+d+My9CC+XctmDUyA06fsOZiE2bbaZJMAe4NbRlQEaRE82+v +A6a5SqIUUItmz5axTIEeccLsKrR1SJdOuLJjcDmDZA6WshVjEbqniFIzi9+6 +Yt5MwLSMWL6mGFbSeSgg+pi3pxPLMlU+3xo3KebUxwiHfjbhB2toHW/y+XEk +GKi1TIsF36Rp186rU9J9afw4HqPp/H+TpXFgVtpUWbRyNNUk1OWSiAvDaQSi +L5NG6KwnaojI2B44MUgvd3/qG0IDTPS5crasAfUyM7V04i/Uy9kSf7eEiT+u +xtaVwL1U2q8TAbXgcwRveBEPNGL7EtGXcxu7KmxELtcyb+5q63A5BWZM+GTA +54K08lRcESMOo9qDme21BpP7wnwSvm18tdHKF2V+u7c5Rnfw/TrtlMyfs2pm +/ni1mqeV4XjAhQeXHRwDSPcVmCMAXmW41BbxRQacUkj2gRlwDF5OR/AC5ajM +tebioVRfNVw/utI+RmCwRzle2EdIZdLJAxL11oS/uCsVf+H6eB7xEBiOBhPo +ZFyqRJwXXtV1PNnnjkK4YAm18hrWyutTtXKLhCOp2GIxvLPgVcMHFPLng6JL +lfRzRSUKPx4r9sOCyz34m9eeZUn5eW/DUCtfntTKI32tPI7ZcXDTTm1DP3cs +7rMTZUr1LeQ+y8U20afHTmqajOV8Hyqd/Jbd1boU36tZ3BbKk1wlPb2X1MiC +upCeEUrwbZMEX81B/iRZkBdBOZ9N8tW9zmKUcS+s/Mmgk79lnPJ3LQR/IfW9 +kuE/z3mUz2vNu+KWLsN/Jdf3ivPibq61HqbwF3BbT2QarOLEoT9ZTZOx+/Nd +Ql6g42IFOy60L7nwMEwCfSQpIN7Prufa3FAjv8FP8LmMpcTaXKbtPMO3aqiP +xwSTY3BVDDGfoEHKUXG70saUwjtSOSngOf8v1MX9UBfnsy6mSfGlWCVGk+I+ +OCmug5Ni0MV9UBM3NH+NcFlGurgp3uZgqoQAM1HkW+J4oFbrsyqulfezWvnu +q3caQXvvFSCU4SaB1+igfiByrYLenxaXfZjCxCPonANIQLsJdCiec+EaMhez +aGd0bXTejSfVDp45M0h2Z9+tIbSNSWhxJhIknOhpEc08y7ozttk84GvQWtsg +QoYEj5XsjqpJ/o9fxHkf4Dp1I76EF/Lpfpkhqs9xm63Tytg3YNFyg+kkwkv1 +DM761b+ObcviwrhEuTB8T5OuwbQ9f31U1k8IGTeprr+7XN7POjHgZQpep4fq +OSbzo2kDZiFmnIoXtmT/UC+zjfm/zGj+j9XLVcknxXoZXRmglZWN+ddFFXBk +2AGzIj+u0WB0OuXixNjKw+XNipCxgk/5O5w0wMFyKQ2Wp6qM30P8soUXLdaT +YDa2CnqZEjq5yOlkHLIVCgmDBmytWDRI2bWmYMSqSLJn+p6xyLj0TF+AjfNC +TLqtLKtO9rJ9Alz2Vs6LNwcN2MFgeW6ZCvipJmw7UPs8RGNANvDi6V8mS81g +Cy1Zv7DnD7fRrJdHpejlbBzaCIf5TsVh9pzLPclrBp8o+Cw9ogSEuJZnqIwf +DNioBLvEfELg8wEJiFUoDkAUkFaexXPlJ/FC/6L6cHNJD8LhFQyroPBrV88L +zTP3bLwY4UjChfjXzFrY6QfHCI4NXH5OKwMJg3J9G8vIRvgeUzBgHTqD21/H +8U/9vi1k9buh5GhzGZ2ArvxL0Wh0lqJftFFPwguV0ai30sn91Q6F0OJN1NMQ +XRdZyRekk5twlq8pPhNV/UiEnEjHIHBeIC5Om5DUTHlF5Dgk6kgcTbEyWtkd +h+LIcfDfjeLC6Kzc+4nZskYqKvZyz8eDvYrK+AlGrq/6vSfyfXqPcjX1WXpa +ubto5d3Wnf94+5AA8zUSYAB/MUdK3xt8jKZG3M+etsViLzA6j3w4XyQ74BTa +LXJyXuFbdL7nSpbsXmlilkwaOTs3GYCuoxHqKhr5C6WRgdK4XWX3Em4LRbv4 +Snfz6QxfRebJsSyfcl6gQ5mT++K8+NlWj7CfUjkvwKGMt/YYhYmbQtHf/0Z0 +8p6IToYEvXNcQAcfT5rYbVHCa9nQw/guh35eVy7kl0qdA3ka+xk9fczkCpkd +Yx6PaRX3WG18pNXG5KY4GoE8V+Htq50UeVStW1ydWxCSuvgSdFDU4walhlFN +3Is1cU/WxHCbw2cORgLdi9riCg2APzXb1KtEtu8fKJYPOerYzMBrd6KhD9aU +5irJhTdpzj8wSQtbS7hZUEOTjlYSOuOG0JdQ2nZob3/4rN3PdvisnBvOtUHi +OXRsPNbhZ8wJ+sL5RyWcXTHKc6qiUwbNFqcuffZIiBQrM4EzPpCTD8/jfMr9 +wQrJOTJex7URdf85wSzWZcTKIe58OHoSHRyDy7Gh7af+ANXx53ByrjdewBhs +bOoUODFUx18IxxDB/JsVzA0pGGAFM5eYKPsy4eXq+APmaO7PATNQNL8YFptU +TWT/QtEswAwSzYErQ/jMasD8NYcQvgoFc9GhuD76iKO6RWUEx1jDz2IAzi7m +57AMlqfzVvpxXgnBcb57Cz1try0RsVzDHMXTUSyDd7N7UetM16L2PGljCEZh +78CmTO4LIgDcpuCyDoCR4Ct7DQziz5wabJ2TuLhY4r/pcr+7j4CyWiwvj4tl +UQeBWA6Zy3GPpkzTUDCDCHbDZTVUE6Ew8wtVWrIdBbNlMLN1udvkb9KLS7Rg +ztbxN7gSghmHbntSrZwoJM53eaeJMlxuTSJCIn6wjQYjBqQgllbfiMmHtchb +XoxvayeWn8DJ17YaD5hPwj14K8On4Ltm/TI7e3Q2x/dsPKbgSYQ361/muAE+ +zhPLK47FXQtyljHWd0BmfRmZj94yP/nXMNJH21AaKpPZ6G6OsF6NlZVVlNGo +oYqvho58n/cSQ8Q1KQirKrVQ1gUkadCL0KKsI63hXsWZL0goayOS4Mbjkb74 +27E8sezb931TUlBvacRyB8GQv8piOUtRSZdsw+Unk8Plnmq47AExINrKyxS0 +LHOXpcT7BB+XKpY7k1ge21F8ysQXt4XvEGVtRtcmGBphzgSLFDtjQkJrQU7O +HAz+uBhfTCu/YYtGBHJR4g2TnwssyUYkV9Ei2ZVViyWZRDKMp1JEsioZIRwc +s5MheQ/+yFNlmHybMl24CF9FhbKFXrTs5luUhakMYNgObL4QTNwlLSz4AtfD +EDy6g29uYSp7FuXTnUV5NhWPaOMFCWUmTIJQXklpeWu6KDwU39cfseFCRkxr +ecT0Icf1nEg+mKu+KPnwLI+bnmLE2/hggPwgd+TBqlYsx3dwOuImNlVAFdg1 +JdpQIWaKYGhcfAqTLEUYi4migRXGYqAAYRwOij1RvLmd+TsnF75vOf/EyxxW +ZwDEhK8djAZqtKlfCa28v9XKd9ywC26RXDhi+8ECJxek836gm3P2p95Yls3m +7OUCfckoadhjDulH9FKlmGngfKA3cLZiWQvmi/Ywre4HmjZf4OAaY5jvDKmD +cdxd/wTT6Kyl2dqZKQM4o9UOXJXO4sKTlz2R/HEivfs+glKLMsvyCyjnVwWO +uzgw3mbr4WvoqbICucrTHNYBcOoj9KatNpysiNjuNxATtLBm/cbylsF5IZm+ +XnS0PE8TJ2TPa0MCGZ0XLZ3z4gryNaFV+QamMAI9LhDItgwb+n5GKCAGpGgt +Sc78jddTZeXCmCouDPBFnYwER7Eu/3dWdRbJ1ZQToyrbl/OtEyMByIA+eqbK +iQvDNv99qLqEVhxrU7r4HgagLJeWiEDG6jIuwt7A3qqljEJ/gyEAPjLrUIfM +si2/wJI5KSKO2/AaupMdrZFV0xWQwFhNVs8UZCKQbGKKvF5Pkf22haZrk7Zk +f80cCfgz7CJdHHPAH8XxSiWO1/jieIkWx852kSaOeXxmzqjRA0YVe6E+O00O +wkxGHXd53rWTaJtm1ykAwqBxWje2anZ/8vvAfkHhpl6ojnclgctDKwZcFnWM +qmEAzQDu7e+wcaH1YhQmnMi3OVmNkuE7At+QBQy/AKUAFFmQBwS+eAsf0TAj +g90xXO1wjW+rcX/my1PvQgcWXLfft+iT2dm9U2bPjS0yvw1piMl2YKD/+Vxt +xMSBe0mUMZwFuOoQeFFyICZu1vDm5E1zrc0xv3P4jcsIeSTvOW/n8B7sMPtt +rqYgF03ZkhwW7QDgorcCXGRTxXcwCTEyPvbsyI+kNI3EABf6cag3KeI4mqtc +R6FFn0fIy8PmkQ8jynh1VBlLsBVL34WcmKKMwaLc3n8o+ufAGyXr16GoY7bq +Y6DP/EHzuwbA8ChZXoTUSOJbjSwqji3K3m88RRmDIxK2uKMvEGsR+ZKBdPFs +66Cq0hshl1IenjavOTlvUhw+X9uR01TxPB4d+0E9314xhSsMYmNjXxF/nhco +4nyjiKsOpSaR0ILsjY7vDEbHrIglsFePrMhfQ5ooYrP4zqpiCu59h4vg7pnv +W7MlGeZbbS9wC2For5Zqvosd5AKNkwPYNHmbglw84KwWuFWaxJV8zwngojo1 +jFi4RVIV7wBVvJ7KigRogUE9tFcQgx0Bq2UHWFaUjI1fEVsFj4ynMDvnCU47 +PIItIIfZcfF9KoB3O+PablAWiivw0wMgiuM5bFeVg3a1LXjC2SbqKhtxNrtE +UgHDZwyWvt08+eskMMy34Bq/CP/gU3chOsuqt2kQtVyodmsyWhxy9LGZW2/e +Ze6926//Nef/MBwOZwzWNfg34Ffz74xaNhr3zmvo7MEaB2xPd18Fa0s6i6ia +++9hw0ZGBs0om2GlCbIZzuoQNWi2Tmc9aO7mxwOxqf5CdmdYZ8Zuj6PxOPMz +hJ2h9bK4MZ4Xyg1r5TmslcW1TLmEUvs6lmEyUtOxs+QDpi5rncyxPmRgTEC/ +FEb6INiODmVxXdyhhsjXqiFyX9dJ0ipkLvLT83z2M1nXBT0/qcyP2Ytw2GSQ +DMA4cV4MIp2MydlhQbQPtDLsc+ww2TVjY8kfHNCEVnYxP2zJfkE3ZVeLuzBe +q+pzMUICMwyT31Et2R8w85Hjfd8wCwOmZ9sV91F2R2W8OypQrosl7KuSIfJM +nqzB8xdStyM4R0BY2VAjN2SN3DbQyD0x9K8Jyui0KCCnRT2O7snwuIF2Iwvf +Yj0Pj9dNCDRCEOxfHa6Xg4kZMy6aKoJyUhdUXCPbiVmK48LTyfP9IhJbvuDK +SGCoLPwLLRPsEE2TZS0DQ6eawkFywAEInRewha6E80L3M0iqz3MpdwtcF+13 +4c5qCjuU4TPyMqb5qIcEts9gz4RwAyT5QCOANgDLJfEuniSZXPN+XPFCNOjb +RleYY98zs7Pr+ea+bGGOayM0RcEz9S9I8rFMhqckHAm4/+Du+7TwUMScws99 +ZRk9ByF8Prv0YLzXYAU6Gu2AtOa8qQRWmsfjpAbuJd+N3C7oFyG2RXJwnHQi +17ewcJbIG5MSWfhvzmDEg+N14eA4W2pPc+DmBsm9mDk/7rRwx2FFcBwqJpMh +ydf6zaC0L2JASlCVwan/Qtj+HmFfSKKVHco9FCfOlvel9JBIelVarvWiBH/f +0rkTyOQx5/8SPAN11w7bkRv4w2MYI8FvnQBw62NTY3BWbApavSSptwWHxs5Z +sTXvGbw9HettAvsX/YHxZ1F5LAwLHhhnlce6dM8Nja08RncFp/VgzdvgapbI +/SOD45BtoSRyu06ZH8RlgfkivsHt8NinJv/Kw2O8rYcqvgX4IaVfBG7dmTW5 +x5Mg6zBxgtuTujxPUBKZXMgikeGGhAzPZr1mLXP9AzIwfoXJx+KokGExrFzH +coM03JrQ9zmEpfFA5rXdXEzu4gHIojgWcTpXFJ/IYeF8rDfqu7mW+TtZyeKz +gsFwY/wsOUnsnBKwyoXbuHuRk8NdI3K4C8thkcIXoRS+kIMWXfAW71zU1SjU +TkXdcvbDPVm1tg1xglyxdmtSzAcbxXzTbaCYb7kJFDMEX26VvxvwejH/7jaj +pvfHY6gUNQnp/UlEX7MH15jmLxeOKZg1WEdr04aYNcydKhI6zauBIcHAo2HN +zZ2cN8P5Mlz5iYyasXSIY7xEzBDZrCMKDL2pK+NlQskR8AaoGICQW4HLVAr3 +kWQGexVYq8rypiNnGdDnnlEZWFC13FiZAn08Vha2sjYptw5QcfIm5UISPHC9 +zV9fxVcGe5MN9cloGSRzY5bMFA2wknl4g8zverw8xjct24o/8GBoMgbL5v94 +srm2j5MD2RwJ+xFOLimbKegXkjFc++YPirkcQ6UL3KaMPwib+IOwjN/Lb7JJ +WRf9CglgyBYq97kBt0ChXD6b5XJHXkJ3tToCCn2BIytsLPJaUJc1LZ31ODmk +XMiieVKmmeYmS4816ITVvim5WSK8F/JinT4gXmwl5TIsmN+Tyr6CFLlc7I2V +Q8kMY+VAMjujsuotc0vnbWhW1pLZmpWTkrmn7KBFMnv9v2JW3lNhs3JYaRZK +ZilnGHkR4JXJdzH+3J1IsBQAhp4sQ6oJJm2Qb1idv5LBF6+jPgBN4OTyULyz +yZh8Web71t0yOy/umPnlqpaIRf/9wfp09KazXF6Yh69JOArwagTb0SfmDgSv +BWxQYCwE+ORXeQw0mWv5HmQcHKHCYbmZrzDhjRmZDN3VHaydyErlIl8qWzMy +d1aLVA5Dew28zmonlZsouAW+EtdNingsYlJZ51fJm988IZUD6ItFwinYBXst +0o9DRC6rLUu24xA9CuoYXBBOlgO08sUJtLKE+sK+awXA4E2KTJYh0Ce/8euC +37cgEfG3reVyF5HLdGVCXv6ptt9b0sVs2+X+KXe5b0VfERbtWEOyTJJWqPbb +twOy6quc5xEHMo2Rt1aZlpPzlJXJfkjPNx/HZPIXEtCLyOTtaTI56q1QUAvs +qVb+Ck8qX5YqlQlw4XwWP3ArgtTxETa5lXkVm9u7VxZT8mBa/uIwy5soO5/F +X69QsQjcnq72/gQyI7NU3s5SGW5GQCODv6KwjIJ6Kxn1piGqVibzQGky27Ug +xjOG8w3D1PRYJDK0fV5ffHTmWiz4oNq7y41EJhhFdQRRCITCIdnq2YlxL5bG +PQNp7E2KN59jp8QVmRCbz5iRqUYUG+HZydzYECeCz9oF+NcDIvi58I3LBTOZ +kcNV2za6UuTwIZUwXBx89L8y19+5C5Y4uXDe9oM3ai4MYhICmsQzSuf9QDbn +gmY2/yvC/Wn2bP6/bASz+Wfsc0abM86cc2HknPM9Lj0H99vjqeWEq1kPmgWh +0dm1nlhThjJkPKmGy08zHuNZ5VZ+MQzxsgEDWkpgqPxBzUK0GcJWidzJH0bU +8fPcQjKZXclkuvBwF2qYjF3xWHB9mTlrDnXxfVDg89O5BJHZKSBlGSYr08Uv +1pXs1PG/pbbvLgdVRnU81PyB+WJYg/hQWQwYoUKeyCaMpxwK4z9iwuBCbB3v +swr5pQq0lPBgeffiSKxPIn3LgzgfqmOCKqPHir8Bm9l0sYoX0TJMfpmlAxgu +xvOzeOgWZmRZZVw9UMbtLAcOzhhZM5NYC2pWuCNTb1MwREYbJlBiZdkshdUT +U7lX0cJqVMVGDqwMmVcCSg6y/Lxc9rvHKqaMPQYWLZlzQQsYFRubJbdnF6b2 +XGhx3NmK488T4pgQcU4Z23JfcSWHRdcCVY6UMGhcli0hGeScmtp3kSj7Fd+F +Kri2GIDOxIAX9YBOzWCQ/OZZhLlYWrMgs7Laatw3QSMv2C1BBcCVD0tiq4rN +Ffxt076Z79tcnNnZxajiK1rhYxaOJHie/px2cuZP87CEowFHAX7+QHz5wvzc +t5rrDhBwaxh9CHwmoJZC1hzoLQ/zgw+urmtw2XlCpt/mWqyI+We92XmInCJO +uo4t4rDwZoQiw+PPxfOom70e8sD5p85tkwJFRkW8PllMTd4i/SB0/oo4yuLV +CCBZPw4XJx6IdBSWVOAoZFfFunSndQwJZ8tGShN+iwte8gtHLrIpVlHGPEie +usOv6LOl1rQ86YU+I/YY8SC5T2SQLJgLuyi5lt32/OLD37Wo4k6kihF5eI77 +XQscfA4Skon7tvjMspycl2hWhIzwQiao6qzO+4x6exMxb85x/LLyVjxHvgoM +uwv8eAKa9D+pcDnIAw7rJlG8YGhs6/RONbctZIC0Gj7z5mBwfJ0aHJO3gopD ++kf8FZc4f4VFWYgiloJqCd2rmF44QJYykbCkengwQIZbFm7WF7hEZC5le6jX +/qTMzvd8RSzd9p8yvgIezwVl5DaWwfFi/mS8yqXSz5c6NfwEP6pH8Zr1fly1 +Hs4+iiMyNzG8+GqLoDiOncTVqLwDvROnM4atrorUiWdC/BIt0SvRHRVw6JPo +aP7O8xRwRQfCoHwvKOqZg9I35594W0O9AfzB+hfsYx3wr6/5z7Qv7Gf+e/lt +m1RSGe9nlfGAu2GQfN0doIPhQXq9/N2Gx9D8uxtuBQkslCXzl4Pi2fwzSNbC +FWT0s/lnRkHn4uw5Zer8H+qjv5o46HeF1g03bnaWDaWexaoh9maxaFh7hp0v +77bQDCI0c96vHQUURDXr0iGCyJFtGWfKZ5Adi2wYQEhdh4OidXkfsFX5dQbF +vYiPZMrxTeCXMLTVPsgZPp4ln3EbvV7rudqR75pySXwLRVIOgRdiUb6IcTLy +IhX7xdVKMd8UzJMHcm/fvQyLu4+Lfh5o4GbKrJr/1FYMKcBW2T6wY/ylgRiY +7zN/opqfqxWo5ho23+fNlbVqDrN9nOujPj9RzMcbxXycsyuvdYpZUgmIgeTo +7jrO8L3HxUFzkZTF1osth6LH6gFEN8KLWKvlM7hzoQWeY1jkwBkVYrJYLmgP +fUOmiZESDVBGDDTSIUZKdnaLptpuUZl23pVx6lVTu2MOuxRcmh/6FBorK2Yi +t+epZRVWqsws2fx19CVzLugFsF5YxezX9XXRinm6XkG7mVo3W8GQvei6p8ry +9R6uiq6HBJDZCAxA55sEejEknLd12sPKgjoYgK2DszbzyIYHNjyuwa21pHZR +Znn1tVg1Qrm9ufiIhl0yAC7QcsFqGY48tAuhWr68FQ6TPLX8CsHgILUOD0Vw +5sPaZEvxwZmNRi0v54fgqwy1APug/KQH8gOwP9KUquMV5pxEbbwoaicbRb1U +Ad+gPuQ6rg+52bJbyHUvkdQh3JyjaiatUn7Usg6beBYL/UDUTvzQiRzCLCSu +GgAtwlWKzuqpdYpA4NxRWJV6FGJquUVELWdzIen6Hc+p/4JSzNp6oXcoKXNk +2puwQ1nBLnCOLNk99Rq07mTOpuJ+JFDLj9jf9A/Y6iV2Ioi5A0dV5sdgtXiP +h0bLq25KyOQNnky2FuScnGc5l/e8K522c2NdozfOt1Zg8l1ZK6oK1C0EVnAW +D+ZQnvMYrBV3+Cq5TqCSbS5vgMrlgVLWFovLMFabnB0LzKIrFYS16azcyB2U +WbJNvFlXQMkh1GJkfWJCyvwYblWo3DM3KJgYIeQDcyW4HWGWBCEeCPBISyfG +eY1SBqZ6iVHHwL+RoI7DPh1ksU/TeO30BNsqHmZ4231cwHUHA9uux4f30eaG +PM58Uk7EjoF+m8lGAS4u30Lh1DG2Fkmejm0TBJZwlonkXPhCPRNGVezPg2EW +bP4KgVPZCz9dwKvsiH99cuE7ZsQoYN4hP9S+8DIjRs2VbcQzLH8hbH9OYX+c +B8CXLq9d00pn9g4yQvmqe3+G9+n+MITJhXOXC0tKUM13/OqUM6tm1Mu5cCr3 +Y63MOhkOaQ7J5IyTyWx1dmNmdmYwTsNaMpQ+DqfL1oMhk+WLFR+DqwDRe6F8 +FzAKcrr4Gw8H+ZKaJKP/6swy93auQZp4jfkgrMPA+luIstmMzQGz8OTDif8E +g+tqglyT7ciAYKwj7SIU2ftOavjO9iN7P7TTGxo2M3VuxcDklrZhBN+koomv +1Zq4qeNboCZuQpqYJ8m/D2XOhUySmXWB+HIBxI11cT5PF08IbMpPs+cCuBfT +a2O24L8zYtPkatxIUlX5LQJdLJPkt9wkWdpHqNv6WFW0qabInEkoNl+CTYx7 +hC3S2+zThC/BsyweHuW4HoX8jzVvYq2HG3OM6VxrQQYoMiycpT2EJscCeiMY +cj3bRa0hb2NVcH+C81OslYWyGp1FoknNU9oSmnq9YjIyW4J6uHFCD68K9PB6 +87eBwW8gAjZxXE8oyXqZHBubhT18LAa8xbIE+j8J43vgp/BqrMWWbK2ZkWny +RNIJ3UPGxdjQmvyzl+Lro+r5rgjr+WIJPq2LOd0k6T2yJFPmHyiysIOGaRtO +kQEAV2crMteX1tjAoOR30IMJSQX4JMDnYFvNB3xN3BY0cQdzhJUmfuLMzJ/T +T878YZ6O8ESEJQo8A2FhCqOhzSUHZ9aan/QSHgWBZWgqF+XA2OcetB4fZS4x +6GitziDk+uXoYV0UInr4RotnkVTeWVGo24gKpfISluOsevgVLs5RyxOrhxd6 +ethP6Gn78RKlh+PHoWFWTZyEhmd7HoYAuEQVHxbtJLkWFSoYeSxI7o3w7ciS +2rtG1VVLqQhcqZjYE03cw/89P84QcEjszGiVLMyRhJ4dCeWtpw6BhB6WSN4r +3FIrPorpdmT8cd6knJwJ7KGAJF6ghfMCwHFV4VIM9bRwwjvhcSm4Mk9pYYQd +B1rYVuix3difGovluC/tdnly/L2FIHcnPWzTeZ3otobwEITswX7coY3rA+vm +bmu4qffolB7cygJEhomUuXGRXcH2473mBv3rJUro/ab9FB+cgBMiuAmB9CRe +CtDDm7mNM9yhzuH66OlsM4aJ8VheOg3jcO9d1jdxNIbYr8bqAEnaVeOUHXkl +gDHRG30SekJMaTo7Hd58jqd/fatwXPsqHwRavc7Dv944kYJqApC9MAHum7M/ +Cl+YUsGfEb25cEUb/Suaty3+XYVGSLi4Wxdebf6r5htnhCkMtaq0a7ZPmvjy +IT/DjZIL1r1cOHv7waM0F26XXDiG5r8OcBm4aK69E/4vcyxzQSjvhyp5fz1V +toYMnCSbf4dNsdfT3x3gxbjz2l9zfsgMZJFM+T/rwRBaRlIc91ZcDC2MuSd7 +FA+MgX1hRTHTbJ5hEzLldrfb3C7UArwpgQQQxNUKMivNFwHcVesRd7oYbz1Y +HJVWmYmN8h9XmYjP3s/zRxsxPBxtUNpOsQOOZQO2UzS93G8OaeUD3ixrvGNr +Zzbu5qjIZDg+O/PLlap2j+nIe25RwDeb1eOGyyHcWQ2iOLRXsAEZGkb+UCbk +v4ScbDkXYrMQI/KpZIvygHC61xpEcZDdU20jXm5vkbNX7LKNmrHm+SCBsAVy +/eTCXFHml/M+r7L9I9iJeQv295yEHT1Eu2qCxxmOMCxyxEbRvqgfni8cDBdc +j0pBWBVUoScKYYRyWbKxeK2rz2sm9Xkx2vHKl4KMfhg+WuQGw565OH776wqx +mBhuqMQwBpG8qViRCyN5CgARsPDuXrAF3uJuOmb+mdECRu2SEvjYkd6UILbV +ezP9SbFMyy7G/bJWw8y0kGz/E4ppwcS3Xtp1PHK33Tn3DohvWg0naG9WDf9q +s/7CssCAHriNzXcDAgxPcTgPlANNiD9G6xXUhsD0bF3eEnwkwyIZRmJw5X9R +c5g5/wNTlHCTzO/D6+ND8w/zkPzdPBR3LyJmBfzO4cEHv+2C0oPMb5rqI1/l +Cj1IzsACdAhzWIAriq5iVsFg5SP/RKCCi0JA4QB65BUIm8JV5dVVVXk0EZbl +xyj+nQcT4bW6Ki9wE6saELIOhZV5cwILkWZUvBF4KMIHYTgZ1kE8mgw3hDo9 +VsINjBJuAOchooSTLmOnhsNgXrJGJ2m6dxuSZN0evgCf/ipAIn+rPBU/Ome9 +chrjhJhfelBIHfopMJQHv+fL2D2vlPBYftlNYibL8wGTRSbDxHIqzCyvtt7W +z8K9t9FTwXNZBc/OyRnveSc+wrj6ZOUiTipgNw0ezmS2+z0FjJPgmF/itEAB +n6kUcCJspxWwI7XRRLh/UAHSV+GN9VQ4dBVrDwXNrX7kXe7OTq0cbqqPo7hB +Nciem1QQ774giAdXKjgVn6uV2TurBi6Kfn+NWjZhMgzXoHgoYGmEKriI/RNl +gDQmKvqSrVSa9RrPgWYwmW0CFwGIAh5ccjg6h29hNDEUPPdH9ctu4c21GT9c +h/0RjaLKtxsq33NVUO58nvh2tqqXPRApird3Lox5jRjsUNhHbA8gdmnAu78d +8JLQ7Z8LStfcP6Jz2wQ6F7xg5s/8M/NV2w+CEuZfwMrrxHbNKy2ADzzmuEzf +oT/DYdsPZivmP3o53ylXDIIhrzmBRoPDJhKSLVfy31WccjFnMyehlK9lpUyO +jP0CJ4bMlHNwqEwj5ZvYepHzoxPM1/nj5KhSVmNkq5J7+SoZrRVMvRjNkb1x +GNdzClkyCLPYSTiXvxRvyJcCrVabMitVyawrzpqNzkKgmsuoGMuozTEHOxRZ +J6QrhK0TCWpFxDqhH54X+qNitE/0Y1P/lUywYNIbjotv5v6Q24Fk0dSpYzUy +tkQLpr/ZsfFIGhujSg5NyONUvwiPjtFSAf3xCpm8VxTyc6yQZXQsyOQgpmcL +rFV5tYyMtfE4po6B9PYR53MLmXr+oflbjHQ3asmcysDGkVw8fQuPiftiN0gd +PPZw1MHwby0T5qxS7O5qqx7IXHwnx/UlchfYJdY9brmuNB6WvXE2etschTZW +PSArKpIzUntij+vq1ECqMo6Q3cJRsT8fKyFxnNHiODEmCxN5nRLiWFMsnI3i +Yk7yCxK5u9goNPRtnD8u7uX19PIoTfcpBF2916muXl8cOxAWuLSEXgEQrMc6 +kjHzaYnhNd2WmdfwU3w8Q6kYpHXFOgFioSxvGroov6j5IALdMHqnhTHYJm7j +6J05Qn/MMMLYHAP4yQO0BX7enxUcij/pdWUEYoGZzwvmtnuK7RLgCLwTilqL +j8tcbm40iNrBHpNEcWvVj9eFOz8inekFNyB4pTH+rMkJBD/tepbcff8+wNqe +jo6FnSCeHWxGlFNoZbpVIvVxyJsSH+JWOWGc9ZFYzpg4Zp/Q1TmhfeiiUBzz +qFh+615XjtgncExM4viS+/ekjolx9XEtuRJl7QFDI3AYPtzZCWPI3kxrzR2R +HLlbKONhiNvVKGJXsUCbllhoU2GV+SCEi6yheBZOhksRXTyV++8mYijdxerU +SDhvJBmIqw631ohtCspmrREnizXCjYN3hNYIayCG/jsniL+x2GIjiBu6aB3O +pAKbhBexs6NhFsWWSiGimMgUP6rx8E9Mp9ip+FI2cnf52dYyAXhjmFPBDQxz +KWCtQgieLBNn0FzpeeoAgVsR5kU4Hn73RJwNQSD9a4jabTjC1s8Xl/qzoDfY +TAw33nQ2Eo8rJRPxMGY13YkQNqjXhJ6O4xD6f5k3CvbFMJmEW2V6FLVhcsS5 +avwbG/06EYx2hyJtdWCbwyHcZt9PJr2sfkkBw5hXjXhB+OaC7s1F0fsPvIwh +HIx/BddnmpuvWPOCG3MhLWw0JXzQwPvVpOBm8381LrjF/M8Tzjl7n6zFII4v +Gfaz0abmOsmF+KtR6zB26St/Q8w/7jcki3Te35POV8GEGTTz1zitAV/fNW60 +jHlxbcSAiwp45nC4b3QDZtTMNuqntfK1e3BHNFBsF6KVxXLRN7BasE4WxAW8 +nsFeMbbjLvRXwRQZg3psN9aJBOCh+/qYPhhr85ayvXghXoZbcHIs1uJH0SSF +OEZz2r86+S6FqLjW9uglbcWafNyB2tzFqATW4i68mJEwHlspUB9fxcHXa1Uo +D/UxtViSpSLQyINVQO/+RnaK/MfwpEZO2CvgqTvuLDdJfvIMR4ITjTz1FK+7 +GuwVwKZBCtyLNTCoR9aKam6KjLYKYtZIQE+Ibz/ptvlVkVCuekID2Q1A5wuZ +fDydG38exiczTNqOzVyDHQm1sJEHds3wBIZlD9onsCuvLx5VeI3CwxMy+vVB +QGy6C60T9TeydWJjpPJjHejiyb4uXhPRxau0OHgtYiNW+2JPF/tpo3IEQS6I +45yjlDTe6EtjtTbGGoREM4i2VJaUNzs+T8kDTOpbaIXmIes6atcYcvEzfiV1 +t6dUNg8kMs6PWTo8Kil+Ta0gB2aYWbpCc2IVAEu2zncGmTz4cgCT/aGLOLfU +fldmIlAqwEVhXtCwX1oAc2MAulUvMq/mVZn1ee8a6TAvsyVvBpEpaozMbIcW +EIC4NemX+R4cxRd3oPzd7U3MCauHL8s/ZtbK/GZ++fBLh183/Ko/KjoEwSsr +thKJ4mXO3MFdB0YgGPrcUPyvzFVMoIDVZk87K+6Ad5ZmEbbnnB24JeByac5z +4kYFt+FrD6gTziCfNifWgLYngjmxytiteTagTmjj/EuZOMs4oE8kXoYpLuIw +fsqnoLH3QqyANE64iouihqIIB9w2g9gqvVeSLTkJWIuSx91QHjtHvZXH8gQE +5vdDzkUROovt3Ng6KH5FE6L9HXd10hjYpwBuwoabs2lPCm4g75artokq8aqs +ZJbpOzwrns+a+CV2S3DADvvuJuXkPGmdEi5bNyZFEmuHRAqELZtDIuIWplxd +OCMOnBKNL4/MiZljfHYvl7Vr2U3Nirk+Gl0Tbl5MF3Q7yt0Fzglc62oaxS1K +GoubGGgU5gIFTyKuW1+ogRcikiikaR7mxcvINQHDIMjSfIyy+MDMhjK+6NhY +9QqvSJ/my+6RUgLWDMHPBVTYgUMCPhknoDsCauqAJNGn+ExmPTZicoTMhdva +mbCTwkSF6BzI4AvcHNi5HoouVW6Hy1EDa/0rNofWyI28xsnf/bT8NTeLuX3N +P4PgO1gYmxbeZP5Zk8Kbc+FKzoV5VS6YvowKhaVXA/y7AyxguWB1NP/N485p +sU/K+ACjjHuO2GX+E72G7cnN9HrASODeDxhxe8n95n8jtXyf+R99QRubA5mD +Chn1MWvjQWC4uBf+xZU8S75KZsnmn6EqJmWcQxbl/T1VbIfImz1BfJsSxInB +sR4as7ViqDTEciHQCM7gkQjmBk3s2INSatUU25QFcF36NIChaln+RtsUuxGb +YufhcBiexlQoPS7zGTLahtHux5xnzMRaPtvVHp+NzltY+9ExebbUcBifnn1a +ZH7ux9YJ8BJf1RzPmrVPgAC2Obtmbkg8MLBRDHaD4t9lUKxZbmKnYGKFtVOo +/B1SK8af6RdS23690zL/mRrYKaQ6ZLbq1rMimAbFZKPgvB1bKH6CbwGkCpYZ +AbySBsQAroLg0SfFh2RKzTeh0HwTIH/74VYHsJIA0mhYM6NbSovfuvj87WrO +OUgGtEsUUo4OziYcRCiIbmBkwlk4ObsX+a2ELFaeYdt1x6SJyEDYM0yGNoly +qwyCFXHFp2E5qH5z4cbPOYDuew7UNX2vwC/Ee7swFy79nAPVRGyztU24lTGu +jcHRNb8UFl5gopy3Va7/XBiP5UyyCuACPSAWbJvGHLMC9gbEU3dEHBS+n7iH +4rZhK4iiU5DX0iiF+5KFuwPCwt3rfZ6VOCdANSDLquMvmccZ+wrvY/g0wDAN +B8OnFGeW1VibWZv/gVEIr2dK8mb9P9LeO07KKuke7093D2B2za5kFFFRMsOQ +c84ZyUgSMaAoSYJIWBAVTChJRVFUVFSUnNPAzDABUAQRMSBxQF11f3/Vr07V +vfe5T3fj+u73/XyefqEH0e2+devUqVOnZMz+cJkZ9G35MRz293O491HnCSDf +/nU5DGtwOHHqe+UO+u2tcnSBU93pdaqUOJJ1NeXnXSamSuv4CK8wGz1gnPS0 +0QrDP1Rm53Kx384qJBpSh9zmRvHTUeq35m7X+UCn+rEO3FWNraD2OMZcZGvH +XyFe35H7tb9QRryVgHgT1BFJS6BTEMFbVvNR4wCIXHWR2s+EwMbEGTqvN7Jx +N/8djHv577go8g1ph1MWgfx3cBBEhiU4UailcWiRdOIWHN/WeMnRiygnPPRr +fQmhDpqh51qquonBTrz+hhi2M3VWMWHn6dAnlTPctpBmtzxDcxn5og8K22Kd +DT2i22sqHlBCuBxc/K1WGIZLaxzq1Zm5t400YpEssAtY4OeNm/9shbvGRkJF +wWFB8LFyYfY3eUndw2EztYoKdaWvmhLuDg4Zq/1QbQB/Rz9W7x8ZGDDAqeCu +s5boFhqaA+Q9YSGvCIXbCORVn2KPDXa8VL2QfSr6tU4wnLgZeqIPeyvqiDq4 +oaVlxbAJCkIoB6EYPGXYYIyRHzV7MA8YG1Kf23nHbLVSVeAVspZjAiwkCtSj +cUj+dTRA4G5JGYjrKZt/KiVA3frGFqKJJ32wsgcf5nZxELfFPmvvcK+BuH1D +AgeFtlbREJf7p54oGoYipUY9PYPA2mxA2vTsB/iPoiivYR4LbaO4sPjPY6yh +sjyj+M9Xyh4VRVKO0t3Zj0WK8C9Hy8DDPVl4nqAbGtcd8LeFEgEX3HX6KSDe +qeeiIFNiyCdRBB+/ieU7MsI9GUZqnGQiRaUPiVQDab88E1TYBB5Z8HHkMklB +KFghdkLowijJMMhSxHrsMf8zgMhxpY4NQB6CET7ILPJ9bKxy5AfMpLgBxiJB +9hUVA82EjAHFVkVhmWHcEE+1K9RdQDA5b36GnmsS7AJaLDpB8D3fuDp5dYV8 +MTbfUnq3MfFfI0yw2rLBZOIVtWQrOVOK3m+xA8/t9nhA+zcJRsUhIOzv9rDy +/ObByOppoyGWXey+UqKvGawzbLAbrhteK8wIP5SeAhDXoF+4OP1ljLczb0IC +KBb1RDIoTskOJykoUu3TU2YYhhSyH8Qzo7CA2OmK7aCdp5jQATuAYcMGo2+E +3WDYmltwibstMF67QlrLOlI7MwSElT/rzkgCo7BwbkWfRwboOMIdAywLDQJl +BHiyYG+HPzj3vJuod23iVFrh0JbbD1Kwv4FeOHFZQeIEfc2kiaGUCEB5L8YA +ioUvlaXQiSgAywxCG3E/3xcFDIj842JscAq/CUOHOUzsOsYRNZ5IC1HCxsSV +f9bujSOR2SnXhIVlxeH9H0lDdrOTVRMBJXzeSYr7pVBMuKW6Q7SmfiKBRpsq +NNoZodEAJqCUWJZ+lD6sckiMXDfcxvVxqa3SHEJjCOMEX5eeRUdvHc9JfaTu +8qjfUc2JB9QVL/F/z+Rw4XD49Z2yVMj1Hpoc0AZ+xUc5O/8y8VJC23MpJ7uX +jFxYBT7gcjDlcpv4H8nAHICweGsbB8Gc7tKH9BUR4UG5UXyURyerIfamUkMo +CK65+69AsKeGSDIcTgTBvndKAvWb0mpttR8CUcQAp72UpeDGrVHUgnzSLg6F +v//Lfkiy08rFdBI2AvYHI3UpluG1Sj7xDg53+G9aCQ8Od3Ui4sKQTsIRwQ/q +9DpOMBghJD0wQGiBChRuAWPBU+JLKjofsZMwK86F5cmlzdLm3GFG5sIwWN3V +XtcxORC/4jFshRABBIY8UBnfSeoNUdr3hjC+ELcnb6QLMb0VPaZX/IQ9b4jK +Fv4mQGBfACFsr/GKsPNxiRC4toHAdboY32GP+a3vw2DIFluGfSTMFoFTifLF +HmEofCGVH/HT4dm5Pxepl4QIIz5UYQRYH0gCxaIc47R8I+zPv1QkgNuNe5Jt +cWJS/AUzHzfZDMc8KP4QuCX+Sf3ENa28mYOrIj7BYH5kLfy+RjJgELC8AfRV +kUNXU1L3EHlvUwd7+3iQ1yoa7pMmkxXxgkGqkz1MdFhIorVEumDlCyMdh8uh +Wk16UQ9rl1WhLocqwG5cqnXtUeGyGs1vZz2OlyfwM768KskzFg/eHoeX8fKz +CdLPurFxvb8PhgPVcNdZP4NciSKZxECxRDG3HUVe4atH6BaYJOJBTGJ4BXC5 +25TCGJRMCpIvk7VTFij3fFI7kwYkB1ILBcn8vxgyiz0OIIekFapGFk2FEyEb +PYVFxon6CUHFRjth7SoeHxD48rutmNBJGDQMjcTMFuo/M69JeJ2VRcI6P55P +G8vxZVFKXUizhBJ+T2bI1WptrmyDllq47ARVPP1fUHDIuKUxnUTd2cIEXDuj +ROqsKyURdKmRsFqvyQJ2Qw0H+uEADTsrtsfUjk3k/b79RCIixjZph4jNykpr +0TbDrP9AgM82Wokkc+M7Q3pioYh9NGy0xBYNw5LN2k7IhN0qtWWENgLqKSBh +O1aANR5Y/yUKKlkArwLLlWb913wjsBwvKPgGGpRXinrlVZC6GB3k9nwRoHuM +WrcxBzlaNOjH6GTRI1Ql63FnpSYI2G12TtA/JEzLZSQh4MSZ+cQOsIeAQxBg +HVZyoA+0aQPHVzj3/w0eTCHw5R4ETqWQzE5UREQDe+KiDgM3WlkgCMCtw9XV +0CsOMJb9K+VwamlEip0eIRO2BHmEZzbRJYXZRJI0AhW8VQ6PD5TDdvzIN6gS +1fAgbSXLkoNuFkWck0F8CKcgmoIPzbs1jsjw/ZoKubSlzG65DIAYIJs8VOo5 +Dn6Mzz3EGbq/Wc7RgoOzruyy+302B8Xi8vTL+6VVArFVR+b2M/bdWXApfWF6 +m/bQjnOGESWoT57uqVMBj65xVnF7Z8lWYT/AYdLLqJFj3LM9T8DKDvfCQTsB +92b+TXOInUsuInkIj8YlK4E/juLE8zn2V9T9BfS1Z3/zhsgVF2mDhDXy6h3h +/CMMAL7CAeDqflckUSQcUkPkRiQGIv1TWw46EOxbDh70jv0hz1siDIJT7e7o +7DsUAwTLRujTDgTjOCOfglzCMUZeRAcVrBD6GtAIIs+B8UH7EwB4lgXAOLp1 +fT+UYIv5Vm8GBm1OH/yqR8QCj/99zimAsVBDx+GMGYQzRbO75lKMwCXsmbPy +huMO9A7TEbiA8+XvgDNnZFRY4sCgN2QIAd63Zu/AGCIEfBPAb91U4LddGPw6 +6YNvGtEwDIA7Glaq598FwPcoAAYrhPXORhmM9ie0f2B54K8Idgc6vy/hRs5X +AlqcGJFbacbjFrp1lsr/PmpsQwfJfrlS3o6easL7gunplOuD3tZuxA1lc0sZ +JugmUgZfxpAIdhOBLq4YkLoZTqvgQK70naC2gkgBObSqPCByq2Q/yjBU0C38 +G7NHC7nL8PYegbcetE1CtoJun8QTRTLmt6vsnSTEFG4zfqKY3eG/HVqum5rU +/z+MzhlG+PqbOPJ+4j/BsZeGnBJFBEah2cebM4zJ5wx4E3OmAXU8zfAu0yAq +Zmzs2GRwyGKcP1ke/HQShBBo63RX/tjJkMdfiBRzxLEnq5A4N1hYhRQ7HBQe +6q2iCsFgjxjWtVTaJhJCGOYTGKkzZPBUviKmtzrnDYufcAb8gL5uLVX5fTJU +qwTwF3xFrNAxuRILRAV1uOSsi8DeoU4C/KORAP817DVTqQgz46jmCGCoIbob +NcS9AfTFjg4ZmRsUEMECf4d7yogHAhdiB39H+RDY2+MBQtiqJKwLm1NKVAsg +sGc+oRA4WBr9h3Mq9uTCLwUQ+D+vqRObdWFT+Gvc17DHwyojjDQY6ijYr8rW +9803hHxl4DaMdhE8FjfzNbHqoCIICCjhpDYh/yp6KO9Gvh5KS0sItTBqYCgg +WuV0FuK3IYc5wtqqH7T4HKttYoa81RjyVkd7OCT59Z3SFjHcXZKwpBmE73KP ++UowiEjd/vVI3xRLBhKI3xobt4P62oGYT416iwWoN5X4cXV2NFBCxFwLGElf +kW/MQ74wkuDkz+/Z1B+g3+ccA2wXP7d4NwH9vm3WeHmcWNs3fKu1bxw35iyI +rR2rRb8vqjBYIEIKW1Zd2hHYD/ewg/d2xVfCfBHuDCei7H/BLTJAaQx3Rrgy +Qi0FIfBSI4X4rOJ+Wl82R5RRgAuwk/qy5Iv0Temp9N3tnKarDpTp89MdGfUO +YtQ7phr9/uyd9AeXeRf4TJ9adxOnuGvo632XCbezURoWyuk8IyntalHv9c27 +QVQ72qywDtitEhz/+oaZ3pyRTu5Q2W5glrQxScxO3CbFPf9KXqCRtFIOzQy7 +O8ZHu0sTBL5/hXYTz3sS0wu1w2pMuQSIN6Jsbzyx5xHF2ccITKD9iejxj3vr +NgT0KuaNB5hXrbWjOP2RSwJ37UTYuyrX8r7m/HcPdT+cgcRfzIombm5M3PLc +YVHCyjoLe2XDs28gcZa6cxXXw4iAkRJxfpH77GwchOzIbchroHQgYJ/VUpfJ +vFr/RxGvY7TFmp6sgy12SNW3ymwkX24G3xbr0Ju4QMw1DhCzjBdwAHW/NVA3 +kDg8LjD3WAV/uO0vVbxRJEf+Mn8IlA1AutUG4b3qjtqFwqFGvyhALv/ADrhx +LuUfMMjl9xzMzeiO92p3i3S/CMfrDb55UFe90Vp4DVjOweB6m3EOblHfc/r3 +4K7ZtJEEd33pQyq4+75V+/5TctmP226gY5zH4BAKrnfP/kvEIxgu+e/I5vYr +6HmzaXVsgTo/DMnHnjizijLvbuOQX1vWIeOO0I6QhbgddQFPTlfD5wbwtpGY +ySRCW0gWhombPu4T5EN0j3x9AkdVVcfZjlJNwj3Zj/E3IZoE1SMA1GaNETw7 +VmvurPF4okCxEDcIjp0oMBaodRK/pyB2imDYKIrzGORZUVxaMfSnoui9RmJy +gd3UpEFqdCu7mi9NwLSd5/3AP+jy3IlIEZ0ngaLOPnPwnIxDYsd/eRdEn4ya +nOT/CIuAY4jIKIAvv0w/Cyw8zbHBQLZTzwHtgnNB5plSCMXE5MJIporzJvmE +sCGDx4dVEn2NOmLAExrlVhHhI93hFumO8Ha5mzU81hhUBuKskaLZ5w5z8WeN +MehCzmRAt7COAYfzxR35tLFsttjF7C6xzkgb7MLVF2SDJJDtt4JsIWt4OHm4 +rZY33PZ3kS08V9oZ35XOdQN5Q0/jzt07GHgTu7SBwV4NkToMtcNvahAh+27g +KfxgeiB5sFZqKYne6slI10O5si/nqWSLtdAWuxDKNUSvkT38x5c8GISLGwBG +EWISYaQOIHdR/J5Z/c8Quj22W7W+0P9jJdcOvhEghPrAIIU5IWRbTly/u+7L +EP0+9ProBQMdQGtfS+QM6P/6qNbX8z5r+K4XvexvRY5vKKoNDbH9t0UAiYh2 +9cX0vEjpmyWlu0keSekXh7V4L/AH5vcY26IxvNYSWtm+AYSgW8wYgNaVpi5g +LtL75X8tb2CAqxKHA1bioENvacHQW8DuQumw7FBkTELPF3n/cNLwW2jNhsfu +dvYW01l82zUlvg3Y3Z4TzzuJZNLqAaNuUGMprX/Hm97wtLbnpDcMbAurGEgk +V1Q5xLdBAW0ql0m7Sq0Ttf+BEq/R4VIzJL9/X3kw59GujGtbchTW5aipSr/P +u4P+WFaaCj+9RU7ukcx/UF7eZdKvhIoB3vbP7ldvBwhwBnPegk+9E+AkGPdh +gtoyuJiCtsqFqoxnq2Q/7kYxBc/uSWRvE1YkOzdrf1gtwLMZ2xNNzexSOFu3 +hU55BLTtR3zSUikX+MRHceQFxzoGV5S8a/k9z+tMuhgb+fQF3n+Jc2wpmNwN +MtVpUG2REKr1NO5R4FpOdBbZGlQbFHdO0MD/FVD4dk4h6/Hc0RIkPX4hFzaB +8Kbc7KFOtXHuGeVzrfkD0uK9489L6kNLAmkOhxatCMhx4AmMUZap7QNQ+xqD +Wklf1Q+LOg+e1hvLYYJtpwgY9ojl/UfC3xYYy3sVLlju1ooWDJgtPUlXQpUd +H4gVyl9EqHDx5RVRwFj+5H19wo/VBkKdOyByWWBaZiUKotI1Xg2ghQLGFhA2 +owdfM+Lb4OQKwtryzxjQRjoHKy+cbMGDtHZwrZEnX3BKXpOA/wusLRz83wbZ +PBmDD2u9LetH91wt1Az8PbcdUJvf5QeUvX3OeHqqwf0NNCi/OJe7WHVcMcV2 +nJZmCK0DtcrpIqsmmhtZgg9jdbAMvohDnIkC5AcefDUiKMWuVYBbOSPyR60y +g8dNfwjaqLER0RVwqClunSCrKAPQOikaplufEg0Vo9WIwFUg1WmCVKfL9cQP +stqemXgvcyY/uK7+heSVOSuGvhNenuGf3tSkoZteu8Th2b8hX2CU2+ml42gP +xhF0/EdFLSc+Qz/xvwivMa0xwZs8f4Lf49doKlSsiJi6zjkZRdDyf4MgYijt +Zp1ymDgS0zJ1pojvlBAWrYTQwJGBbg8UTIzEBdGDwiLNg6DJtCYd7xvmfJ2X +hG9yZGV7anQUhr7WE38qF8IwOoKm4aXGCntDsyy35Yr+f1fJjSJ4gnwPd4W6 +Ac/ylLzq5/D9xbzO6hivs/q6fCalxaCJOIG8bQ2h27FuGPb2SAF7+xsvtIHG +Lfi+BOg7PEHfkAB/f3kknb8Vjt/I/WGS10JgD/7CF0L0Dv5IXGjtRpVk/zRf +52BH4V7xVm2IvsFAX7Oo+ff3A2K30C1f92CvjL2a3VoHUAyr6OkN3BowiRLI +exMNzLtNhE2d99UTUgwtYNwIKGYR/dWzRypo4HAOnH5nGGnjc2a25yWzLTbR +yyxx7Vviqotk1W7yxHqyw2lofsdm/E3bUlNZAnkDKgvpfw9uD5PxAXqjAL0c +u7aH6/ue1Qany6CX/xEDewX6Jkga8iyxmyhrMONuMV/ea1wfLg85pto+r8MF +yfKGKABC5EE3CtTe43c72eW0njdal3mWCztxEewbqHvv9caDxOzhEaOJHGFh +hF4NaAlP7lJIT7c7J4PxL5grAWrejyt9RWvuyKGtZbcon1viDeG9jt46jo5z +ej9Rtxud7tSSw44x70ROgC/fTv9+vyRXbDeLgdGB7KtoV/5lsskF6y4wvja5 +4B+CdwdBtZB7hxhVg59RxUJ7aTmCv0XyAvcSjKsZg4Ysg3Wtie8es8Yi01qP +XMSYYVdqr7JaqdS521Ooc8O8bUSlCvHEfoXDulsSsW7RBK+G0MmPaLUXT2hh +bJOCTw6+b/DnQ96YD3lNzRfzuhlZGBxfnR3pHTibGCWvPf2J5n9JrK6n6P1L +a2B7wC2rm7gow1seJyfZA75dDfBF1YaTi4oNCQ6JbeT9Wqk90feCJLGnOhTS +v1pZ0KvJCw59GGCTbmSZ8DhKrmFwdaHFK8abYY4RKkxTz14RKUwIfHpv84bS +UgBdbKY47vvyhgfRDF1bJKBra/j+vH1B2vYR0lYIW8ykGdJ2aEptgptPExrJ +krepRLqJiLeV5t0QkWtFu55uoSWj3jYpUK/RLoh9wzClktAgRZ5ETgw5m4He +WVSe/nwrQL2yRX2jblE/knUVHci/VBZcrDeTKIsN4p0iK06vNSJdCHS93Tci +5bdOLu0ln6nrd09nchhGuUPdQFnNHItwH+IHeoNRpmBWBa3OvT7hpAWOjt07 +wYe0BtHumQJxrtTWU83zNOrsiNKvcQW0mTMEyNbInAkM+y8BspyPMmejayON +pTlGSjUnipsqhqyLXz0fB9WEu2P3XEa7jf4njULHV4/xn+DEEQVzEkXAxVBu +RpFEYoi9KFKJYOAoQDDg8FzbNvzJh75pAfSFyRBg7zPC/M4+ySe766yTFvNq +BMua09MqfuitlezTduOpRbvnHdqF1KGHUf5alOuI3se16h1s2pHOo+h+VTM9 +Mji17eH01oxqm5+WBXFQ6ULSLwMpdxSIOndHaWvbudxDtP8SM5Zvy3HgG/de +qI2kVEXgYhF5rZ7eXouOnml2y1BEKaJtqIi2VYBqRQ5vZQoeqj3by3Mvg4HD +xZDtYONo5tBthkO3HJH8lVwYWStyaSLCZXzrkbyj3WxbFL2YSIeLcLxVA4Cb +uELDmQMbblf2LBtDYFEu3K7eDjABxoqMZboaA14OoQ0462+WfXDYCAnfhi/3 +XSGbb7aLV4MuxsKGGwDaB/Nu5guhgmyqgTIBnRsEP9ABAr0Gl7CVBBVYMDvV +gFm7ssL4MIR4W3+HccDbBkA2cfwsaYGrGUSPJwyib1AGq0iSDtFk9ORsnkBg +CZaNSiaPGTAr5r3493Emj7nuLDJ6DFCW/zYrSjT5POaALJisfP5jAZNl912k +hVu1mtgNii0SyBRsgtckH0WWj4xyeT6sVAh0uh2MhVlHi2Tne0j2xQSNrrUv +8+fUcFVcZEmWZW8h5pcFWV6HF4Xt7Ja6FGtR3eNi6fRJJcyiZcogOwT56N5+ +U24y5+4HOJn2oNOdW3E41aFfp1Sm314rL0nr5403iO42O/dyWldwmShmXhTk +ehU9kq9qmV55d4llplUewGzB7t1Wv5AhzkRaW4GqOAi77E43dMfssNrAINag +37DAUxu8nmCeF168lqQ0ED+RpLkyoNctn0aKhUcrQz0JKdSiwYBZzIOsG4Wq +3cRHJTjkvtg8dNA9zW3MP+jrtWjbk1C0xQLh7Zpsfo9RK5oUTn+eE4k6BTp8 +850fmXVl6Bq27HWjaAcTVLjhDW+JW787pGJsDWjt4oNWDFQ+qfmrv5EgIFeh +AYmdFeP5cE7pzIC1tQJWtBSWSV76SloKG8tl07bS8MxbK5a7WEgKT7EDJRYa +qcHzhpmdoU7zJQOgquvUrG/uI2owlLg+LTVANevTdFTsRwdQAU6tX67zzAUw +vddJCmpZSUEPkRR05/dOOHTaVXjYLngPCBXmYXU74b16Hfk9h1Lrt+P3GKNG +kUb5Bw6iNmrBP+CUytnJkrMnrQNSczPy4kNVK7O1NqD9NGmeH2qon4fV6lPG +VqDPgx4P1MyL1nJBZbYXDFQ9sekG+o7r1kNZV9I+hqrbRW9wKS3FgpqCK4SY +fRTuYbJ1tKxoDHALdHFmg22cBgnOXwE8hY5ANQS1BZqOMKaD1oJllFlbblY1 +yiKmsWa0ZLyDooGedYrPrBrt0jRzowihmglCVXs/sG6ZJYTqbESxhaC75+B3 +u5+NOvwpyFPw5zxTOr+AxLPrBby8iJeXYriZ8KtXkIz4grqpaeP/O0694SZq +v/Ab/hMcYXxLyDAHJG36pCHo+IdSL+oTiTpIG0ceAWh9yfAiL/0ADvfFHzip +yQQI7IGMSWaXeQJo5/4ELGv53edO2OkQ/GzOz0rktnUumjJcqmBWJb3Tzxo0 +e466T1UZQw/sNgfRgibjhPMhBJvKgN7ysqOGqOjWNhwndyqkaW2wye2M+Aph +EBUjJJ/cjVKWb4YyOkKN1CWIVQS1M9VLhcvUsJjWeJ04yYEV0xphT8jN2kOs +pgYUV+vW9T0e1qJWs6XNolbd1hZFcRi53OlsAVyhRjg30FMkyMgZthvXFt1t +4bCMKNArfx0OvwYSXODYB9M5qSiGranLZQKRQhQqhUg7sWdIVuOagbREzzJr +3OvrEp4zyls7eOZw661ivQClrWxthAbhUx0uO2UE+N/vvE4KWQyUZR6ApYKa +r4DZwkbyB/hm6M/YAIYqUBuhWEXnFoUpJj2rZ41yOgOJWjcsZjUG8z3r0DBO +reVsEhKXR1zMPd/lcZENruN8HyZdk9SzUSRxXByu02q511jC3EwIsQr5CjTg +T5AFC6higKvRgHgtor5Klnw1m9nqfxqg1jxN5eMShAdurizkr9DMmC41f+9g +yHbXcVP+sE1IgWDVB9+E1AcpFxlb3JrIvs4IuCurOrCN2wFGcSAclmVdB+pC +V2ydmNrhnOiPwLgu5sBfXv0wrbozX9T0e0p+SgUlFtPhsjPo2N2PcKK9l053 +ac1hUod+mX4PXeCzevbzf9J3266lA7mX0ZaCS+n9/eqLAIZ1RP51XDfB17KS +KGQ75DZV7QtnpibSDICqe7DRv3AW4nOJYcYqQoB4i04Mq2rrqeCcvizX/8Wt +bhO2P1ycUTXqgXhwlnU9GiDqZ8Kkuh5CRBWxRTxFrF9+rY8GNVjMVxEIu6qH +W+0QYp6OYIec8J1RaxC9W2Q0meISUnXDHuMSkqZ7htftDcqydVKWrdWyzInG +I+GDHnQYfJ5VNQbNUq7lthoDOda+eNbOjfkbCD3xbHt/Zuxl3b7WGcfVLpdA +k4CPaa+JhdRn7HnJUZgRw9GEyHss56TJXQtpZhsd+MCwB2bCVlY6SGvKJ/YE +DUqF/5fYXT6rdCq0A7C2Fd2ApxkQvQCj0zsDdJq41ixMncYDZKomBqpzjdNP +NY2HgQoEBJdGRR4Qc7TpidrQu56o3S0qmDQe5k3rdgYg7QSQCjIHi0rrdwAg +rd+e/6iOezkKFRC1daRNAovqMalOFtv4r2Gq2eYknUxPIuvcwUalq0TWagkw +MQ3hHEwzsUp4eWmZBjv7hYGpu6+hg9lX0h4O/PUMU2Fw+Yq4A17NReq1skW8 +b97tohnABdAxt6lzBQyboQyUiwBtFr0MRprprEcMUzqaL4Un+Bkrl0MwaTUx +gKKOEhUIKnToDPQyhAa1EHR2VBr5cc13mc+aLo1Cz6hQn3H1VJEHvM0LMQc8 +8fKyQM4oWjkx3DxxNHSiuH/wq4XQCDRt4jQCf8/hNk0QaTFGpO1ePywURrsl +RzlwOaLimDjmwJfACj3HFLpG0dYAUA3wK3736ndR5A6lYY15+/cRoWL5LxYb +S84o8mBm40XBq+BifwSEDbhYA1NPKEyNdAucLkOU6xmRw1vrBTH4sfI3TIQi +GXlrkQancAqEWe5YkChmMnROczX1eTPjW6lTV9+5j7aU2y7+14pEF0pderjU +NI708VKDqhJguEZxdetkEPCmJ6wSwO5Vadwyhay8YaAIAH9q4ieERjubzQ/d +wohU4upeTyXQ11MKhDhVA0uVV+XP9vyQDD54jltFl2N4rSgAKn+DF5LgaQfd +/u0o1vCkWGjx2lhPQOBxq044MN1oZn1cCp0sMOmrBpO+gTUxZSX8YQHm/LDX +Kx79bvc/ZG9iDl8DG/YzBuBrAKtUx4ktPjqslaSB0mZfW2mYINwR5tU431fb +O9Z0UKeZzqnlS19JaPy/ydjzLX4SNK5/x6gAGHRL4vhKzNe5puCStiZxScma +112RmN8ErQrhq4hfQSQxHHWdUGdyaxK1tENjIRlAQJ5GHHuaGwtwaMwlacx4 +Ja19WAHq1MlgY74MFvLXd7+KDHET34n7g9sm7Q8+mlICa/epdjb7VJU4PRVM +gYszKBqohSEAmjQS089Unh3PSxP1hSY/05I6x+n9Kl/T6tvzaXvZDbSv5Lv0 +Vdnn6duKj3M12ZdOd23DtVhtuvDM3XRuWVn6ecNN9BUfvN0FqkB5Zf/lNIVB +54P5Nwst0j23MnXKrU/tcltzAdSJmu6zY4SDzV7eB/kAPsqgE3kl2Dct+SMk +V/WMBnYmgs0lrkCqxWCzlr9TwdsmAlI/Q4hQ31xZDqwAz08gW/UKJ+/wRoXp +LxYCm6HpQ23jxwze9Dj/iD+OZY5y5KLIM5aMPPcI8pTWfhSg09ZW3io/w5JG +XW3FCaL2544lTSqvBHl+8leudYG8tVkSWRpAz9Y+9Ax1+C1R+oNyL8/pAQWr +30NgpyYiaFFQEaEaAns/sXshTW93VswmF9b9XmayPr17P224NYt2lNhm6I/3 +HdzU1ULPqLdWqSmcgJ5UqCk+WkaaeqclQn2YOTTFVoQBCeRnWIAatOUt8dkz +ID51oCoesJ91RYmqQtSY2ZwgjxKgUTjKCgtqe/SGBQXobNAmUKg2bB0F3Iwk +tu1TAM5Q0rwI6DQu8ZIY+5slZZzskNmQxX4dbfnRqmLZg/lkZCBI1P5YVkY8 +uDCTBdCJeaz9OVfSjoLLxFUd7XtsV3jcOKn3ZMDZDZ263MY6Q8zB77uP1DUr +hNTzCjYABmS6PYTjTOsdbXcLLh2w9HrrDlQie+yeg5abokmj+3neLKufJ/xl +JOaM+vgRThMk5s5X8DIfLx6WjBosqS0X3DSL5HeL47h08KvX01DjCtxs+n+E +mzEHNzkJ8H9I26WHiyAPRNF8iCGo+B+zO+UhDovZ1yWGqFjyDSDp4qNRQacx +H50aQrWo6bx5pKokEsTnd45JZTDaKaA2LHFqbSQD9Ol5rHv8KHzWp50JLeK0 +W+r7jPU8SB7xPUiU95zYzQR8s1O0oB4C/jCtujufNt22QyQ7qC0xXHGo5Bw6 +Umaq+IUgqI9XtKNVAzVIoQo3OlNVfVuTOm89SeNmzqMjEWFKsFi+s53xb+6g +q0tEf2q69WcSkCa8tcRkQNEmf6CMN/k7cYizn0Oc/DPGnFHEHAe5Y0N1TiuK +MOSvLOjoCxpl2NkkbEyQMLYVcqs1Y1u/eCNbrpVvx7Se9lY2zDa61LlmHAt6 +VIaZWMPw5zJDe34crOj+cZuq1Qv2XUFb8y+TbI9WKGw3h8ArK7e6CPda7Osi +AQ5jO1SO0urcM1npIxHkzTU2ma+67O2sgba9Q+HFoKGxqYjyQPGAB1IHWBXV +xcOius2G/Sl2kRmSFKBSMGU0sTtp068OkjhlXcxNSsf85Ls6J+asAmIhfywP +RcbcyjBVkA4PSMyE/mSAH5NVpNYm4GImWW6E+rUEk1iOcDdtIozQSd2WMC3F +KPVolYtiCyEiF6Qlxv8hE53R+izNbXySltT+jj6seojW3bGTMkt/SvvLLKBv +7pzIkTmATndrxyeTT/S8O+kUFy3Htl9He7Kups8K1HoC+ynvz7+R+uVVEIWX +kpMdpIEOYYfgxJz7zUzCY0apbBvn4e3LwbINz2d4p725jdNaSOwRmK/WCpmv +Jo7lr1R4GPMn8yMi74wKFxnzGuUp5pmsM5XAw2jKgb5NW3GqNm6LucMoeDCh +zBFkGNPdHVXBtSsoNBWOa5o7uh2/C/PtaakAofTODRHpSURknwdkzvV1wC/J +vEqJyNC2W4sEFyUSkD/qpN4zJ1XbAX3nk+fFbQLNsQdGqIZj/L2F9HQHncMF +Any/+mH6/K482lxuF2UWXy9T+EB/ulhyrki2jpSaqqZSaIPLhL3qNMOoz66H +9Z1RE11R+yS6ogqZqKNGYBSNAJOhnpKJMQv0grEjEImdBONJj1uYRCC7diAP +2wLyBdAOv2vUCgCvJTrdLSKNE5Bd00CciYTVtKFO2ycmLTsf3MlIynqYpNTX +jinVlqRyQQSb6WHBJsaU5uka2j+WlqNfPyhFhZ/dQj+DVsSUIiO8TfmXK5dQ +cIUsirw/ryT1yb2buuTWFjGm1btgjlZMEbOHuYnEStLNVi9lKe+yfE+5p83o +j0Vxs61iW/rQQgHOtd1nx/4JgLOKmFeQEnbOR8fAOc+9FkJukmGkIYE/umMx +XpYAtL0eSTNju+ZWkJvhTUikty9NwyWBX72NXy2L4a4AyGvW7H8Gea2M1feh +oqKdivHr17oE522gP7zGgr7UW4fxO31dahbkLMXvwgCxKAPEb/TSt48Dh0eB +CBcfjXTReYJFIdbSOIgjQo95mDBQZwUrdn5IhoJzkudtuz99Vmu7SYXUe8L5 +UPIA7IPPFGznBPK1OUcvNj4hXjErK++njeV3CqGIZQFflniZDpeaSUfLTRSv +DBFh2pa2jBQlQr0OnggTdZLnUdHEi55UkdOmnoN8py3kc+Sitrsd7FPox98U +gz8+bwr/zIMW+NnedRT+xYI+eD+BhP3xA0aAMb8hDjx4X21GJx4MTGQegQCj +aIvzDZx6dMk0xQ38s+NKvyVAv99M91sYRvhOYTARak3YrGI48c1y9Pu7pY3H +8i10aj2GE6+lr/ZeTbtyL6dVBuphweaAvDJc19WWqIcNqq6BBYM4TmaNQdpI +7YUiS5LwQpN8dX64Fidd5/eUwusp3aordUBYxZXFEgbjg8Z1DXk0nyrTEk9w +e1R8F9OUWs2nWKI2kWo2RXNvrwou06hGMEFkqRbNrDI9jBeILjMSe32QYH7h +ES8yVrzKekbV+2yf8cwJBGvBGqFUFONHlmLsG251p1gnEBq2DyHF1K1uO4vc +fmEqo52AXexqeoZAiBLkUzjInyzkAD8vUszB3jwyGMUnQeK0P0Pzmp2QVvZH +lQpoc9ntlFPmPTpUYSYH8lA63aMD/TohnQoXlKcfVt9EB3KuoC/yrqD5nGKm +FNxAD+SXpXtzK4kRN6Zam+d0YzTY17CGI4QsqJb1OKeS8QESzPSRYNCaTney +yVQo0BxIX04RIMBwO3qL145OD5chMuCT5NFU04kt4rYrbc6tliY4r5tiiZZN +WplsFXYwdHb9xnSK7nRmxJ5iSxe6eiVm+G+jIE5SEZsFF2Y6qKi4/NplF2FF +ca6S4inFlvUSHX+TlsC2CPGHyW1rPYZGHQyFBXwd/qXHTlrVYzSfQD6FXDKl +k8qlFtY5Lr4Ma+/Iou1lN1F28ZWcS94yS2ee1e2LpSHsH6/cIPyXwAtWHOmh +wyGpkaG3LsoNoIf4vxPg/07U6eYwYR3XXI5odzluusvuASzsEHPYMOYEkFFL ++RneL254P9dsFklkFBgxEuS4gAM0jedmF0GKvpzL8oE96obQojSiOQWBbpCh +AX+o/RnVS8KZ+N/vlKFfVhank+t1M0dO9lW0hpHiG9J4vo6G5d9CffIqclFX +j0O4jahNNITvo/QcdVqpnvUYh/EYqirE/6RAaSLD4IoIVRFlt2xYOGgJPMWB +UEqlO/xnsF8RY0C8OLCykOf1GK6AKO4AvCyNO6iHl2VxgXrx4FqQq+HdNCSt +OC4JvLyPlw/4u76xWfP/IyCMG0B4s27DeP8grFIOSqOI/3xL64e9/Ev+b8Br +zJX+/PDv+GqPi5lKLLjhGU6qID/NB5V2wyL/jO9/wZFCMgp8jMQ08jT6+I9w +Oog0TWg92faTzux1ePW7QALt75t5IVHDr6jQ0gmI3L7jztOg0eel3TySo3c0 +R++ErufpmVYnaVF9jt5qB2l9hZ2UVXwV5Zd4U1rK35R5ShcmAgFWGq5uET4C +lAVtHUNkX2oE2MSLjISosKM5iUhQ0WAUocIfle02c9AAAHatG0XsRAEIBQ9G +QatH0Xjmb821nvURUAhMKAAwaEMDADIobBa0on2VpAWESaM+CT5OqZDg44FB +/69Paq9Z+szTjPYR/eV5Bv0tvo1+f6usGOkXCvK7iY7uuJZyOCHDTPjl/VfS +Q/nXcTKuwLVeY1nuVjd7KEfuo4z4JojSWCN0nof2Xpc2nDrFLPf6wx8GSC+l +/5HfG07fvD4akHi2pbYpJOHaJPpENxtuPJFiKak8TpSxMJ+nk7LVJD9aCiUu +uTHuumrmCTWLYZdU29klIT/yE7H2Mf4ceSwB5zWwOI8D1W5P9XpvEY8RjCeu +jdKGcvvwtK03cZu8R+dIaKl6h9d8iGco/udPhCRh3adynp10jvqMZ2j3xHlR +LI4YwbXbfSD9CunpTmfo2RYn6Y2Mo/TpnQW0/bY1VHD7C3T87ofodK9OfMKq +0+llZejLzdfS5v2X0eKCa2hC/s00PK8C9crNoHb7WjKUAz3cT05RRvaDVBM5 +YK/ntgUYJyxAsDk3PWFlgp16qRXanLv8L3w1V7r+7tZwfzfd7+/GjD5hjSHw +cBJjPqMscE6HuqxedpOvm40FBzMuB9OMbsOBNh6GcoLvdoWYPT2qckpjDsrF +PHqP8ZuMc0fdmQSAM9vJMlbnmBqkSArgJuBN6o5YgmFtIGNIDxt2fhCY1Mph +M4cMVATShixpWmgOFQ4UpwCh9/gg9ZhcSP3H6HU/6j6sSdDdKQtrH6eV9xzk +gn8X7S69SvaHHigxn74uOZuOlJ6qxtC3Pq49HtB38BACOKui4OzH6gMNMPNA +WXrqBUaYk/4p0RUo5qn9TtTrIG1YNGPb470G4WZsO+3IFjGL7tt4D7pKrQ17 +93OjljFH4cUDeBYL4FmTJvhd08ZR5KBI3XCfNpGPaBceEk2F0XQEO0OzwCMe +RsOqlTn30O+v3EG/c00PldDpNTfJyHVW1tW0kkusl/ZfTWPy4YtZkToxNmu9 +r72o0uGvkCG27KNU5Ld3gsFj04LSKtPzDdsdTKalO7J9gdcqdX64UWmRFvH0 +GW+Z520Hu6Lo/cQQyfgVI62Mbe/ht+/zy9YP8LICIbwiDYGNMP4Iv/o4LuIN +4LAW/xsxd+PN2F0tQ5D7i+L8xxAAssA6zeh4PjjAf4Hd5otLWK/iuFHkuj5N +HKESBS0eNVit5TvG19l53zFoe9s0b94+pMAsUiNg+N4MpijbmhK93WJzh1+s +mWOV6LjH/3WKujPq6jlZ7/CBj+H+Pi9Nm6e7nKaXmv1I76SjTuJyvPjHtL/E +Aq6NZoilFyS5jmcDC87hJHIGK9hroIK9kw1bJ5lmOaa6mas/+H8bn/Aojjf/ +jxYrS4Fa9fkHfMz5PQe32tXDe+0BtjoI2LIMXCf8gGFXFIEQAxMXwK6YhV11 ++Z9QHq4OHsCze8HBne3tBIDalo0ifCJXBAMqZkjlImpAb1Oo7cXeE4JdbjeS +hVvjjbQPfdbppr8qqt0KMk7y2/LSYtL+08Yb6Ku9V9LOg8Xo1f3X0gP5JahL +bjUukDpQvZyhVIsTYvW9kw2RNteYlSwyPdK3vTXxKyhxPXx66vXworTTvUCx +ZKcRRz64JUFbVaD0X3tRG3eluYwVdw0pyOMZSFnzkb2OckgDrIonUGfKO0jb +VJtUX+TEHG+G8c7cYIg5aKBGZYA5ZvfOB7vn1Wa91cWWbRp3vq9C7nztfOW8 +a1wd50LHUOBCRZxQFgwwaco5GUju+4QOdTxw/wV6bMB5erJHIT3X6gS9Wedb ++rzyTtp7+xI6dttYOt27C/0+9x76/uPitIWv4iV8BU8qKEP98qqKPAbSGGji +auUMk1XG6HFW43JYwfW/tPzdNdfray5wAxZB53yZZ8n4t5ZICtxJ5U+zRlrp +Ib5VF+ukmPtNC8Me18NU5irNHZ4Aj6eF8Xjc4nHjVooBCyFh00yTfa022UN8 +ldo4RULyzaSeu3Y1E0iqu8J72hNsbCw5JVPAxrNRxoDmf6dWNTgFfMneO+Us +DWB8A3D8RG/YB59jbPMdrbpjP20rs5lySr5HB4u/wrhmFh0tPVFmH2QqF4RT +JaOMRjkrgrN+xlmid4BjgsGFOl0jxfx5BW8nudlLbrqMMQdZ0jwmiSGKzNRK +lzENtzZemgOcNIvj8gYwaRJ16CQml3etZHjSKqFd0iGFO1uvus6VTaRlfINe +uF/XaYir2gRDI8Eh5rXyojI5x0Xnj1uvp8w919C7uVfRrILr6UGGJp33NeEb +sTPDkoEcFwxLskYzHHnSWDylMnVI7vdrmfBmsn3p9neiDmngKpLbVNhfML5C +7OjtqiwwQMaHUYc5YgZzaDjJI8KUT4oiuoqaUaVgXZUG2qq4ibYbmrdMCVWK +KD3Ue8iQIUf5jRx+MoYNGxaTt4tu8BBM4h+JxP8L21SUUY7Re14G3M816Mr8 +IhIPcWrExWcjjgnzxBEgcdC4Ma1Gm3y0X1QAMb1eGR1F4sG83QfG9LfZ+weA +iN73jf65Zm0hPJbdcQE81BLmEYBCdwbW/9Y8wpSs7WTe/lslm9CKfEE7Ed1m +naReT5+hfuPP8b0Lw4dzNLPtKVpc5witrrhJjJ2+KvMcHS0/3rQNhwTG2/7s +gbQLsU/cgzKiDmvmoIzO8AicQVw0DOBMFPEQBZwBiGltRhPa1FdIE0V0CJyJ +I0b4Vx3x0gnoplO9GNqKMfQVgXO6mu5iV/QTzxhgE/f7iz3r6PrGXkAvDGsy +wjMNvk9M0lyD11EcbtbbmNU2ligS1DLB7DCfWYn+eLYi/T7/dvr30nJ0bmVx ++m7LdZSXeyUtzC1Fw3IrcfneghplD6L0veMoPXOa5iTEHeeiDMHz73p552NX +awctkoRd3cneZ5be2Sj+ZwEgQT8v5jCJ1M6wTZEHRkySWzZYOFJN04rmFlNF +i1KmGtKKRScQcPn+aOlrzRBfzKN8aq1W3keENDlqGiguFDbpCA1kKm2lgRS2 +qPcK/6+o/6kd9csNj7TqlphG2jEJzbMGSSkowL/UasIU4a39jRlWbwOGB+zO +i2bY75mfqfvMU9RrKofMk2fpvscK6f4HLtCYfmdpRkcOm4YHad3dn9OXt75A +3w3qT6ffqEjZG0vQwsyy9Ej+ndQnt75cwQ2zB1DdrAcpY+9YqmmEfNX5+q3B +VWBNYWfsfMjrTn6f3OX1hHx8Z6ZvsZ1eoWAiKqOKJ/CAa6MOvUZi/nGJXIwV +3IzWrVt46RZfiv2IznvGQxThDjk7gmztUhQdJvFowzQcpaICU+I+TMETl/5a +3BsG3WuUWCrHCp2vNabrFrCJnNrdqfoix9ux4lz5PIOTCpZD9KmboN/m71kR +G2oVCOKESG9NaJrvqRvXiT2nnaGBY87RQ0MLaWI3hi71DtPa8pm0t9QntL/U +q3SkzNP07W3jpEf2/d33B1Jb2c/lw5TupkbsEoxSxr1RSvH3EC4lEjMcvVAp +EdPs4mISHa5WabiH4w6dgC2x93GTZhHhU4oArMRxN/NX7JpdrunVGFWmgS8x +3NWRqvxaP4RfUkk+TndI4WxgHGYL+wUSeZDu4nYHqmUyY5jZd9Pvr1SgC++W +odNrb6ad26+nV7OvoUfzb6F7c+tQYyHKR1AGY/mae6ZSTQic0MIKySJ0cUAQ +NHawP6RHFN5DQYgH53U/ptCafKi2firBY4NKHsTUqjSEVxFEF0DIagD71XCf +XFMs4DgN0F8ni+PXCURplRKipMk7RWoz/vgPv9Ge//8Yxh/n+dfX8ROVHxcb +CkjC/3exPxb7G2jFEJhXpCDV43gtYnrRzjCA46OIAf31P8vjSzdPaM44XuNC +vMe1Eljpnphewo0+doqLj1RxERPRY7nwZezWdmFl11fBZczYpQ3oGtSOuIA5 +xFAldJ9+ioY+eobG9DlLc1seoc9qrGJsMoe+qTCBjlcaIcgfzKV15jgRUqxb +esWJAREDTQ2LaHFJxDvsseCwtwDFwkeew+S0pV1aheiX1gxBOA7SEAhpwr8w +GLGq9/byu/ZAKab/FQfQB3ypW8SxMVFlYxi04E93N3Hj5FEVwur4hDlMh1Pu +Mw2u4YbKBDZ5TCVM/55amX5/piL9uuA2Ov1RcTq26Qb67Is7aOZHdWjoZ92p +9abHOZ7mUk1OPunAHogZSTQfGxmRml7U5GSSwmE4WS/knm0B9yFpQpyGcfP7 +fsMbd+GN3UXDSaLIxfBGESQIIfHTDIlvmHyhRBC6a5xkN5W+KBBnpOkyLi9h +1P7ctKK0AxX3CX/EhyaPDIND8pKsXRt9nJ8k3AjJfZebE8+nvRWgB9A6yEmG +HO1f+446AKHP/Ym6zUZiOU2Dxp6hB0aepSm9jtOSRjm0ttrntGHUaNq8sjq9 +sfsuenRvA2qb3YWaZA1hSDGGkeRUkX3W4Jux5s5XKZ1vxXSu2tJxIwqjEYyX +pjN8SN9q4YM/A2DZi5qB8lrUNmYfNr7qVEYQFzkDW9NwEMBebC+CM5CWomOT +ZpqL5hTE3CkoFj4FwQGIxJ1hhHnkIKSCoKEjkQ0UGkvx9df5wvUe+WIs51ZX +1Pe+ZreK2Hyt8pWCCAMBxgiyHciul36gAdO+oScf3Efzu2+hj+t9QbtuW0H7 +S79Gh2+byjjgIWnD/JhggvmT2WRkuynekgXN8LFA5iy3Gj/4QeMWSPDy0rwY +rrioZSKaXoJ7riiuOeR61F5NGxVzBVgc11ykYpDV/6qF3yHFpHgPk937mAG4 ++7Q6+uXhmrLq6De+dX556Q46/04Z2vpFWZqx61bqnVuVWmV3o1qAvwx9tdXN +tw4YBql6LNQNe5/LOVVBo2RiOy6wWXBuMC6AKwondm3cSsU2ucOLB83GDWm4 +uDDJslFWolxuxgeu/+tEncu/edJkY/zfJ/z7Bfz7+F//+OIJOuYSNPjcojip +l1CttVlX4KQyQlmtAweBL+XleE2DoCwarpj8xrlbvlLKv7esEZBDvaGT/IEa +oiAFY3tQ9+e+p4HjztDEQYfo45ZL6bt7HpCJzhOMUFUhbPZsNfIGwXzlFANI +4b/iOGtp7qwVxVG7FOcsDQctjjQaRx6No+QvgkNXDKcujmOXpl2M0yZvSsUf +KZ40QHbGtyrwu3pIhQ9wChzH5fhzd9LvS26lMxMr0fHetWnlw31o5JJZggH1 +8rO7otZ75fMWpWAt+xrX9GU1r1LWXOIy1uW4q67DXSVVTTEtZzhjXaEdZ76w +LnFz80YEuw4XU02pkEUJq7NPWUGZDCY/GKg3X2t597WavTquiGmY8JU2c5fT +13w5HREWqMuL31P/qT/RqPtP0pSex+nRZ+bTkI3tqHt2W2q+5xHK2PUcZXDe +AMPnUMCWj4McwflBUcBaLy9sQCxFfenwRu2BOOlwJCgXi5iVA9V8r9aNO4s5 +6wFxwhJF5uV4xQeaeRU+32vx+aa5z1cQwSX4fIvKRxv3P1r3wXosd4ZlubWz +/0+zflOlxFaCiQyO8g+Z+oF5X9D7IyfR8Vbt6Vj6fXS0/Fg6dvtoYZxPgFXm +Us0GhEjmGzq7RX+8OBq+lYuYustJqdzTKHIpwuZahE1RyKuKInbwUj/msWUc +O5GSWoA5xiyhEPMt5kzPW4owaBKH8RX9BF/PMyrR+tfr0mPr21OT7MFUn6FD +hhRQy/Xa3WKAn7ldzdetna+4rxcPa8bl249ZS6itl+KLvxZf/DX44osIyRSj +a5u3+avLFozsg95t+iaXPcv5vfhf/1gu2yL4m4p5V2wRvmKrr98tVlO7i1ON +9buvpZrrOXBrrt/FOWQ9w8/0dfor1HDrd1ItPOt2gonm1+spY91Ofnbos3YH +1V67nerwU3ftNqq7ZivVW7OFGqzeRA2/2EDNP1lJbd9fSg8snEMrJt1PZwe1 +oLN9mvFt1YS/k8acShvz99QItUPbhqgr2jTk77KBPq0a8KXYAD9rCYJUXlrg +9mxR/xJzDJo3wFloUAy/KoVf4bcNi/AfxlXbElV7K6T6Vo35P/xUa/6XtW6i +T5umdKpNM/7XtuBD0pJOdWxLpzp3plNdu9Op9m3xz9L6wffRE3MXUsPPdlGD +z3dRvS92U93Vu6nOmt1Ue00m/4+HMrrW2kzR72fy54YHTaaa6/bgA90Dtb81 +oK2+PhOPtKAyL8NblyqI4xC+HsEMdCc4b08RvFdEG1nV1jP453+iKFXHb/E3 +4HU3vjk8MG1Yz/eC/Q5Raq/bhe8wePRL1O/vltD3V1se/f7qeN9f/dWbqcEX ++A43UqNVa6nxp59T6w+XU89lL9GQxU/T8Jfm0QPPvE6D5q2gLkvWUIv3tlGz +FTuoycqd1PiTXdQIH9kqtHLrf76b07n76OTJ5I8wUz/CNfifwx9kLOlzxCeF +1xg+U3yi/GTKZ4u9wjX50+QP2D7F5IOO+x80nmvxxhUpPuaoy07yMcsnnIZP +WD7cTPsBA8m7DzcdAYKP8Br30cmx54+s/prNNHTxm/TBIw/yYW1IZ3o0oXND +m9O5Ic3pbF8+7t2bcpLWI3+6Iz8dGvGpawRKvyHI/YZI+g0jyP8NgvPfugGg +QYPLEAUxDQKuu4vhV/wnWzQoggN/NcKhiKDXmNdbaxApZsKhJQBuq0aR6xAF +/Ffh/Dflfx2f/XZ89ju04XPfkdYNH0UTpy2grgtWU9tlG6npxzv4S9/pzj5/ +jeEvcQ1/IrXX7Ob/ZhMK9gFs4w+Uv0j5/pCI+PDy91cMv0rDN3c1vrKiJjau +8y6/ou7KcpffG/ybTzy8mIA0L/bjv4M0wfcXxevlUNGhhNt+CbR1wMBbAYm3 +xLUHLWW9oYU3bwxJ9tZHyhoWwFq3rDFJ4nNTR3LiAGYXZ5jlysoxxm+QOZFa +ZPel+7c3ow8/qEW/vnAX/cI1woVHa0jdAINhqSXAdPQwEA9Qr5OpP9qbBIdE +11YSXxRZUBOi6mIsaVPE4E3D5jQ0Kv6G+EGzhqiBGl2KhFsUmTeOVMx/TJRl +pwTMyqOqs7jQpiWVQEJCt0Z1WoJpOQaiCVYjAAJct0kNV6ezSOhQ4x2vPJyO +3j6eDpWdRfurP0n7mj9My++bRY/NWC0UQIt3vqKm7x8Q6AYAAiACaCeMgxDX +QkkIEkQlEBehol3AVGttttS5spEUZXFWmvLmwpMwPpLbOO7uBMFRl9irdzeu +g91XGLK+OsMvGIujRBetQVFl90W8UkO6RXxmVI65aZv8bqtTEG82GBDn5i5z +ZsyEmTsvq42m5nNlVqWuAxfxAZ+X5cpToPXB56XOrmcZlDxFbfeMoCF7W9OE +nTVp2vOT6Nl7d9LUXt/Rg6N+oN4zfqJuz39PHecfk05n6zeOUMu3vuYP9BA1 +ZwQHDNxkhX6w4GTQlwVOBl7GB4ziXofIgo9aWVKhA7SFELES5Ywvsr0lAfxa +xMpG12Y7yuESVVnUMF9FUe2OVYccOvmruAZfRVFLdwnvsfsS+SpwU/PXsGGn +/Tri7ouI3O59B1vNs8VQMZtMvK4XMlpi033WK/jzfYd6fPQszZ01mHY+0IAO +zahCZ94sR78svJV+mXk3/fJ4dXEIlniEbgvGw/20xgf7KCwkGqkYi+5uBJXg +ArqYWO0M1vJMJyjMhOfsqNynsKBREKNS3BUxbGlbqfokeNtoS+GUsKr8eomW +iBzUgn6kgIwnBXQjLTT5RtKh7saCqqUQlRhuJiH7M+NxbOyR8IRQtU4Xabt8 +X3UIHas4itbVW0Rzuu2jESPPUt+pJ+Q0tV38DbVa+rWEZnCSglMkYWpPkgnX ++uhI8nGK62mSZlNdNC/5SMk6CXGzuETjmMv4OE7UDThIRRHQCZnhIs2C/4/f +6MrX/UT+/7YLEP/rH/8tDmLTJq4++LUYwhf0ycZixmZh83pwLOvTcKLQ41gb +Mz4hW+RldUxF37VEkaFa8M9EuIGGyqeRimYcdaXyyds+EgZSPcTeUwWe9Gre +FJ2JsEG7X1Qr9T2TqUbWY9Qip5MMyU/Juom+2PxPOvdZcbrwxq3027MV6d+T +q9CvXM5glFdO7X0Zqkb0MomcUptJOmqf6rTNJiidWtfTw9eqvqmwWgjSri88 +WZrpDDRTAk0aZkVtB6FJE5ct0vCKl2bFjHBZJMyNWnLGdYlCkoVJGFA/N5D2 +XYO2kTsM2ddeKkpJIOLy31l016LDzuip5lk1+ot+G16v2FBwuOw0Kii1hHaX ++oKWZ2TS7C6HafT939PAJ09Q5zk/SxcFc6loXqLdDfEI9FngpFHpol2Ojk2j +xNP8mVJHdVflSpWsHXmRFLpEFPMTUcQy7ViIba/DNGXm7SWYpnyIlu+KTPek +Wb/rzGK4/9JsR2AnbrudaVo22r0X/hjAtiLh9CNl6WZvjmCjjhjE/Fwkqrx1 +kbph7LLF5iV7ej81p/ZjLYYFy7yvIzgyzPaW4Jr0nYtkWK7mrhdkdKfGnulU +c+94Ss96hIvqPtR+Xwt6NKsmLd9dng6tL0354/rR3vSF9GmVrTS3NX9P/Qvp +/pGF1G9cIfV66ix1+9cp6vzcCTEwhTAZ6sl2i4/KdwdlD74/0HTyHaKXwN8j +SGj9Lg9IvwG5Tr/TAtmxKN8rmnOildBGnsl7+h2vCt1VwjQF37IKNNBYD/dO +/uKbX+Pa8/jmhYip6WOSNE2E1cxBELliWvggOF3jriJmStccBCej3aaW6kW9 +9LfFpUFzCsyEyZ1eOjRfuXzdn6urJl9MGVs/VOGagR9QYlTfPZvq7X6COuzs +TYO3N6TXdt5FObtvpJ/W3Ujn3y1Nf75SgX6fU1GmwX4dX02ky2Ij9WAtEeqJ +3HmIkT8P0kadXEwQGfU1F1RvY3elemsz+aZi7O5OoC1SbRFty0vnunFkWMic +zH1mnrjVfLc315u0H1U91a6eKKqkV4muJd9vp1spoRSz110DK87ihFpBGqMn +XTINJ1TFvvYKa6fTH0iqGd0F78r1dM9I+qb8JPqy1DzaUPETWlTvAE3peJYe +GnKOek8opB7TTktzF+Mu0FNAdQP1DcRrEBPLUX9Hj3pLc9RbvG+P+0ExBo3E +9NSvkE6bGOwUWBfRxuZaU20zp+u48QOwWVt3OPHrJWaIR4NCHu18F0V8XGm6 +47gGb2im6dlfyuFJDb/hN7I599YaPHjwRd7+7+rCm+xJvdQqCyTBrna6A7FJ +WiWZNg2XlRgpoSSAfNJl2pUxq2v4KCrCy+pi16m2ne8bScRyZftkMneZkU0s +1dESsQBdLPJoSCxq7npJJBeiVdozjS+5iZSRNZrqZw+lJjk96d7cevRYfml6 +Mfdq2rj7Wlmue+6jEvTvJbfSH3PvpH9PryQuGnDWQGta1lQgOgaaiOhtgGV3 +U/iB5+9YN0jVbb1U7aXpqIWEmEU1NV5Uc/XJpo1ipvfVpImUckWllIt7pZw8 +opWBWSRXdT83tlVdyzRk67hR/kr7TebKoQvGjFNlEQzLyZeOXScjKu5iVvZ0 +k36JKHsYakrirtlX+iiIDnQAj981UoTMR2+dQIdLz6ADpV6lvaVW0qayu2lp +rUM0vd0peqz/eRr6SCH1nnSOesw8JdMpUB5Bn2YTA5RJEikSJRIdQTL4aL9J +BBau5pnGtellF5F+gsnv4rMh/aQvcqwFh6r0XOETS7zpbY5HLbg2yy2dipnr +Xm96K0oPHFhlwCF03aeZDgD6wrYEjancPVyCxjX9b3JPWmIVWlNeNm+S+z9m +Jl+N7s1mgbVGnt8wAQBYEsOAgC0edJVm5AppTma4+HnHxI2JGQEEr4mMuuau +eRwzz1K1zJni5lKDgUFthrP1s++XKbGW+9rTgNzaNCa/PC3cW4IyN5Sg8++V +pZ8e7ERHqkyhnRXepfdq7aG5LX+ip7qdplH3ASycp0GPF1LfJ88xYDgjhpFd +Zp+U6VNIeyHxldt0gRbEcqNa8CC3qo65Y3TD3Kp2O53Ij81t2sQcHREt8/mJ +Wn3zSjcDAJwYdbqg3ABOaOmTa8QTRstpqh/HYvCpihsqI2ONR2VAy5cuL4bU +iIfKaRkvXuebB/7XA5bmYUp7mKKp4CTEKknnaFPEDPkoCWYIsEqp0YSAx0/N +efnInRMpb4QEWyjIogak9numUq2sJ6hh9iBqyyCxb9499HxeKdqWfxUd2XU1 +nVx9sww5yf350h30O0qdGZVEXow5dDTYxaASQ1MPGyejB8xydpgdDVXJskiX +7zMy5oEypCUuSvDNlMK+r2ng99HFQyKNjjkwgskw/Kq7TIHZgr+baKoNLMEv +O5sLWx8hAFD7i56po2HvOsgAGrRQKupuK+DEXOlGTJXE4+n1fpdf8Rtg0jip +yldSzpJxlojrpMCEr2K5gqv3l8E8iDC+vXUcfVXmWbFI2FhhHS1LP0DPt/iZ +JvY8I4N+kPj24TDr+fQZxeUMVjC9hOtXsDlfwair2psww3wLzCCiCDb+VFpb +EKMhF9VVjxhmjIsEWTdBQhN0MIb+O+YiD8YF1sSlgavQRh4ZFZCZrLiOD3Bg +pgHiyISBkdzp8EF+5HIP6NzYNBmx/Fc/DcYgatW9qiguQjeK8QlAhk5r2Bvx +Yxi/GYiB92Ww9IOokVpuex8v7wGciKhDHUB0ZGT7skgdM0Xylj7iG/eGgR5L +1G1EVosukBpLzUlekloLg7MYbMcwrSwLxoRX1jiqmTWKaufcL2t4Wu7rKKtN +R+TdTTMKbqK38q+kbVlX0/Gd19JpDi/YNPy5+FZZtQoPV5h7QcUPRT/U/VDS +OQ4MgB38V08NAeWl60qjNYlJaGW0NC2MPYae3UjMwpLGgf4w4JrjpkncuHma +lfO05EtZ28mtvKc1hL4ykBSzo0ltow6bWB+c+h0i6WKQ48BJCKAYkFLbgpQe +DqjIfAdsurGvkKMFUmX0u2XP4d33yywILH++vXUsHS09SabND5aYTzkll9P2 +shtpze359Eb6UZrV/DSN736e4b46FvedcI66TzsjbscWxHS0sF8i57BMivnV +ra1smzkwU2CWBeRHrLuXB2iMFVhRFb14ucdDNZGARbc1a63AmiJipuM8ysIU +qfEUgMU6Ylic4tznY+FKVQXzbvqCc4yb8dscd4x5zFhZ6XygeiVYgkJHCVdH +OiZglFVGnvxpAvj/2OibVxgHhwCr6MjWWzLGFWD8hSbAXpYRMIyCwQVc/SSn +UHV4kzDWx1bF2jmDqTFjF+y86pZbn/pz3prMuP+N/f+gzbv/QUc/uUWGdM8O +aUPfV32Q8su/SBsrrqX3qn9N8xt/T9M6nqFx9xaKC8bwkRdo0KMXqPfYC+Jr +0+Ops9Qde79mnZTKECYIwDRwsNIL9zsZIIQCXy5cSIVxbJYaex7BNQbb2AvW +ECSQHOtslVymXCzqqt4mKlNOOFZppkDMCx0vO4RST1COE1FHdcKWD1jM4Wbj +FJuxRk9ZmjtWRgAUrGatYRoDkZhxUNGpzeC8xZ05WcjgAqSImJiFj1qad9TM +xIZZm7BZNoE4Zsw8G4ymgw9cde/Ahbs0AagxB0uAzXJzmJbCB0pvaYBeOTwz +5EaulfUo1c8ZSi32daIuuTVpUF4Jeq7gOlp74FLKz7mcvtt6nexd+uXDkvQH +lrEsuo3+eJnBzvMVZeIbk9+YAMckuCx8GWtAz2Nq0HPBAp+RCn4wlyUAaFgt +rEWMAgHxJyIeQD4GGigYSE0k+4fcJfs628mz6Hj0lkfH4KMYyYwhAwQMTUwn +zVS+LULuzs7hSDmZiJGwgYuJB+1LTRWKeKoHiKe5yRgW6ViU09SgnCbNXevx +pEU5DUy7EUwxZKQoNkHBVBskRSbGTnBXHyk5jQ6UeJX2FV9Bm8pvphXV9tOC +ht/TrPYnxY7moSFcaD50Qaxq+kwopJ5TzH3NyAfDT5gZFPTzwg9qZ/rKcZ3r +RlAu8JAQHPThfxVT15w3xIEhElWvVQ7VqNhoxYypljXc4ms/ZgDSO1/FrOXD +uzpr3kKsu8zre1/aiciIwKY0xDecJQ6Kx0QaIjzuMBP/mZuapO6p/Hc7i5sE +7gDRfCwoR8ZGkDHgpK67T+R3K2KKdzK2fSA2G1FruLEcOGc5DG8xH7sdnhzi +zrH9bXCqgnkQPUvx79jxJv6uAP/gvZ2LGSOp89pCs6HlNZnOxdYWva5fUvMQ +jI9hIgYcdOZsMXyD2QjKTpgBydLb7MfEKE7x0UBqtq8nX+PtqHNuHeqZdyc9 +ll+C5u2/hj4suJx25VxFxzL/QSc33CjGdL8vK0O/L7hdPBiwrl7KkHHVJRKF +zhlh6JxBtTWkEDm9zCSD0Dmm82KpnNQ4KWLGphkjJTRXog4exYxzn5jEYCBb +prKTWZq47J0rIsMgwtSE2ZqYGbLSpcqGsVE3aixfTk8CRcrg9FG7HNjmgMmB +v6EFR/fcLyPpKC3QljlW7glx0j5S6mnZq4Lgyyu+jDJLreIA3EGfVTxAr9c6 +RnOanpZ1tdjZgnW22ONy74Tz4vbWbeZp8W2UwHtFAZNkwCVHJbJsVR9qCbzv +twT2OxYoaAnki29WvnGBqG9alZGYrdONkjhIY7JqNH2NZjBxvow6didi3L90 +TVQk6vKWm/nbZXBScraSfajRMCAKpCcxa5Ng9APWykwHrVzfxow7FvFKcNPD +2fqpDnRhwryjx+DY4FVkJNvdt7/rkJEyoG+YEmSRCTsfHc3l0mMOlx6zOMSm +i69PZVinZj1ONbIeoYzsEVQ/+z5heFpxCdJhX1PqxclvRP6tNKHgWlpScBVt +3X8pfb2Dq/zlpTjRVaKzA1vTj7WGiKXr3nIraH25bFpe5RC90vBHmtXmNE3q +dk7sYB8eokunsGwOq0CwhK7npEJZFwLPMZhqwLsG7vDiM/3iDwY9fSd7SyAB +FptanJ/XDTNkEFSb4D42COorcUts4bNEMQukzHIuAKmYO15qHMIwykyDMXgy +Zvg6TGZxOU5b6m6TJRjNaKdFTWEycW80DMZjYTCefMg2bY208iQSmxNw0AbX +HkxP7BN5QChobH/iMTwrzEjNcrMg623Dni8xgOgVPS9yJaNM5XOSPYrq5Azh +67eXOL7fm3snDcu7huYUXEmfMDDanXc5HeKr96ctN9AZLlOxnPLfy0vTH2+W +pT8X3kZ/vlxB1ozAeRAOhLKJ+akqWr4+aYGS8a8ebRgiDKk/YhwOBTDpGpTz +IzzGaJhY8wA26eY+qX3vy7B2irJyhb9E18cS18Vz/QRFoTzu6/kD4T3b27pX +AFQvrHIRhr+nUez3cENu3eriZ109yVfnutaxSC0jA/lXRKkjr9z2wZPtAlgh +iM0gpmd1Ulrv2rPSNnsnKYilvY47noteBU7DudB9SBwz4ZwJ39uCEq9TdvFP +aPNt22hl5Xx6s/a3Yss8pUOhLHkcdZ+uI4en7gCOzT7jzlOPiedljY+sOZ+p +8QlbN1n9A7/AeT+pd+CLBlQhVgGsEK+vml1DCzRusYcIu4mwoGjxUf5+2i/x +jOrf+CaKjBATBiqu5ldL1Q2CH3G/jyLErTe+WJsau1P87lAU65zFUj8CX9RD +6ofIMCwNr2KcmgYwFoWtKv+Rmxv/76gqY+sHETElSzMYadu7gpHiATUU8zpS +bwMKwdqs1va38P5S+embJtLeFPD0RtSaoy2RzQSRTupcIrgpwE5CzeMyB4ba +5WEoMcF9QQxx1RwXC6/miDOKlsIzpRyutvdpufBhsFs5awJf+mOpGpfGNbIf +ofTskZSRM0xcVpTe70zt9rUS095+eRVpeN4t9BRXP4v2X0mfF1xGe/ddSd/u +uZpObLqeClfdQr+9z8ngjVvpz/l3iKn87zPASVUL4SxpLA/1cFYfo8nqHmgl +pWVmigzBWInBIZVFI49D9XhUX+TYqEVEcJbMnFmhY6tIiHtq0DZmJ9IFZ7V3 +LoAdzOIO4xFYt5Pn4/xTHaAuFbb4qKuBmPW4npn0zTzkBffoGpaWGqDUFFym +HQIbLqIYoajueEQ2Hnx76xNihPhN6SniznaoxPN0sPh8cbSGs/WO0htpXYW9 +9HHlL+n1jO9kW97T7c8pR8El0fAHdd0jMi3M2iXLzj6lGfYFg8p8XuJ128E+ +nKKDfTCZ0jLCRDWO1CaLxzkEfTrNnp4Ox7RSIkV9cYYRZWS51pwlHXRG0rbm +1Jbf4raq7oHYvJpm1d2iQNzlT+lGw/2TAL1JyyRmum6btOumJlfxhLS6zgG4 +LbZvEgC4WjbLiiojAcB199iHD42C7IMEFZlJwKLN8UDcjkVJHLLG+XMS3/CG +A5CDG1JVGKdyTMNMuyZqpezhsoZF47mLLN/ryvUSzLgfyC9FUwuul81Ln+de +QflZV9EP626ks0tuFdbibL9WfMQH0JE7J1J+2SW0s9R6+vyuLFqWfoTmN/yB +nml1iqYw8B/Xq5AeG3SeHhx2QY4bXNAGPH5eEojQYnzssIW0m1BjXjU+9yfZ +LRBU49+ptbRU4sFxVJpMiwUrrPDagNqSKBZmywLGzLYEjSGc0VZ87CRjkajD +epGYf2wNG2voMsvIWoFtUS00jEeHjF7LKc4xEqNapu6wi+DF9s4th7fUrCHN +/KZfZkR9/O0MWczphwJcGImZrp/bFRXq+KWZjp/iQnN4TenRwuv4fRGcWCk3 +PFxo2Fc9nl5tIUfSqipeUdnY7meljVF1zxQ+euMklWAPSKOcPrIXBOmjf155 +ejD/H4IRVxy4jLbmX04F2VeKVzyUFmdX/5MucJmOlgZ2S/75Rjn6z8LyolFC +e0PSyTP3yM4SIdWmVpES/rdJ1cTr9DdDrkn7Qwi2ADdeeMQQbQnYUci2v4cf +5bEr+wbgRfqPYgnS16qhagf9Rz6N56zQOEFsbBCiWFkyqJc1TabDmLIPk5D7 +Qn1DAYUtTF4Lg8Kf6lhQ2EtlGwCFnGIg2UBaOVruSZFsfFXiBcrnVAKr9S23 +bqNVd+fSuzWP0KsNfqBZLc/QU52QSmAaeiEhvnUjHJb7YMmPxDiKOAaK2Dwu +24fRKUGsY1ck7OCf/0ljHoXdCz+EQKMYmApwtOBRF1Rij3rUrFRfaLdayuWw +yG40iomOpN0S4dT5W+ErIy6UXZr6iL15OHiWmhVK8mqXK8mqJX6NmxLSPeD4 +DsUMxPyf8eINN8LNFnhx+9uyXgBQURYNYOXAdqwL1S0kr+OJuGWispxqEV4W +ykYrCwIX2IWkr+q2qzRsvuLHAkEBgxFdb9pMBtRRwVlQWMOBwtkKDDl5wEIP +ZBsakVi3XFU2rE40O7rGyhbWKtjGypFdnUEiVjXXzR5CDXIGyAbXFvu6ST+l +Q24TjvZa1JuTC/aCjeWIf77gCnqXI34DA8acfVfQt3uv5srweqHNsWHo92Vl +6T+LysvSyT84wuFaLHT5GI1gp0a05BzH3FmjtZL56c6midnuLwBj0xAVHXGW +zG4apmXEEHJq4my4OIBCWBQFNtBirMj4sEhya7Je54CQuzToUDopVTejgfa7 +lT11h3BT07TsbRqXfZWjM0hReboAKWI3CYhxRYsjhLM7Ds6uwig6Vt4ixvGM +GCfSkZLg7mbJdjzsSMa2vJziH1Jm8XW0pcxOWn1nLn1Y7ZAsbcTyxhltz8re +5dEDOJ0PP09DH9ZQx+Lw7pO1DpQUjvoPofzSDxK2HRIRpOH22riult/ROhiS +/IpU50N0sfJtTvakvjGnzaknYy51DdFn99EkNkRlMdMaf7NNKtGNyLeNMUeI +frEcX9Q5y1mBjaZZ3THgZlUi0WDQLB5iYrw2aHpSGzQtVRsUoEF1kKE2qFE/ +9jPd0ED5GEDGZSpDECpeLxI1k1po6HYLG18MYCMsViTyp8se5aqyb2W8LF6v +lj1KOqVaCg6ixvs0wtvua0cdcxtxhNekAXkVaGT+zbKwE/Bx1YFLKDP7Kjq6 +/To6tbIEFb5cgX4dX4MjtSX9VK83fXv3aPqy3DzKKfkBbSm/iT69u4CW1fyG +5tf/kZ5pfloqlgndz9MTfc/TqMEXaOT9JtU8qudPOqycanpwqhFeGY2dmQon +sZIqCU6+bFKJae50WOR1XV8POq9KMXwdSF1MB9Zyh8IXYBlLWipIGQkwpdnh +Kttczdm1Bvteh/UL4xVoNYmR5D6+832pmURS77XFTsRRh7ujDh0KUNwRWiAv +ckOZcdvoJOYRO2hQI0wfqi6syUXZw/82WFDLG4XRluobQW8eG3lg6APRC+ed +apnTGS1OktyCpcAZXKRgSXCLnK7Ufl9L6pmbToPzytGo/KsFMbr8kXc5HWHU +eHznNfTzphsVNX5aXJztf19emv7zdhkx1xbkiH0m2E40t6JYzEo71qBHWXyM +tuxkbc1C1wsFvCLI6uKgzyiSP6pfHqvJWO7Co7pcOYQiH6xlkaQu5UvTdSzD +zTNM3DYjUV3oXDi4Nvq493m7XQbaRdDgITsZVb2nZ+tt2rduaE0ZR/iFnOnm +D5cmAMiLEieGMBGypJnkPSFFLiI2c21YZB9kHM400Pp+eysYxSn0dclnZKMW +OkJ7i39OW8tu5WySQyuqHqLXax+jFxr/TLNanZatXON7nhf2/xGO7Afv1w4A +WEZ0ivo9oYvBsSAcO2AlwtG6nXpWWcfpJtKxXFyKRwaVc05ql8AAy84KLPmz +ZGjJx92CS85O/B7fB9hqfpx/4BDmq8c5Ahhj8nu6Cl1WYCrSjCGTRXFl8E87 +LNL1RwCawlvG7C7NJd/Y20QxZ5GAvozRPxv9P4DF7dpIVTIwLmRg3IjJFtlH +tlrF7H6r12IGDu6aj32or9g99mY/KtqrL+DBtbJ7HteuPiLU51lLF+KCyJwd +aS7osEbmTLN/a7pDiEozKIVYJWui0IiVuO6rlDWGf/24tGmrClJ8iNKzH+A8 +wmgxZ6jkEixxbppzr0GMHSTaO+c2pG651ahX3m00MO9mGp1/Hf1r/1W06MDl +0k/Yvv9SygNy3PMPQY5n1txMv3COQcT/f6gTX72d/oDgAr0E9BEmVJfeAfaV +WRnc+fuMDM4O1XUPBuokapIipmEQMU1D9KIu5w2EbW58Wqfhop7K/mfdJGLH +4ep1jERTsokn6oR6uHUsmxjz4WJEsWIxxoi9xCxQWEXBix6zWKN/FIAxUkch +I9q7VQfLxhQhGAU23h/AxrseEqIRerhj5UeLMzkWJ6Pt+02pp+hwiRkMH+fQ +V8VfEPgIT+Xc4u/TnuJf0I4S22hj2SxadVeBLGZeUuc4zWMIObP1GZrc9RyN +6YNUri3hIVwx9jcwsidg5NNnpUJEIHe2RKRp81ktRrsEKGnbxEFrz0HJiM7S +FDHK7wJfZ5dI7tRXNBlxLeNV+xyS9OYCi7lsbIkbS0AaIBlgyNR8jQWSgiG3 +ezupdJujN/QVseu/PWFTJOrEdJHxXk72DAu2+J09v7uXSkv30cWJx+3LQygy +mXy0IlYj3JCGw4umrrRNhlmmhrTNhSdFsFEla7Tk95pcM9ZmNFkv5z7ZC439 +0GCG2uci+utR99x76F6uFx/Mv5GeKvgHzWdEuZIjP/NgUfpqz1V0YuONdO69 +0nTh+bs4L6dzTmxBJxr0pO8qPURf3zpTOlu7S39OG8vtpZUVD9LbNY/Sqw1+ +pGebnaKpbc7TxC7naey952n0QM5BQxldjrhAwx7SXeNAl5p/vG4Xjuf0gMQQ +hPl8QFwoYfm9SSUBaWlRpja2zOaWpT5xqd3p1kbj18oeY69DbcfCLL9uG9Te +GEMCwnQM5T5zmD23hUD/4POQIR7deBOGAGVEl3uEsKQrhra6YmiLHFo3rxrR +OqiNVwOt84b4E8+r48eTpHkyqLrd70j7ioaFnpphnmSuGkKAPy1VDDZIVs8a +JRyFiIRyeolIqBNXLvfmVqVheaU5wyiufIszzGqcs/2X0Ff5l9LRvVfRD1zB +nOTzdmbNP+n8Z8Xp149KKiv5DmPLt8oG+PLVCjLXgH3pwk6imz27koiL/j3D +Ykx+hKU0EsAnq2t3e5wylZylgDEZacZkrswwlnjvkZp47+GaFm/yexdGpvN7 +Fx5It6wl2MrhQJ/DMiIDg4GJwea5LwQ0ZbtNML5ZJ3l8s6draQfz5p0T5s1F ++VRfrGSTgWVT032zo5UptH1mAvyH6joBLhTGXQ8qbVFmvEiN0KpGrskt/g4D +y1W0rcwWWnd7lmwKe6fmEVpY/3ua2+RnmtHyLE1uj21i5yXXSHAPUYA5YuQF +oS5QPmLtOKQmwlaORxl5QQK9+yQO9sn8PKXyEwWb6FKcEdmSBD/Ky9na8u76 +zM+6/dixmcpoyrLLuT8K9IwikwGAvqgtcX7wHsPQNOr8smU69fJgLApEOl9e +7TJNRqRRvEYBSwFOF3wn/fOOPjhVLhTY9Fv007+1NOg/G/4/gM4di0SvtyCG +FnMUvSa8zIc75S7ban7FYUugypfwqxdjwjPGdROrbAIDspwLoPk8Xp4DL+LQ +pSBMBZjxgH5UkBkFyoy09HDmVIM1J0tkqwxwAj/j+RnHzxjZTVY1ezQ/j0qm +qZ79sGSb9JwR0oOomzNEcCcW6jTZ10ckgy33dTXYsxXfCmAsM6hHbmXqm1ee +huTdwlXnNfR0wdX08n5lLtcevERuiP05jEEzr3EY9MIn2q/4z1tl6E++EdCn ++F0YzMrSlxCxr217j/CmRe0oRg8jLUzCoHbkwmMtgzEhxZ+XBfgzwJ5GT4su +QDvTzhbJoMDOjpGYN0MhsLNuFz6XgJ1eI1uAZxSgMyqoMxboB2vdyz/g8GWg +5TCnwZ0/wNKh+oAoUCeXDoo7TWPbYc/hul5ZsKc2uZW2RKOb8edto+nbcmM8 +/DlFNL+gL3EnfFniJb4XFjAGfZP2MQbNKv4p7Sq5gbaW3k3rbsulT+4+SMv5 +fsCWcS0+z9BTnc/RuF7nhc58aLhSSkj6/cYYiaLFopAporC0GmGO3Y62Iwk8 +aka7Jam/mYravEiD3MkXC1z2DsBoYFauYLSIT2t6LUVDEMUT4Kj1R9LZQdP/ +BhSNeIm8iD/XYZ7tVrrok5k1xQPZ6x6GVWURNZ1IS8CfktMjap0SD2NQ2/ce +4VFFCfBzuy9efMeMGdp0b8c7rIhxodG/BBDUaYYFAsw2xaoSmlX40tDLYkwI +hoJqAgzF0tGm+3pJTxw75Tvu04ugV949dF9eGYai19MUcwm8v/8y2lxwGR1g +iHBsxzUqamMo8Mvsu0WUdrYn4Gh3Ol55BB25bYrQItklP6DtJbfS2tuz6cMq +X9LSjG9pPkPSOc1O0/Q2nLk6FwotAsLz0fv4dA7jrPWAZi2c0P4GluKU9pxo +TqrNUjNPB1TIsycSoOkPbtxEMsqCRGgaVFU6eqLQVJVVyw5Fioa0k9a3oHmo +0IqHD7dtq8f9mguTtgGNr0A1LQRUjVg3EnZjWePkHyr9CDjQBKAqDqy7jPvq +joCzT/Nb4/Z4m6NdxBsa8SHqWp2kbp+slQwhVK2iMpz5jyLUWkkIdZEeVcO5 +K+v5jEiw9GhOlGMJnh38SL2cwdJFa7VP2c8uuXWpL1dE6KA9wUj1GUaqbzBS +/QxcyIFLqIBz0SHORcd2X0M/brue0epNglYLVxUXXgRs6B/LS9OfyxixLmXE +usQi1tvpz5fv0J3tc33UavrqQK3TFLn+ZtlRg1x/s7rMcUGfXfSZj4tGU4nS +Sz2pZmLLPV2Aq2m76wLFfrbrris14Hc82DA1aLgP1GxZGHIcqe0gq4jzewZw +9YwHV894Iv3TltVxULVJaqiKVFnX8J9wvUPGq9FXaBRsPAFlgq2Yx25TVfzh +ktNlL7mFqlnFP6MdpTfRxtv20Ko7C+iDql/Tm7WPSR36fJNTNJPh6tT2hfRk +1/OSkp7od54eG3QhgKwc/MMfUl70Ph+2joESE9SJdkB6TYRi+rws1e2OWtXC +16c9CMu1K8Q23TzeFDNp2pA/GQV5yuEjTTyLZp87EQWkxdtzbZterhXQqXh5 +8QdQqfLyfQx3jNCpHG6d5tu2vWvfK7kqIBYvxziwb2nwv4PTWgaHeogTv5on +5OZcgZz8IEXtfh5/MERqPgsUOgeZDghU+l6W40yAoJkzo1hnFOkvDYokGGpo +Tx+OgujAatwqe9EoH2ea5U9Q5WylQStL03wUw9OHpaVWA9A0W6FpHSFEBnOR +ClqU4WlOXylWW+zrzldBZ2moA6IiM3URkqQG3Zt3F8PUMjQs/5/0WP519FTB +VTSv4Ap6c79SpVsPFqN9BVzMmuvhp803BFD1g5LSIMGSd8yo/fEch/7Mylqg +Tqge2P+gwBzm+ZD19qaG/xKqpppmbxGWLUtNGMaozi1MsGknwaWdMfZSN2UH +XaBppKc32WJHfrWDLoxoukGmGAFWVlS76NUHmk56CnhaeZiDqAE9qhD1uFCk +o+g7LlOP3fa4wNSjFqaWnCxd9sMlZsqUDDrtX5Z4mfaXWChQNbf4e3w3fEKZ +xdfS9lLbaFO5LFpdoYA+rvwVvZP+DS2q+73A1dkMV6d2PEcTeujdMGqI8lQA +BNjci3ugJ5euClnPqchmtnbiJVpf/MGbMz3muvHt/TnTt75O2ZFvnqzr1Ixe +xMvmKaxXLvNIp0QdnNphWCO1xE58sNvTDtp46dwg1ou14MWZPYl1iiekdCPU +vCTFGEQSWRpRpDr2IiM2VqEZRqo6hGyRqq/UTCRLXzLtl7l6KzlFthHdiOBm +ktwjgAWVuJyF0KaGCG1Qwg6W5igUnGibtLZtk32N+E6oyXfCnTQorxSXrTcK +Yn3Rlq37L6W9uZfTN1lXiUIbd8BvDAV+nX6PNC/PdG/O8diVA2AoHS0/Tmqs +vBJLhdvfXG4HfX5XLn1QjZNYxjGa3/Aneq7ZKZrR+ixN6VgorXqfcxmZ0NTr +7zX1sBvXR6+qDjulyci07iXlvBCM+6BP18m07xXBHgsUodapIhnBhsZ/hFz1 +XeawgVJHgFK38DmVORdB57CFTbEWv4ZbBt6UGSCslS+Ll5wdNRPdckh3skF6 +BplSsO02PQMdh97JZzWoz7anQrHece8SOu41/5YTYCpp53vh0TFbde1cnGJs +7DkzBjRDRGJK8o/hHDeKz+oDnM+GSh4Dud+WzyjyVrfcdOqXeyeNyCtOYwyU +XcK56iPOVZs4V2UfKEYH0NTnMwopKHa/CpxdezMVfn4Ln1mGsxCJgYB91zT4 +3/Qg7Wu3a6MfROwLd0kb8A80+yEVBay1ZKxt+k9NCW35M2Vwy9eZwlvd4G1E +AErMPma2e49K1zGkh4WQfSg90ssJAc6HxpEyQsA2NIk0MMFKz/KwloO1DX7b +3MfokFWFtqrntSgbJShBbTO/nXrEgufxONcfLecK4y6MeXJCEw+MUpOkz6cS +sQXS0EfC2llyA20us5vWlM+jlfd8KdzK63W+4yr2J3q+6SmaBUDbtpAmdzov +98HY3mjyX6BHDahFRYsEdv9IBbZDH1E+FvfDAB/cgpcdZwQAE/S+6DFJ+dnu +k88D5PJn3f2pcxwzCnRFGMDvdZ1+ht+T+0T5Wrz3r1NR4F38AJ2bZwTxRgTy +RpEs+QddnvvZMrgRBb1pnEKNgCgAvyonEPgbNfDXYGAwti//YNlcSAz4NSas +7i0NWgvM/Xt2NRbi3kDpO19AM8VypmkKXjPtI9wpbhPLnsZMe/5feNCg2QPw +umcG8qW4XgC8TsPFsudppFbReD1lnilRoFj+l1TxcKyhVvkfqZQ1LtKJ89BY +uid7DD9P8DOaf+/jWaVaMY1dk3EtythaOfcbXDvU0K5gWQZS45x+Ut42Q9s/ +p4fQr2j+gXVBHsM90Tm3vtwVPfIqU++8CjSQS9/h+dfT6PxrGONeTc/vv5IW +873xAd8b67gE3nOwKB0oKEaHRQykZn0ogSEGAs7FLuk/l9xGf75yh0oDZvE9 +MLVqgrA0PewS3ccUld20kHQ4t6UnCWjq4dz/n7b3jtKqSLf/39UYMCcMo90N +EgVEchAMoCCgoCIiQSWIgwoGUAHJgpIkCCJJlCSIqIgRUXKQ3JFGMQBGDKjo +KIa/zq+eVPVUnfN2g/f7u2u9rjs4zpq77qmqXbs+z97XqCwDz4cVYvTGFOXY +lNIBe+S7UpX3cfDWT7QNTg2x5XqiGtmW4SE7QAQWbP2u5j9TidoU+K53mT9D +UVsHRa35MyNrU23pzR/GAS/rTcNFIGwvZe9VhG2VR9B/xff/Co9F+8sPVuJ2 +VPRZ1mjrw36cNRXzcooyn2ce4OVoV+ZyoxtWRlsy10frzZ6xqlJu9E713QgD +LWq0L5pzJYvclocQ9YNL8MDb6QIM+wTsEcAIwL6AumGoc7y00EWnC1gBxk49 +f1bxAm3kwdULVHGZPB7H5+fy2PFyrotUKbMa4ztVn/jKmN1hGQEJQ2FjNj6S +5EQuYXrHB0HoDjBdg8aVC6NXjB7xpKW0cYV27NvIkXrPqxilmbrfe2Ulam+p +9bIsS2oTdjQFwLPkW2YBaORsWDtyOJFtWLhPj1Y0wDB+sxmIm0gdFLcP4Ast +bBZwASYqoJO5+Iode13UPhcuvfWiO/KqRj2NgHiw4JxohNkMpu6Gl9tTohVm +I9hSeHJUtOuM6Mst50Q/vn9B9PtrWdGfsyuZQ75m9Gvvy81BCuHpt0RfmRsf +FB/BBN3urNnIQH9YblW0uvJ2zDFYWu9TPNxmGKE7qcWPRuj+HI00QndoByIH +INugH9u0ALQAPQBjEODSAMF2O4vd24b9iodWh5Fa7DqK4FYeg2iPdu03yE/j +w6ARu+1k5EHCSMiuzUDErJTVuzDDkMFTC24KCiJIYAzq1OLHoJa5ZMRr1JtE +qpTNC+IkWVG7pdmmDa53K+31zpm0hBN4QQrbMAZoq53Bs1DMdgvFuBIAVQAg +IIzHESRxL+8E8tZlXCYO1olL++Fc96CAX/KzTMRNslczsHtqscSts/NhvI41 +3nUPMgVAsMGXemPuDeYadrX5SutG3fKqRH3yL4oeMzJ3vJG5c8xx9Qpcw+Ar +NTI3z3ype811DBgD4Fe/NVL3uzXnY13wz0bqHjZH1/+WZ0d/AMu6tGz0l5a7 +wBy8YI6z54g7ABcX2Na/pl7qJO9EJXmFc32ytpO9j4vsresc3SH10rq6vz3a +QMne9JZukuRNlLvi4Yp/28lF2zupewXNcchUPLTYytCTlblsJYF1xGjBQRsb +RPm0X4GvA2hBtQcwyWR/hUFG5o5Aj0YmIfIzF5ldYHm0NeuDaGP2h9Hq8jnR +u9UKo9frkC8z74ov8No75Vojda/7KXrS7AiP30Qs0eCOJHfBp4Er8MN3H44e +6kU+LuwOcBVGyfsQ7RLg595ldooe/elhpyvjCODrApLQhbGETkP5ugwc0vDD +Zgl1GHHYXAs7aJ9XvN4nftJ+L/x7xxzKACVs1hyxC8wvjAdF/BQq4gmCMoAi +NvsR/BmawN85aQwOsMUb8JcB9/NSMOtvzijcuXj3uujKNGL3OPjfTw4kboMt +k0i2lkLNWorf/fHtHxUsEwClRL2idgUFOyp1GpMAYsFqG1ZJWPwRIQAiFqTs +Y+a/DJGpA5BOrb3r0Qzzl0dSnXCkqQ6r2bpGzdbNeTCql/NAVD+HAAJRtICu +gaIFtxZgAhh7gpsuHFrNc263ri0p23bo3MKc7U25LaN2udeguoWxqI55tdGt +6ZpfPupZcGH0QMF50YCCs6JRhWdEk3fTlvGy2TLeNVvGBvPL3V06+jifsKSv +NpdxyPubWuVWxBswBmoA4v4Ej089Vt9lG8n4VHcif0pSuT4mLmHpCQo35t66 +dMZvm9yagXPxpXjsSTOsx9HrCrq1KGwzUNmeoFCCbgSeE8OaAcI2dSut68Cv +/RLWOXq2faxn+wVgrdUeMvK2H6KtXwDaWqm/kbeAFzyGqBEhBsONxB1p5O2T +yr99OvooaxrO2O/OfCEqtBL3dSNx3zUSd020KevDaG35HdHKS/Kjt2rsiV6p ++0n04uX7o+eu/NrJ3LY/R8NuobceUA992c+9l7lDtMgGEfMuGAKgR3ai5WmH +IngJOEn5gTyrf72aXgknrdzxL3hsQZhAKSRCXuoMl6RkT/1dfOo7KhaI2ASl +S/hB6iSWuh8qAmGTM3PpyGf29cRwGkUf+yl6nB2RMI6S9EjrswaNYqjrEk/k +xlgD5eA23KLm7TGjTBxcziizA1RO6NZmoVsboaR+Zj95EPeSxjhM1RP3jea5 +4uTejPsEvfBcYWRErahzXiUUuw+Z2+9Qsy9MMvvCPMZhN+4pHeUXnBR9tv1M +Ix/Oi35+56LoiJEJf06vYo71WngEH7q1hVmrN5tV0w3JGqC4P8qehq7O9ux3 +ow3lNkfvV8pDmm5Jg8+juVd8GU1vehBRWXR3bzxsnyhhZAPcHDjaxOEVPgFu +auDeoHMjRN3jocv7I9FzE7+nYyYMjJmuMFoZ1IphtPiZpzB+L3W6igFnGnzx +xz6Bo54zRPk2l8TMUjpHLKWVL1ehp5TPe5yvfN9TYHjChU+SL8XfPV7pXrsC +GKQ9Va8AXfcRQDciet+jfIl1jpsNZ7Dsc8Ur6pnCfOSbKZTYWbpz8PbmGO4p +PA/MSWDbR1p2G54vYYIDbmnCbbfMuQ3JOTjY2uc2MQdaTaN7KxrdeyHq3nFG +987i54d38DnypGjn7pOiosKTok9zT8WwGLBryOZl7bviIuRskVxYZvTvq2WJ +XnjJ/Kz+rYD6FyxfmPYAWwfmhf8Cy3cK2b5HtAYeX9MRDcr6xZkv1MF1PR0M +6QG/Ww1cT5MNMQ2smFw/SeBeG9vpcsslr7ObQm6V9rU2L/REeAex1r0tXDD4 +1Teodr5wwL8Hno1wFkKCH2bHGN37Oeje7LHRXqN79/AIR07ma+Ysey/anLUh +WlduR/R+lbzorcuK8LlncaN9uCmAzQsTwROuOxSNaf0zWb030+Zg9e+dhNY9 +3JMs34fM7fiBe40G7k0ILmwY9zxE1i/YO2L/wq0ZuHs4AwHF0zYwamGrhwl+ +MpuLWTodjSI+jm1hZh8e/yUDhHEGbDtgDwsDgfawUcUois1ahOgqgCHwNw5+ +P4BKZpv4B7GKQRSbv55MO9bE79zPimSiJVj4nmy17tGZvPW3jkU9+yTasqRs +j3dwAf5Q3abIoC2lFa75M7M2zZ+RwhWzttbOQfCXx1Ld6DXGyNyaRubSj1zb +mkbnwitNLaNz4WURaIS6ZmkLkdAAf32YTLjPnFVEJzjN2xNNmqaoe7t6uve6 +nI5mW+gQtc5pj9q3DWrfG9jZbY755e1zr0B397a8OlHn/OrRHfkVo+75WdE9 +Rv8+aLaNQYVnRk+as+5p1sAv7T4leotfiAC8LSw42Wwfp2GeJwJPqy/A+AAM +DAl18FTWwQjh1rEQ7m+SPZWkg4VquN6hQt83D15XruGcjWZKA19FeRvfXSWD +XceTBubZf4+mRZCWkYWGAtIeHwxvIaoAbm697qk71ARXT57i4uF/meQSXAGd +XUXVWvnLk13g7qIEHsASeJCRwEOi/UYCw7UYJTAiDGM8lxcwBrgqgwyGjBCQ +wTlGBgOVvzVzFU6Arc/eEa2qmButqFaIEVRL638aLWx8IHruKpDCtH082ebn +aHg72jaQd7yLecf7aWuAqzESuYOVjgjl8GQ/EJJehyUrSHGNOidI3F9VwES6 +oCgIF1VO2MlaDnNkVZ6Vw1YKS9hAaZICPB8WKGEbIBrDcMX7ouQpnMZep+a/ +ePLrqfg09roVxUxkh9NegfwN8wJwSls8XskMkLzQ4gAG9nm3x+Uv3bzhUfhR +fCiCbaZBTh/L4jfFaDnyeonDJ8gJrsm35jXGK/LtZnvoln9BdH/BOdGQQno0 +noOPP6dEq8y1eGdR6Whv3snRF1vOin4wW8Hh5VnRkYXlzdKvGv1vSG1c4ofa +NzdL9abom3p3ogu07+Jh0d6yk6PdmIH4erQla2201px8K6oWRMvqfBwtbvg5 +guPPNjuIebZPtvrFSOFfomFC6935a/RoD6b17iVaDzxgONXwQZMdnS6D2cUZ +zpNksUgcksM2P1HicPCz/tqbKuOhZJ/kgTYcmSxz8AOEIu4136Kej2zpo7vw +1WN4vNmIVMleKoP7N5qFyIMR23YF2AocsYHV04e9ENqnDzsaaTEHksEp8n5P +DvCGUAKvkcsfETzrk0NMN4QS+DX1qOFGxyQUwwvD3TLT/KbjR009HBMZAhzt +503vfATPSri/wUMFnH9g/bbKgUeKG5gZbxR1yrvUnGfljQy+ACmHMeaDBW78 +RXOOwb1t9R6ygAuKTow+grMs5/RovznLvtp8Dg6ToA38wX/Q24EcRaAe/geh +qa8x+fByWZTDaAcD0AtDzy8QAfG3EBAC9qIk1rZwDU8SHxFJbK3hOi4KQUvi +IRr4TW8L23CtpFBWkcMyjWZxXtXHG1SmSfYj0oUx+zcug7+V0j4MPw1wXXMG +wqPP/gqDcZqEZPDT+HpZmDk/ys1cas6yt81msCbaWHZLtLrirui9qoXRGzU/ +wonmRY32oxQGhBdsnUlmYxjXEqzgX/CeDGealcNdDkcD7ySrBzYKLYlh8uR+ +toZFFgsRAcSUtYiVNIYNhQmJDNDG5gRBdawUMsISQ8zf7jj0V7PQ8OmJ5tqA +lxhxOAMO0lJOH5/ofGP2jnFnGo2zriB9xyBOMZZxirE/wr8a92PAVPwQXXTF +sSAOJ5D6Pfe8qO62Udq1LYWi1vx1J1w4kT9AOTvY/J9aC+ME4PcY/+DpcEBG +dNmu/vCXR41yugyVLTEJzCWAbN7VN/UIurn1UOE+iE+NOBLGCrdRTqhyewXu +bg9Wut0su2DVrjm2xOklRvfmQPG2YMf3akxZ7JDXAI+0znnV+VjLinrmnxf1 +zj87erTgrGiYUb1jzW4x1ewWqHyLaMeAY27LnhOR5d3DDN+XEJW3/rzoh1UX +4IMRxipDA1Cofidw78QITriHS2yofu9g1kjGz9qy+vUcYIHkedV5yjfB+fVV +r0u5urxzPIJeRVzx6JiDc/3ZMeYYuimOgQFdxTJ8oRUvmL5VOM8ATd8BNFd2 +MQC7Q4ziHYrM0+fZj6Pq/cyo3k+N6oWcA9gdIBHvo8wZyEIVZs5TyncZ7hYA +8n6YtT7aYHaMteV2RSur5Edv1ygyMmJvtKThZ9H8Jgei2Ub9TmX1O/qGn/Hi +jIwUM5MQgw6XZJumJ9zDEJYOI3kuTRIzEyPRE+KNLP+gAlzDGTVRwPH5NC0C +XOzWOxi7xZ3y5AZzxOXKXakynhucoH9DD0ylITi2UR3+FLt6drFJ5segfCGb ++eXUwMD3XajgBrHGQuErOavP8NPwZB5fFeErcQcjbZZyTdQM/XE3At0A70iN +WDtY7xco3hyIP7gFA7XwjQhDtS5n+qlydLfZJUD8DmJLbSZYarsJeNhceHJU +kH+K2RFON3fhMtGh9809+LWy0ZF5laIjk6qbFV83Onx3YxLA1xgBXP8Os0J6 +Y1fcJ+XGm7NvNn7NYP9sLLspWlV5F369r/ITxpwrv46mNfsumtDiEPrBI0EE +w5NnJyZ+u/+KAV0IQvRmT7ivP2ntkb9KCKM1k0YI36KFsOTBJgnhIBe2LfbV +ca7ji7ZGKYVldanTXAPL0jTkj09DBOUSRlJf5U2syWimzSpG1hdjFaz8TSn9 +uzewgfUjyAZpN1KXP2wzUt++u/W5bOGkzISwDeIl+6H7aLp83M/a4Un6qOUm +J+J3EGY+6uyOqyylw9kdNrmnPpavdM8vF/UpIAE82hxrgKHPN0faa+Y4W2k+ +XHi8yDFH2m4YT+Ej7cDWs/BhEx4z4HHzh1VGBMMk27vOE4b8hd+tEC7nCWFM +/wEU+PlK0d/CRoA3PL0aZX8FYvhPTwzXQjF8JEEM/yEesRXD9ShhdpDzhz00 +GIWwygfTQlh84bBJW9DfLgr7bZ9gRl2XwD/EBHBHQgXrd3VBr54AFh8Y8sMn +m01gujna5pqNYAlmiMORtjl7Q7Tu4m3Rqkp50bvVd+N8ylIUwfuieUYEzzYi ++FmzMQD6+xR4wq1+jp64wRfCMLsCt2U45oCN6N/9MAZDWzHcizYO4CTgLTQU +xKFXDKIYqveQnRDP2PeNURxngDY2krALY8TWPx7ya4rk8XHRbUOZzjK/DsPN +PwKARSlKgCArOeW8ZLSSj4cNC21k+PeZfeuiJscifI+3wrf2tmGiaAlJgL8M +RC5hAMha84NDwyjbWgAn1Nr1CBAKD4M23tUPHVvzQ237UAY4Kql7ybo1xwt4 +K/AjXIGELSELSeJWbNwe5igKBG6uEbi5XTAfAVY4PE+KyBWkgYBdwBpY6OaR +0IWnS7gGA6sHL0Kd86qy2M2O7ir4T3RfwbnRQ0bsPlZwBg6sPbX7dNwdnmfB +u9zsEJCxsEl2CHNF/iSH8r6+ZuwBBwIwjSUL6SgZZPPQB7F8B6WxfLXovZkn +RFuHML0Mr7WKCd6DMcGrxK6X+t+F3N1S2t0Fx7det9Q9mmsgHj8GNdzjoAbF +7H5RPdC5EPea6O4K4ABadxgufHF4geP9NGscgg6wEaDLm/lstCdzltG7c1jv +LrJ6dyfqXeB610absjebDWJ7tKpiXrTC3JLfrLmHH4wIloJbMmrelk7zIj55 +O+OTd7N1Jq4vzwnBtbbTEM5jEWxy7I/+fFCoe2WyXc0EtVEp8de/6A+5uWyG +ROdXptvY+FrjDn3/Bdhxj5qACDXvmmTNGze8NPGgw5KKqe5JGEePz6mFNu+L +vtrdnKR2p6dXu4pycLNqQzmCZYCN+6uL92xn9V7N7D9x/x1wG4F78k151+G2 +0cEo3k5mu7gdX4MuNIr3XAyMHl1I28NCvguvKyod7TRbwkc403pWdHDteSgQ +fnv54ujInCpm6dcwB3JddJgO3QKq90Y8DAEAPFB5QPRp+dGYHUIp5zDXsiZa +W2Fr9F7VfHR6Xq7/GQ5qz76K4B2Iox3d+mc82LTy7c8PnQ9xgBh8x3BgWQu4 +Pz1kdhEiYuhhX/2OPuQGsuXbnqSjaxn2CdTvTYqMCKgIyjdfSADQDV6EbRgu +pogIKWX2a9UYhzjRK+8I1gFPu53gmb9eIAkKX4ggyQ3ufoHwDddAjHlYmfDt +J4lePcOWIHq9WcxnHaoeiN46eIMbhK+mtXGe7QGk/+DYpFtbFzwO22DI+XXm +yLsKod/OOKtS1gjf81H4wismeDkwq7IUIYiT6BUTvJzdpTFB5JNcEr9fbD07 +Jn7hNodAxDuZSPmBxyNQBBx7oQD+e0EFCweLG/z3zEscIDGtevTXVPPjuAdv +Ng5E8DgSwiEsbEGJ0BEOQOHf+js32AlgDsZV1QrWBb7rck/8ogMM5Qgc5H5I +u78tm7pj2c63Ha3w1QDEcHP2jTbn3UQE+3ZnzsEzDiAICK4l93dztPbiXdH7 +lfOjdy7dHb1e++PolfqfIgwxv8kX+JoJowFwvsHz0Hg441orAXwz7RXg8cB+ +AY0JA1kEAyDxSA9KeYEz0ArhexOFcAYoYbMMPS2s9LDZaswyNGo4A3YcIyJR +DPPPF8THeXax2ZNKgSJGQZyBTEUpPWhHpjEYyY97ktj89XgypEYSfXxR43/j +/54b1dr+GCMJiCVkAJcAf+kHkrcvql1Qug+Bzk2R0C3FjAJyCjAKs6tPahi5 +uPi7Twnee9BngWI6J3id6A1dXbjKOme3S3QdMLzAMqC72wHx/uvZ4SXx2xZx +/5swkaElsw3i9F6Bpxm4vbfl1TanWg0jgi8xJ1v5qGt+VnRX/gXRPQVlsACl +f+GZ6PrCKTfJ7BQzzG9u0amITIEQXmmEMKQ47CgyQtj89qLXA9OxjE0B+yCx +18so6AUCyOAKjNNuEHc9njng4T4HHBPDHfnKeRNPl7biNIfA/XX9IpzoJ0L4 +inY2pcEOsqnSUZpeuyNWffWNp4CTksKCKIYafcz/740GTt0ZsA2M91oFTG7v +AZxgG2QxX1DB+7IV55BNKvjTrKeMEp4UuL6zGfudjyMCEN9ASvgtnnBbE23O +2hRtKLstWlOB3N93qhfRddnsGIsu3xfNvfLLaGZTo4avVWr4psNe9AsqCR4m +ulcNE4mC8KbmJQLbRpEepHAWzUKkVcSfBjyExD7sCYoYPDTYOsEWikxVcEog +DHlIUMN1E9VwoITXpBv5STfRbvFHTGx4M/V0GgSSB9o2LglUsPi+8VwxUAiN +Pgw9X8kWc4kNNJpAoYSkghl42PkozxM8xMkN90VNdvXirDGqSYE9RsIHkfvN +a8FKuKHZM2pFd+RVNmriouiefLNPGDUBcwFTWE28ypfkrUZJgP/76c4z8P0Y +XoNwL1hcPjoy6xJzol9mTuV6aDP9iGq4LdbQfVWzF1pBn1UYFX2cPSUqzJqL +J+DWrJXRxos3Rasr5uDE5rLae3H8ZS5Oen+DCOD4Fj9FT7b+xXrBYPOAxQO3 +OlHEkroZRhh58e5DeLRlBB8mT6h49/GiiskTvsVmmmlVHEbuulQI4X9CVWyx ++FhS30epWBzEMoiD4KjdAstEKDI4dap3LaSnkOBaKDHRtBBIDZdiNYxRZUwB +f8ovIMlKOGnkzY/XC2+A+vbnCkFiSnjLTPWNy9DmeK8IBMqAkSPE7/lByz9c +LfAOv1/Abe7mvObMrsNsSxWc3O6Dcy1nRk8opm8x3+bI6DnRnG+lowKO3QW2 +b9+OM60ihnPuoFHEcNYBIoxchLaEl2c7VBhG5bQqfjFUxWANVyFrmDkJSIv4 +C0rFpnJixOQ4PowxvoEy/j1hjM4foWNV/GiCKn4gsISVIv5ZFPHt0oXZhHiI +dlfYl1l3NotJJWq4rcOBgYOwapg4iK9q3EeT3jgG9xiehVQK9BS6P1QKNJ9H +XN5AtwdfN7PM+VZ+F464wIDsclbELxlFvKDJgej5K4mLmNbsYPQ0nHMtiI0Y +3ernaJRRxSPbHkYHaPgt5AJZa1gpY2QlutMUOOwjVh3fo9RxOqtY7OI0Mhlt +Y+IpSCiXskiF+Zkl2HUgOMY4hce/24lANn/PHMDm36+Es/kz8JJL2Qk98JQz +wFPOgB0tA6GLUtFFl7fuLur36DLOzrHquO72R9FGIThXAN0HtQC2oG4DI37F +8aUhNcQZ4Hqec08GrFVIqWEVbE4g2Lxy7kr911PBRPL6ShjuucI5kA0srAOp +YbKCnSIm7qE1vmIS+wBZDs3MjhCq4rpRx7zLzH25mtklKhllXDa6I/8/Rhmf +x8qYeIghZscYxRYxjHnPLjoNow9flt2jiNTxdrN75JvdA2iqz3KIDAarGHIg +gKQCPuJXjEKk3Jh/Fl5MeTHTzap/ugat8CfCojGXBwHAPt5Nb2Mq6Uayin9s +qbPOFJjf1OVdWy6CmYhvPSbCWsTCQnCeQx8381bPZyCwu6G2DijTIWVu6C2m +jI0qxsAyVsaUrUvKeJ9Sxp+LMs4Sf3gsUsDEQ2iPeCYyEbsz52KwGUwU5Xrq ++L1oa+bqaHPmxmhj1tZoXdmd1it+67I9xEc0+Cxa2Hh/9ILZPWbwcC0yEtf7 +fhtQwtA42FdPHoln3F+9MKtkVB3Yb1+VQ5WcUAfVpoRwNKuSnWfG0OQqLxWN +K8psOhRzEp5Pll4h2xBTp5BDNmJd6JMlDcOnC9XXHnFCdZhHRMx1w0KcAUXK +OIx7cMpYJ+/WxeHcYYqIGBCvhNklHvFdHhUB+4xVx+aGTbkwjfBZqUt+5ai7 +URf3GXXxsFEXI8w+MRH2CFYXEI+6HvIP95wQfZR3SrRv+5moJCD/8H+wF7xY +ITpiTv8/RtfEetBfejQhhXxtG7MeO+FpeaBqv+jzCiOivdkTo6Ks5/CBFGj3 +zdnr8XteWbkgetN8y6/U+yR6sdF+cwp+FU033zEEfI4HMvAGNSTeyb19wCMo +ZiLd6wbEZTi8B3/T/jRdMeSEVLDr2jOZqnvWn6rzvOPiKtAcOaGy07xpOo7+ +/Tldx0oMm79SgImjeDuhzIhtWiUHnFCokuO5Z41ia0B9/xteVZG9Ou8sHghB +dLAg71ohj+H29BHMLFLBSR3O6gMXyvnFt0etcjrgWUkx84K318LvuEd+VtTb +fMdwyxvJU56z8M3jVKzHfXcP+cbIAELWEU6Cn4LkcFwpn0dK+QOCJzBM4u2L +yD9mgAJJ4lezlYdszsPFrJYXVvBBCslVw0E7Fzbxpxq2g+zQP0EtT0gzcAcx +wuAjP17bV8u2AKO+85BZLWPBWl8FUPR2oRIWnJBButsVOXxLMQ4WuFc2E03C +IsKhubvpPK1OYREHINyz7FDMPfokaxwOhO/JnIGuEJx55Bu/Y27OPAFTblu0 +ukJOtLJKgVXKr5r9AW7RkPoNBLH4x9OuIYAC1fJ1pJafuP5wNLLNYXSIAKSA +vUMUs+cl30kTuaCYgSEksMJ5ynBWesq5NxFaZr8xa9doZ6MJRT2DxZwB+tlI +YE0hM4ls/p7RzxnAXZh/yCLJ/eFvgHouRYbzQPyZPzMqupSznUtZ29n8zL/q +jMo585i1Mc3NnWS0ccMdYAg3yOmTOjGme4V2MMo3hdI3A06WDFiKqf1o/xLU +SxYwRDeENvA1RvymF8C+CG6tRHAbK4KJi0AhnNfS/FqYa/G1iPURH3Elw8CN +MMS3Y14dPNA65VWPOudVQZv4TnOwwSPo3SiIz0Gr+BEejXscIGGzQUBExHTm +JqC5BtJl3vJE8YlRvhHGe/CRia7UMG0LD0xoG0ujzfJsBofNBjC/PJFTsMDh +CgyLGK65Q1kYA9l0vwoE7krCGCdX4XraRiZWQRhf44URfm9LYNr6olgsY2UX +fxODg7uGIRAES9SJ28QhKPFlCEpwCsSBmE0cwhICBqcTxBNwNA4maT/OmhZ9 +lCV28fMMTiw0G8RLWE4BY3IkilfgqNyHmesxZWZd2R3R6vK5bBvvxgdogChg +s4BHaCi3EUHxlBbGt9CYgYdg3useoW0W1UABKthqkzYrCV2d8L0LUkuAKnT2 +lBUJQXuVlxysH5XDUboyAUdMxpnVxe+VpIu3hFqACnhfOQrDrDhOOGSEw2hf +ZxYXh0y4vm2HTHgJaJjCKMjECItM1LQRvw/jPZ+S0HpzP9rdFpuglkQBhSUK +XEvi2lGXvMpR1/zMqJfZNfqZXQMCIwAYfnY3RYIv47jELdgaUBqpKohZPbju +fO7fzor+WFAxOvJsNTyyf3vYyOLuZnW3g8efNrg64Xj8olrf6POKw6JPssdz +5+eLaBZtzVqNV71VbB7Dsylc8QCBhyMPzKFJ5rgDY+iJGw6TNFbz4ySNf8Ws +axyS6e1mxjVW0XWg7ghNNpEh757QCinGUGDxM994ZfMhWpFsIn/qm8iL/LAJ +HbNGBvLu5IHS7wJlnOsNk6a/Ie6IqeI4PS+q2FFEHja8fkWayIggLmJjgiJW +RRYu7E+GQCdxEvBYb/gTJnYu20UERT1MBe3tB/yZg5UC/m5Ex4jw4cv5dlcJ +Z8JBFT/Kt7sJfODNYwfoTf6cASXeAe8gRZACSrFqUAu8P1TG687DmAlNVsB8 +HRyCoI5hxu53xovhQLTqGKLX4HD0MGOZuSPUGGMorJ9cDf1kIC0QNwZ1zLgx +VNoLaRFTxyFlMYSiKISw+G1AA1LH4iOzMsak4fuUhywRE4ITd1SQozfZ08we +0Pime7XUXqgItYZU+YsHLRyuQB6aQxQdpItljk5aQafxlMwClQK6giKSsjdF +68tuN8rYHHaXFCBV8Uatj6LX6u7FJOFFTFbADRrQYsigAfpqsjn4oCMHItcA +MYZZu1FGHaOfHCpkoS0603ZiVXI3orVCldxPq2RSykYyGq1s5Kd4zDG1/IBS +yxkgljNga8oAozl1nGc13wVWs/Wbj7eksgU0BsJ/qB3oKxVlNWr1LyVxmajJ +jj4wj+PJ3RNicrcp+b0ZoHfN3w4t32tJ8WaA5E1FZn124jyH25DYI9Ebd3+1 +8HUOcCvzu866wCR+myIp1d6I31vRCW5oBXCnvMusAO6SVyG6I7+sOcouMjfj +C4wILhPdm39W9KARwbAXDDZ7wQhmJsDxeQZdn9MwFwmyIuCYA9Jq1R7aG9Ad +Nr+PCk9C2mof01bfbDoXSSvrEL+ZZavD/3qRUsWx1hGm6MztFterWZ//M+sS +0sBx1hUiD3tJkRvdQvGthm+glB/RVMHELV1koRbCVzohfFCEsOcM20LfRFc4 +fW1F8Y6wL4IHeqzEfstK0HTc55D8m51OBDMzkTWd04Cfs9wETcwt8YTwDrMv +bAMhnLUu2pS12ewN9L4EzW3oENcoQvmwlKmreUxdPYvvS0oMtyUxPMRKiF8t +S3G/mkfq+YiudqVHmw4yWYdumnLSVIdWuyRBTP3fnjQQQYySIMiXSEoWjjtk +SSzFTvuEnE4NB/ERiRqgQSJFHNYDezlpqdScBDVckjk8W5nDz6pW4BCbGMvY +xChObnTjcjQB8QjG02BWBFa03WdH5uCufg0W43TBe7iMzVFmRHN8aHJquKrZ +QspGPfPPje7HeQMCMqfwnfnl3ZRKtd5sFTt2n4z35M8Zo4DSAZAGKAXmGUVs +jvM/njCKuJ9RxN18RQxL8IvqD0X7Kg7BkXKACuGzp1SllYgHra2wAwFjMItd +qtIXdpR8YvND0ZiWvyBACM+kniruGlfFSWiFlJp2sfc9yR4OTOOx6Uxjroyb +xqpYoGPPNN6nTGM/d+J6fSdc4qEVxZQg6oDtL73LYZCvUqIkjscKapxoDU/S +lQQUyzIIEIqNixNgYrkB6gpCgeUn8CPIk2okdDB+35ftohgJVz9IhS4UA9iR +wfg2eHzewt9zJ2zEBjA+Ex88wAsabr7l8Wp2Bh49oEgDxkLXFoFZDIUaRhbv +5qNPZPH2M/HWBw+kEJ8EQ+QaOAa8Ao5DjJ54SxAL81uW7SIoELPgVOLFhBzi +o6pNZ3NTeBhJYSfxlHksacWTeRJPS2NALVT/HDQn/y7NyTqOQiMWD7NpzPET +KIsFNu7JR3RXFewfmMXhUf0dAMYyWQdoY+NbuTzjDhpSB//JHLfgM+FkXeVH +CakoN5zjk55Cb+gjNosBMoYjcFfmm4wOrsXk0PXgAYFhfAl5QDBIA4+jcPSJ +aQzHH4Tmw34xvdm3uGfAMYjwcYuforEtf45GtzL7h5HHYB6DR+TJ41tZHoOJ +3JlMZC2RFX5h1qLZbVKnWATDymRfKmscw/wzIJSPIySDsQyBl0tZqWwUquUy ++qGr/DC4yo/8Wop18qPwnyFTfWUbohw+luaMk40SvnoHGL9G8UJev9O8MOJG +uvc4pXlR92aA1Zsqbc3eFqJ7cx3/a7RvBgjfVB/r+V6P4MNNHPhA8ENb9n1v +VPJX0GDf/wUYorH1gAmIqIXrvLPI4PwK0e352QhGdDVnWE8jg8ELvr/g7Khv +ASGBQwrIDwYpDNfjKYwQw36w0JxvSxkjXmGk8GqzH8BM3U5zVc7fzb5wnpPD +0HSOgRKeNwyhEtnRn5C+CBjV85VdiYbM2EGwxOB6uAZx7fVWWPHt6lGmLc/X +QfNic331lKIaCZLQcrgDYkyu3c2XwzYsAlvcYtgwhEVcdp+5yriACJLBX4IM +1onAWgZXGhDth8E5kcLlhigpPMKTwp+yFP5USeGPUQpPxSEj8IT3oCc8B0EJ +uBrTQB3I4Vcxe0p84W2ZH+CeAHphY9a2aF25nRilJtflN2vCdfkTdNRelIek +q40kvuZgNBkKeFoGkvg2wquoWfIwrmHBMj2PeIDyiIdL3BpjxjyajximGkyy +D8tKH1DpRqALXgwekYMUYnw8hnF7FTZBkjg/2SRWVCW1aKnHYioUQA1Q30IT +oQZYHWiAJJQyOUm1USwyWBe+JSPExcZGbBsXlL75CDFYZzUxyKYv22cyTCfx +Ed1dSWSOr4XRGc51znDnfJjBLWf2kAujPgVlcO+AfWMix0m8yNrhA7NPfAhR +4wWn4FQ+aIWvN5ZBrBj2Azj7j8ytFB0xV2KwrCABNb0eHsytqFPx26d4pXei +DzPXResv3hJ9UDnXusRgAcE5B/aPgEBPgeWDSaOHXdJoJyqKEYiinxRLpW9M +TaUu91kKufnpdOIYdSyNUN9aadxOddHp22BSm7K9FS78JO1TiR/GTZK4eYy4 +T3dD3BXeEFPYiZz6NFES2+WgJbFlhxJuhhveDh5IpKU7qUAj6IjDOhjdyC0R +gZoqdswERAPWYofYpaPcRbOiuV2CZOzmPGvXEKdq4IgUSfywORKHFp5J+UmF +p0XPYeIakfJvm0/6AyOJN8jsHTjF5vexnb8jWQyZSl+xLP5WZDGzFNAnBY8k +Qh47noJn8lgao2u8hAnkxUQh//Ni+eifBeQc/zPXzef9ZUnkqtY5xtS2wDkO +uYojyjW2/XXDWBoPrutm8vqrQApPFnMxR08VPiEMhSKNZe7ue37K/U74CUsZ +30pOVTBz9xUct9WZMjZH6b6Lh2LyOCSKytxdUdZsnqp5Ca/KOzlLaQs4QvA0 +Wm47Po3CDN671XYjM/g6sxSwXcAVGp5I4RiEZ1KUxk2dNIZ0tqdaHMLHJgyn +aP1LNIrZisfZPYauOiCS4bqtHeQSJDI4yaCSD6dOJpWsYGVPKd9LI+7m1EVH +GVUyusloJhshq9Bls4B7gU4221cpOJvJUi6FzlW5hi2PYcSOtXCZMtG1O4D1 +NSsJos4d15sixXsKRzwI3uAYX4p76KAxB/PPGNGb6su8700Jru/1yvkl/hem +Adrlhe4v4Q/aASb5WxvnvyE9Eabl4AWoS/7FKIHBCe6efwFmpfXKP8es97Mw +KRiuwQPNmoeHTuCmIGkR4kFFBsOkwQKOlYDgmbc4fGad2Qc+BCmMKYyCSHAI +zTYXQkPdk7Tmf+PuSUxfhLgJcIfhaiuoxDhGJaRtQzPEjEpgp2MHiZtgir9F +U48f/k4CXaw7TFN131pEQlKDudwYuKX6CWiExiKQEUYJTINzEXcZ+4NzIRGx +PyQiBBEuOyLREKYoiadwiQsqTGREaAoLHbEYH4pACUP3TlwJb4w2Zm/BG/Ka +8jm4FUCwMHRs4ABCPb4hm23gBRlA4GDG8RLM2JZuwTE1rPDLe1Vya7yq1sWv +dRgTR4qpwECN6Utrl+7lmBeglemiKI7BJIY5o2Q1LEe/qGE/aqJBCQ/EDfUD +8fokRkJa4nRDXEJxRmKkBEmChuwIN/AcYc1HDGd5MIjzcB61IWqohHP6IOPl +kGGnhFslKWEcP7gcH5ooevFis6VcgJWSD5vb9DCWDc/wcN3L3Fewjh+PYMDu +k5zTMW4CerpADoAzhsf9C6SGYRgIjlnLS1zTVqnhBzFw7bPsJ7lvao759pdg +/AQg8hvLbY7WVNwZvVeVcGI44iCBeL569MAb3nU8eNeGRsytIr4jjSIOq1aJ +3EulKpEiDnPZ7Kf+M8WAjnHpFLeqdAoPFno2SGHxstnALP48UMRpyXqev/ul +mESWgrREcXg5rB8sB+iecWrYnzf1EydEDStzOKSHN77m6OGNegkE1RrISszw +4tVsV0zASkh6isyMNrAEsR+S3doLyb4WT1No/dZq+F6jhsEgGszRa5P5kgfv +ozJXDibxGvN5b4bZOzCEuEEV+qU+tYr4LOYnzjGXwHMpmWLd+Xgq/ohmMc3i +WY7iLZdSgTFtOJPnDGNhKf4SlgJn81RsG6ji5yvjfN7fs3k+z0uuuDRZFUNq +xZiafqvz467RGWfyBsUVMVZ3QE5xkiK+k9mJ2/yZ+B+QKNYpFMRNHOSGZa+C +A05kqZ7jhmXkJsoPjj4HkzjrCXxDRaLYHJGURLHQy9WHoxDeSDdmbyZ+ojyk +UtAbKeQ0Qsb+a8osBmMIcCtSxV+zKj6IGY5Trv0et5GJRhXDVgKmMSjj0a3J +OB51A3EVgGXJrJ6Yx0NvUwRyoI4HkjrOgD0oAy3k4z3WgngLMJbNoWuUqEhk +s02ZPwPq4iSRyW6+T2b8ZM7vfvj3smh2M38pNJdBBZst7uIGx6KSKY/tlDLn +RNft6MrdFZ1hD8rpZP77u+wH6/+myACOLPsgBjBNv5EabsNquG1MDQsL0Zym +4nKNIs5tyobwVWgI34qGcFwVd7SquFrUBQDhvIrRHeYIuxOV8YVRt/zzox5G +Fd+dfzZyEvebXz+z9vszKwEPRU+YPQCOuImcLjyd1TFEMi7efQrCwzBRB11z +q7BvrnS0lQPY8AGJzWJRyFjbs1HxEyvosQgD2V7hfHKztv+SHAqdRAxrEwzj +/vWRY8IbqTAUHMoGa87FHkryS1D5eDQKGQBiDx5WzAR2ZzheAm+tipUAefyl +ZSUgQfhogGGZoHucmpJBHmf78thN0k1hZgKM4pmYxVqERrHjJuB2DJkTueaG +TBL5bWYnAolsbstrL87BuMb3hK+q+RE/JPl81cyk/HKWybDOYW3TxN1hCxZ7 +LMXDLt1KjOOOw1g/YD7FT5RsNT49YJw4fRc4Z9eHGiGWT+GM42ZvGJn85r+R +yfEcioTqAdEFZsdgpaxp4mKCqGISIaCIbb/GLG6eLVkl190hQ3XCTYhKfoQ7 +Npgixpo5f7COitc745bmk8QtWCU3xm0HthvYZrqZi3dPs60AegWzB09wNeUs +rjN4nS/UmNVotoyivFOiz3ZSoy2VsP8HJQGYYqKU/xjFZPFdTWwkBVThfF27 +J77JgFL+3ChlQIrgxljAzazbIZW07MZoXfnt0QfmCMRgpjofRy+bow+OvReC +aP6x1/1Malk+7Q5k7IRq+aF7XFOrp5ZViNvtXmTFry4m1Nbb/ehijCd8bytq +6LMPK+6+pPbGWT5X0ZalchsdWbEoMI9f/tjxFCq8EJeCkcrNSjKOV+QchUwO +AwpDut4W0XEScRjI9npA0+v3kgWKoJ8T3A7ljURwYg5k2zFSfesUrVLHvokk +xGnn6jjtVtwl0wSPVPiuQSZ3MzIZ6qYgh3QQR6tMYFIe3k4XsWH0Nr+JrKfh +u1RqYrRrzwlMGp/Eavk0PBURqzBqGU7GrzeRWj6Iavl8fD45JPN476mZPI1X +vO5SLI684ue7OcV8sfWRMdFibkmKWXxkTrRAxEIUsyvD+32km8uzM3kWrSAv +6zdp9eDECiq1Myd2d27vEG+rXXByS2mdzmu7oh2d1ohT3I4pUDGcAvLaxDvO +Huko46yptoyVyMKlSBpbtZwZJwrhYk1PqOwh1yUPGdIrYOtYYE5GVMyMWMAT +FFy6tZc8QVSz2VZGt/wFK4FgVs+q5htZNbej51emkjPAeTICVJEXRkga6Zw6 +xYrngdpe7uaP9HEYhvlnzFFcChX0cSGKYaFlFs+OyChlBXRvJaDLN7guPWis +uppLW4ncemcXZQhbU5g84ch6wjd4KrhNAhLhq2FozKHUNMiIaIr4nuARTg03 +4syIetgY5zAJUsSd86uwV1yecyQuNMr4PHMTJmSC/OKzcYSuLxPEgAKCZ0zo +BGFUk3aTAUS+MU0ZwDawlJ9G3+ajDtAqOO628zvSbm7x+YT3AauON7A6XkW3 +ZEyrwRafsi6lDaYIxEOGNTqupkpqq4dz6baxGcj+bm7c7sebE26kgC1d08rN +uEIbnRdPLOo4oIph/SFCcRc2y/neMStjmztc/CjdfjSONUXMyjh7uFXGaBxn +xY3jZGU8wyjjWSgFijKfN+t+HmIUlMZG43W5mctwAAnUMeROwD6wNXON2Qs2 +IGK1IXs75jmurpgbvV8lP3q3eqH3ngQ9HbAPQG5xmO0Ya/jpQDfggVJ2ebeT +EIhWcHpbkpncYfivCWbyD+69WeMVugk3bLWb/5mHXEKalQwhwXx+i5iZrBTy +WzqXgiWBwiuLVchriTJWCjmVWqJEcthPEI7e66fk+Ph9fMaOIicafqiLl59x +Zhqo4606cuJJ97wMptoORxXXsur4QRvI5mbsnDqmJroOtrwS1TEGszVVKuIy +HNvvai7gd5vLdx+msWA7eYpVxFwmjN8Co62Inp1BNgBVAZO4usD9tzcyySAz +ChnISJy9g9C2/za2zXXwxAplj04hP4FLpShzFh6DELsCnz6YRRBGCIM2YBK9 +UYv85JcafY7GEJhC083RhlEr5kgbK7n9NzlqSD7v/t0deaw/cauS9Uwef+pd +7IWw5MgKrZLbT/kmppLboUo+QEGGmj7Gi2KackeZxyOVzDEVfzJpFJjJb+Z7 +nXaqzkbRxsUo5LUC3a9TdJGOYwneU7xbYkI/hy6nUzfDRol08XhVOvM4kkM0 +cCdBbRQ8qKNVJH5bvm+ijFuiGeUr5IqokHsZhQzH5kD+tscXcgwTzJPyEJ41 +k/kWCN95jvnOC+FYNBJ5L8ZUiEQ+KzpgJXJAHwtm8T7LZD2cB8aykcm/Lc/2 +ZDIN6ZVTQ3qAWwiNnCSTVUHeDDeop3ELJ5NrOpn8pDKVRyhTGY5oJZEtYtGb +Oz44pkLjFYduDZ5/mzc1xzabyU15CM/rc4YiOwpww+gniaaQITyIbyorxPE4 +JhCnoZlE0UyLAon8PkvkTeZY3BattZQhva2CqQyDea/X2euhFs5YJhPpOSOT +Z5pjEvYSeHOFcFOIstBSeRwbzHALf6L1YQ+/EJPZIhhCKTOGIWazRTHEcPaR +DDSdjaSV+T7zQ4DZ/A1Qzcc5LoPYjAzQzhkgnEuB56zH/SrWJ1V8dC3Ox1lt +fMNOBJQyQAGnTk3jBPtusEWD85pnACBhJDS1ZzSzhnCSDL4NZbA2hmvx4Nyl +2LLRBaVwRXzfJCmciQYxoBMQrQaDdL2MFAZ8AtY1mMQohwvPxGbVEWgUUwUd +0MRPm3UOknimmMUsiWHdw5Ddm7z2wTDmGzLRxSCLVZhjkiz+YZUruJSud7jy +UgpFBcKjZnCbxwQavvtdD9/pJIq7ZPjOxbNRYaTfma4pYxfJ5pvGvixWZLHI +Yi+MWCVMQKhitb6JRPH+gKXYH0uXKEkSy4DdVESnPsqcjldgIIt9w1gzFa+g +JiBZ/I6VxVusLN6M6x9irGD64IPK+Vh2KetfJhCgzgMi2iDEePbVoh2+Z+0A +EVcJ0ljVIwh13EfRmZ6zpqeVQkdtvJ7dDwxkkMez/CoEZC2ULvDq7pLI42W7 +EzmLfyeNw+q64EHZmzbyKGMwlaWv9nKvwSAcOgqNs1keYNzQi52YgIBx/W1j +EmTxYJbF/dk07muH7dLK4phpfD3e4m/BrrorcGuC7YgCbLJxy7mvoAwmswGV +OZqzXyGdbSFvHys4qGZnESVaAYGFJT9GGoBrRtKYCn7+nFsRyUigH6HTDlyo +Qx3MbbfpLdgcCcsSjsT9FQfjUQhLZg9K44WIF1Gy/1p8K1lzcQ4Grrx1WRGW +Pb+sgHrKMIW5c5o5H824xQhOccPc0i5aHivkQg3mSZpbTB4PdvI4pJC94TzL +XBx0yYWJJjLwFvsTb4iePF5E8jhpKC9N+01gIOd4EP6/k8ZsHK/XM6jB/OkG +lUOhr4abk4zjkK1g49heBX3j+DImjeuycdx41z12+M7iQ8hX3ExZxvB9W2lc +T0njrOi/Rhrfz9e+4Xxkwvc9k/P7FysD+X1FHAJrkbdHuUZ5p6ZSz1mFvE8p +5BBEBuwCjGQLI6s5PQ0kW/zitbLWTCb8gub1KBqZDShQyQsqRP8YlfyPbs4L +VfK06jYe+chkjkae4JrzLHohRrLGLgbUS6OQVVzFnQkBqxxT8b02kO083i00 +G9SoEz3xKgP5KziVq2n4eAjGVAB9RXTi02gsSaFrvp3LcwoZn1Yz6Wl1XTmi +D8VIhjY8MI+W8yn5iqhkxi8ASp4nKpkRDDGUrVJWpjLMOYy77mc7w4dqOTCW +LZJxs5vpE2gZ0QyZ7QM8I0A0IJqdneYMkMypk6IB3YJUDKE1JB2jJ2hqI50J +2Tjeo5or1WtxDJjFaaiTTy1zdnTjznZHYQgjHmEkfLvca8yxiJiEUsTULdck +QCW0Kq6jVHENjpSoyrESFTFb7Y78ctGdZgmDMgYKEIziHvlnG3V8Fkbt9+ao +iX7KLB7CETSjGDKGm/AkRinA7ZlljrXnEac4xZrGopDfxeGDk7C4arPckPfQ +DXmPOfbkhrxv55lGJZ8dffWhizzHh6OVrgYe4mksXrGwAiJTOECABnINZSDX +iRnIEmSMWJNAyDfAI40/jxc2Siap5G9jKjnIY9PG8aV94qXMCTUdyaZxMHOX +9aSnkD9VCtnN3T3DAcWUxyYKWYxjh1UIefw6kpg70qrkrd4eAPN4sgfogQSo ++piPUej0iGSjKrTLlqSUOfWqb694IGxSbUJIJevhpfYTvovP6c3wy6FvjJVD +a4nAVPJSVwwN5WD6fdnHMH1pcGxKOWEmz0kDVMVpjbOw226RP4rnNTjrSIpQ +JbsxvOQmjySV3AfDJpugSv5vXCVbAPlG3Oqw0Tn3Gty+7DiehZDPR2rrQY6n +GMkpV7ClvMAtQJhytYe2jlxOsfkUM87PRirz+9XnRz+vcEr5iDnK/5xeFclI +mAn6rW/D6KeO5vJ79W1mqfbAZQnLb18FrZRn4tKAYxA6zQFKhncTGEEV1AKO +OwSTrZH8lfdOAu+iYPJgMlM7Op5ELQ+Qt5KeDrz31HKAXIRm8m1JzdFJTSGT +v/PUsjSF3CxqeTar5WBeD3GLxGacj2OzepJ3nEwlx8dVj04pr09QyjKeCqiR +APkKxt+g41mSuu7o+2+4ZZbFihrEDGQ1j6c6HGnsVDXXKMQCQqIgGaqVzeYW +pdyU8SGnlKHt6u4CeiCBo9Q1XdE3PgejS92Y+ruc2mRuhanUTCy32WX9pNLo +J0G4G8Qea7UMZViglgVSBuziuzXn2xhk5ynTKM8vbytYWRWIYNqLByxrxQxj +fOwrs2LW+MVfsy/xAuBc13QNH70Ym+ApD1d1euIn25E9Kgn55R5OsbDNtZy6 +ys219hmYvWR5AnZlIDKmd2fgJXOgm6RXXDxM4RYTKMEiazqr5fnsL2m1zCVY +5rTcmLUlWp+1w3tqBU9Z0IvltQlWFsVMwDKM8dHTK8zwlKSaJ5utBgDmp1r8 +ZC7opJohOQeV8/VKOYvPzEkYgmaw12wU5tBbQeQOdX6z+TOjn1OnWPU86Hal +oO9UY4CO1UgR8Hwcm8+/Yk2BOc3Jfi6Fr8OV6zY/BsFc2grmm3e28blhnKS7 +JgNMF3M4tlcDdb42FmiioQInnGNMOLHSxhagqGB+5Thz4iKjkf+DBxTo47vy +y5iFfE50T8HZUW8FU8AA3gDRyBzLNkqgCg7kf5ozagCseM4s+Lk8lLc40Mkr +mLVayzkVdvXvOQFXvyDINsNGRTt+p7QyRrm9xdkVZjXDCv4H4Kl5PLAHq1Py +KxSOjKMBfclVxtWGOHJjLKTEOykOxGr8n+6k39tA8ZsoJ8asNoxPlAg3q5U1 +gsxFHgqy8N1kHdP2sK+TK/pO8v4EnQz8ZElO8sdKJ1PhswAWcz03GSaV8hCy +eA1XfVqtnLkZ019pWm8XvSpV8V+VltXdy7VBZuXjqqcxhZkchg7jCaCXwzb5 +IUl6mW03GBW4LyjfxVKQgeo1WomHW7FQ+lCAJ6tSaTXJR7VizGfOjY8x2awr +EQmx7OPi9bIIhGS9rGPdlKu8dhVAF3GNIJNKoZsmD81hoHHSsF7cUW7I8/sN +QCtvd5EVdSSyYqeLrKixq7/CkNlRTqOVMbYi9zaM0xEdgVo571rc1uB6Lygy +bE+gJXoWnGu2H6clxhTSI9Vs7kp4lQf3YAvZip1AJBpgywCh8M1Gasy0ehkO ++gVGL0NtvDmkMdKif4Popy4to4NXEZIM11fgm/ZVGIoWktPL8zHSAoZWt5pl +gNn/ZbdHqyrlmE+eBvmWcbrpi/YBBa6F3/G18Ccb+aavhdITgl2xPdwjSqJm +Fof50WQAA3mjx5nKD66KFsBIF4wcxL/RtXG/WQY+gxR2hbTCST6zGFL/46FW +310Op/hIL+daUt/pZUk83Kam9zaplMMw1i3Qy+E62BA24iXjyO77nxo04o1V +US3DvaZHG1eY0wdLCDRwobts2pjvnF5OWqTVyz2NXoYH2of5SH2C74Rhyqmd +Z1dHplhLBWwtfcxHJtrLu86I9u84C++NVjBbTpkt5tUX4MMsieYL3XQf8Moc +B+dEM9hRWZh7IaL5iBLNf79Eqcn/KBjjn3kJ2RcYC1eNLCwNYkxU031jnWD+ +Qyb7RDAPVPFvRjAfvp/TkP/L/dLdGL7gdtsfb2Lbq5Wzvb5jNvkgWl7tOHaK +2WQv6q032VjAPEJMFMIXw/DIpUTUiWxBzYiKsubwMboI9wkX9fQeVQBkrbf2 +0vqyO9FeWoX2khyZIpo/RpsZ7t5LzV6yxIjmxYpbhiP0BSOa54hwNkfpDCOa +p5t9BoWzuZ9PYeE8yRytE8y+g5ZzSzcBiCyzubunEc8ZoJ5TpbV+JvfZ5zVI +Ph/vzGdnQJsdwRzdGaCgjehVGpr1cync6qrUvfYYhHJkhXL7nXT9vCU3ZCea +BPyEdosFJxZVHHeMO+dVxjDiLnnCU2QZVQyu8X+ibvkXRD3yz8N00bvzjTLO +Pzu6L/8svOo+qNgKYKdgEHeocpDhCjyOh/GeZux4OrrIdCWGJQ7TNRBb8YpZ +4q/zUocHU1HIG5m32MEYMuT578GRhFP8kQSJtLG18hfgVE6okpGhWqTZCx7a +m2iORa8FpF68Hg9aQDoT24TL6/orVWxMyF6ogb1Eldw1GNT7bxBqHLrJzFvA +cF6lR11uRQWpthsSlD6HuRVjlELWYcYKQUaFPNNzkwvTusnCXLyFj86Q9LiV +h/VAJW/OYpWcTffkVRUo4gZYzXcuLXIjCjrmBrirK6E2CO7EMJbwPWVcXOcK +NqUVJEkp4xCfeqC+h/Mueqo+BVc1poMApCmEJ5tCTLmEcOR07nL4+BxGXhX7 +6ExKGdzhZLG83hfLAYKROKzEblpSrIUfdFyyo9wgDHbT3IU4yrsSuIu0KrkL +hru5Jrw2NNSU15xbNRtbJLlzfmW8vPcw29O9BWXwgg5De3q46QVOw1nO0wzS +nlnAqCZ1y5+Nl+oflFLGbt2FFfDUBiTrjzE1o/8Nrh/9dHsrs5xvxz5peHQ9 +ULl/tK/8MAx+AzxZlDL1AbyJj6ubszaYE29rtLriLnxMeZuzvl/hrG/tLnuf +emvK/h8RosryqfcgGr9EtZzAY9yWgCvfOiYhFY55jPZJPMasL5MrRNKhyl4g +YlEad5k4DEvsG6Us7XkNRSl77nI6pbyalXKII2mlvMxvhVSu8uWbQiw5HNqb +bJmjJFcZBlRr8TdPES6u4qYpVtyEod43BvEtWilXSqVeQ/q+Z/755lMHL4q6 +seABZRzmoJ6OftMLeJKe6hnMHzCOsZmHeOQttkgL5lwSzEDqi2DW1DIwGeQy +X+CJZjvgJ6KZ6eXfoF5EhcX9HhPN5Zxo5mgMGPT7W0dj2CI+TS+7HGWPXBYm +Y5Sfm6wFM2YlQ4VIHzrJUTBLRrJlMa5kFuNqpimvTagLaZ8cewF1IcEwH47K +lx1haWU70IfdWXMUj/EyF8m+6RKhsnjcPWtLtKEsDPQwucgj7++yzxQTzWZb +WdLAiWZIi5rPA38onI1ohov5rKu/xdNViOZnWDgL1Qw1JE8J2SzOsxHOsC2R +80wRGlhPogQ0sxsZIJ+NkB3mBDSY0B3gzwR4dib0ic6E1vAzGdEpEdJV6lyb +fpCvONF8zllRh53NcFXF7WISxx0Zp+hkc9kINI4L5Io8e1fOCORshI7vZLSi +G87hlYnuUnhFkkgGC3kQYxbDOcvtCfR3yEaewHV3oVBGK7noFAslQ9bjcs57 +XMFLHcTyBqSvSCzL/bhIW8rcoymQMt6L15ckmBWsDCkX4CE9U42WocYwBhH+ +lDYaWWrzMH5cUi44B66phpUh4SJZMHsIBuIXvSh1RmrwYpZyQtDbxdIDPSQ5 +6jhbi2WJO9bzehz2FoOT45ZyHlrKkmrxOioDSrYQwbwqLphhyZfbhYNNkICD +KRcWxfoYm4R0Cs48TrsQFGOKzPNZJaGGnkLR3INE80NpgrSkbsEqiIT36XjF +3kGVmpVgMb+gLWYNMOsqheAN+nWtEmCuLxdVQomieUuyaF4Xn/Bv5DHLukL3 +aArDkqb8pwXWGgvmbVowP544v1erxPk9FhFot93K5URtVcJF0wBWroYYxp1Y +MnQuJqsT0Al5cGdETxeejmTXAk5Shzv4Khz/PRGjIwtBNEO1NCRebKFmTRTN +7xGthfTlIgjBqUzwMiAZw+tFP93ZOvr2iq7RVzUAxeiLJ+PnF4/A9pC9WC87 +E09CEs1v4JIAu2hD2S3Rmgo7eYSVCrRkfFVq1GfL5y7zfS0VliGZcdAsEiZh +3E2E3wOKQEpEMwbGQWakkcRmDtCM9kGccvugmvrmGX73HqIZXozyZ65zLykF +IwYwx19csElEieYGRjDXP2bRDGthRZzf37gseGJJsJY3JwPL9VUYXBxYfoyB +ZemFlEuia8LBC6KaV22LiRet1eXwchbNNUg0v4uZUXcZ0dzLnMIQfgHpqXDi +jtlNrdPT+JF2vk1NJQLpPXOSrub7onDMuZKEYdGMU6zTDKfp/m1n2UQM5zaf +69xmAJpXK7eZxbO4zVY824QMLZ7LqiKSMCWDEY1Yi7VKWha3+WnfbUary6Zi +SCKGQjMkTVmEs4z5SWZckPCKcKXt2dPpyZKA0ZFdZk5PFizjUo1lCMQ8Al+g +aMxPkjBmKJCZerZy8KH2DYtnAM0lbvPGbHqgddYTi+fqSjyb0xTG/6BsAO7k +LzegU9W6zukENDjPMBJoRDS8cj3jYRsiogl4Hq8daME3BHxWLjQC0AEEjSOD +HsphtDRJ6RO0lHbzg53N34bgjVLRJbWv+VeK+TSjmDvubKJgijrBCF4NSqTI +r4Zh+zSKVxlfdUQd356fZX4XYaHHnWYNQqmHhSvyz4l6FZxjLrMEWNyvFPIj +nOUGY3qDC+nlU6zkJ3H2gBIsBLbwVTKRhDC6ByXRLyno4g1Wyu+xUl6jlLJc +jAsEvpBRXnMxhtfUfRbAoBw46db88QMqD/klQS3jeO7C8gwtV6Gkc0i9GK+L +ROpiLtxv/bjb8p7L1XhfEzUcIM3u18bSZb7T0PLltwXAsg9hCKz8Jahlnf+m +rGVMtwgikfeBWi5LkPLnth9vJOe9PRlTyp8EStmN8aWHL+DlyFnLr/C6Xs5q ++R3MgYNQLKuWswhYRrUMGFY5d0H2xns5Fy45SZ1gjGcxL5KyIsdxkBZcbD3F +LGUMXZVi1uN+D/hzUN145E8XlFmr+Unfak5XOBJ7kbbxyiowyxv5+ygGZYTU +JvlqOf4LtFbMW9Mo5rWsmHX6ha7Y1XlYaSb+0472zVTQZlKH3hivQ6+2pxqo +YwzUsqQAiN0WJiZD5RGEvsPYk0u8uB4HlH1ouY7Z1i4121cls3Vlmos9VHJS +D5HOz5pWyPlZXMP5ru0iOxHz4XYXwoWbu+itYv4PK+ZMfEz+czGEq1ei6d9J +l0Z/jKoT/dTVKObG3ZFWPABzPRUeiz4v9zgqZoxPNUvI2czL8ZGVxv0+jNaW +30G1kubzRyijDlfOSq8WB76A3wOPpHA8QcCTvKrAMYNgRkdOEE+TjCGqGTkk +1UFiVfMgdVlMGP+7NU03n5t8/SYetxyLWv4s4dVFxv4+TlwOcSjDr6Mmpv8o +FbO9Pa5Ut0cN8b+uUsTdOojXTAu4PEOtAW0xjwnikwejxVyDv/t6DOpLX47c +EAHGoIQXGfNrGzynOMXcOb9KKrUJx4W64wWRsMf++JhL/tRTPAI0U6zmhLyo +NfxYu5WH42H6r3BPwGfIqbrjDJu6DMsDRoGsal5P6cvHymn8ttzvKLHK2ahm +6SlB5WzHAc1vnijngNMQy3kKjwJCbR8zGp5qHlE3+n1oPV819w3CMTyYmRuq +bcqyezz+zp7snLDchJupG9xBqa7IZvQypzcHY1yiYOZyQymJNctXzQ5onssP +uEtUCYFkSK2yCauUIbUtWpe9E6eHAW1E5czjgHCqgg+FwRlgPdd11rOAzosS +1PPzrJ7hYXc2Bmp8E81o5qBntKCvUQq6BY8MWhtaFDSPDjLHMUrCNhJU9Igk +FR1AHQhFqyCOqrWaHTOYcdo5Z0add9ZnqOJSrrWrSt0e6BtXMsdJBRtWAeqY +sGMHWHTPP8+oY8pxg/UHt9Z7eUQP1uJDoUIW2IIVsvaRx3E3yCR+HnqG2+Rn +8o33Bb71apX8qoIv3uX1vIqfjzbyTViUsgwp6HVNvjKFXyCIsUk10fNwgoRg +WLX8mvKWF9I6JGy5agxbxpvpQN01wpmMXfkmykDGD2EWo8qISxrxS4Yx7g5w +ZVHLXKcX+MoOUzZKudxgVsqSjjyS05GfDCr0XAacF3iRFWLKSRDGYq7VW5oA +Ygiu/D7ehrdkrrPjfQRjbI/Wld3lGCw9uMBjfnAbDlPWwXB7jgcVMCuyuTPd +jkkxB2N/3ku1wBmDaQ7KtlZjS0MgGSap+SfvZToIyhBzLQZnBMaaVcwJEiFk +NgPFvMaNONVboyb+vKIF3b4XFlG/lpgR1ygxCCCpaU+p5W2cnGwVw3DzG0IP +09w4XdOqZQoHAOXQxKhl1zpNKCeNPnVQI36MLUOoOwa5i4IAIOMSDGrvbrYz +2L50YACgy5N5+9HFDLDFrOe8uLzdJ0VFBXTp3g+K2ciBb6Wh02wbsGVgbs5L +RjHPp20CTK0/RteOfup2ffRN47sYyngUSwc+KzvSLK9xeAl1inkJK+YVSPJv +yt6M1VurKpAxZOEM7tpZwF072meGS+JYdUkcEXzyFmeWTJh0qrlf0GatPv2O +aRqtbw0y5WxJyRSXF5M0BZvUzxMvJon7zH5bpcQp+mN/R6eY1yUo5vD2KGtB +4KTi1wEliD9rvv1n1I3xKc5GDKv3CMq4LGiYbMylIxpfhhtia6uYBUC6VgFI +8r0bxfwGKuZu5pOH4PA++TQkNJinW8cV0kvudPam5vNp+6rqHxBAY5Onmk/w +IA3Pi2LUEUGNraKaGdTQdDP6zdJdcqEL0VBRc4djyjk73u4nylmnMi9kWGNe +AGvISOC0apTGDH6zUs12FFBa/EKvuW8Dp5p7sWoOiOZD4oe1TAI0braAxjcM +aOBrMajmmkw0Q1iG7SZh1cyBGRrSINU8m0/eBXziLuWT9k18vdV+MxXZbiHl +XE4r53zcWuSEhRweGQ+0vrOAG2bLgZRmuKxr9SzU8/NXEfUM0VSonq3/fND6 +z1MSPOgJdoRQwRzkQ2fAPpY60VrRCSI6lQF7XOo4N1VIoc8Z6EeXiqrVavov +XeYzo9t31kBtTEFu5VEf34HwcTZaL8JWwGge2DDdzSojvgL08dmIQ8GMjDjI +fXmWQDgLrZE1azGaU2wg2mIi8xZT1eiedZMZUF7II3zCXWhHGdiL9/fA3ZeC +4Wgll7b8BWrlotLxGQWVo27vvprDeF9WayY+sMLqRDIR9TJXVIO7LHoZw+Nq +IK2IGefDJFeZZwV6c45594RYjFZX295LBzAbvYwAc6CXMaQxrpe/Rr2swOXq +91M0DXMYcWeZGYyyQ3iuYHhCk8iYNCN9DljewwyGAMtFNv5CGIwkZznkMCRH +WfTyRquXIU8ZV3T5XLOi8/BB+r2qspp1NM6nWFcNd9+FHItjuzrNCoXVOUlG +/lpSR6c8VGPa1m0laObegXgwmrmHeqT22s30+N8YNQM1KcgMQLGg8gK88T8d +LrcX2QyhOONAc8maGcf+VidoZl1EssbogngkQMxV27AsqOhd7DtrH6YDmKcl +RGIovbx9JOvloU4v88hTbenjA6dt1734Nn2l0ctX5/SImhntYMf8bCSGjPm1 +UmBnE8TOKBajGk4hwzYnY1D9uMQIHOan+HHrOQ6Re4Wv5iAYNvL2Qs329BAN +W8lX6TQzPBoD0GwO6j/NVvHHuFrRT92NZr78bnp4NUsSDsLPyj6BS40eW7Vm +fh2XCUVlbIrWl9uGdbUrqxQgxw9W0GtcMyDR4s5p/o77/HjSVQp4mM/wwOau +DDb3PJwINnufvujmx5xuJrfZL/frMDp4bAl1c1LQ3Ox4BnOS09w6QJXiDy+E +KuHDiy3pORbNnPTi8o6Kx1ieZi2EmlmPuzqHWcb9bJ64ah+pZeH9h+1dsRE2 +kGiQuSuDzFoz69HWENq/JJV6Fa+J4Hr14DGifnxSj2D3aiJ/+rM4X+5Fjl9e +xkvgPeVMySQgNJXkqaCpj1g3Q1PBZxKhIYBzjNM4z0HONnyOHOcfRTubk1gH +0JF2zlLTgT6rYZux0XUOGk3AcX6hGMcZdLMGnMdwA/bI2h7cjHHMEHoluhmC +r3rRJKAHNrczp3xbaeDV3WIyzK9iM/h0/7peDwabpaXEXK1xEtDo5gqDuKkk +1M1T6ETOmoUkJEVoLGKn6lUeqNe1t2uUduaTtixr5wq5mMaDb7lVHbPhOc/8 +rgvchkwLon4O4GfSz+JAu8iNmcJwNDvoudCko42GvvYHI36Nik6domI4WENf +xxqa21GebHVYpgrNPzPqBiOPjY42ytpa0cqOrl7z6n9hMZ8R3bmrIiLHVPsB +svg8C1b0MPK4JydXQO7xPZx93KdA7OMzPfsYYKgQskiSxxN2axuZEuBm8Bi6 +YMnz+YwCibykiAr2lvEl9y2+6K7keT4BL6CMUy68udpSLjwpNpmwnxcuPBN9 +zUmRSRAG1o5wchxJZb64MojxdyiVZdZvOC8omBRIKunrqBZRa1lEfimmnfUz +Uvmgrh7hUj6LLdcWa9nWVLtMZU6Lw/I9sZVlto87qT+3OcohgDFWARgkk/dK +8gVWi2iZ/FyAKjtbOd/iymQr5yCE8ZaFMODSK5UjoVReLwsYrGWQypizzAly +AmNIJZFnL3+BF9znBMi4hgo87TBUS4KlYnK5s5PLj3b/1fptoW7QL9QxlNno +hds8uZx+BrBdmhlA3WaWlMV8XYgys6dWklyuG5fL9WNyOZxzeieYc5IakqPr +8A2L+RpwIkb9YNbPJmLscIkYgHDWZIvNT4/rxehyDx9d9mSDQpdzmykYoy4h +nXk07wf9n70KytjX6eGsGSarISgpNHtnz8nRarPlwPATNpmBLjCaAPQAvDyH +cvkwdnpmY83C34vK4xkNYMaRCTWjn3pcH33dqBcN98Brq7mxUsjqBFxisKzo +vFvCfbYkl+FFFSpK4EyD5QCDO+D/YOS4Gnl9QdP75tNHFgnaeMzZAqYMHB0W +zuhEcU2SNBdDmouZBfQk8xBu6rFskiRncGiM9GFPUNnMAaPULoQzrM2cZjmk +sZmbBTdIksuEMtsl8QGlZNQpUS67cEVfLiethSBJLjbzFybJjQueV4bZ77+m +zVx+yOaLO7nc3Zv7a60S5ZLlck1gK1OpVUztwyPx2ThU9BCbW8P4tB7Pp/N0 +hTMv5lMYXlne4dN3tVkG6/nk3WbzpiieGQeEClky82OuSOY4pKHsZgE11gSg +BtvNTjZnunYTkc3KbkbZvNQHNf7WoIYXqFHFs5slfQ6zms2pjoglW81S9hfD +mjn7yoVnNI5+AckcIs0omcPJ/hvtSe8jzZw0p2cBrWQ2p7i1mp/g03oC5zNP +45N5DkMaC20VrjzsyqPuVpVBtRkzqLZY2QyVuDAwBPwXmVQkmz3bGdtO4tAG +SmcFboB0xsANiz47gIPmBxPks2DQMksoQRxgQzc/lAbm+IXmCklCG+lsRHTq +BPKilR9d/bKruh+jYD797DOiHruyjDAm6rgnt0b34mUks3kPeN7xWTHveEhB +OoHM83ocj/w081HTmEgW1uJ5JZJfVD7yK3xKLWeR/I6ik1d73AUtVxHKBWmF +spvr+4KXqo5Ux9cgbrEXYtmWkTAjhbdU9pVRLE9nsQyvOGPVnJ9qtMZGeY9c +5uyZtleSWA4b5KUX80qJj4uL5a8TxDLO9wWRcQdUXJx4ytRQze3UZXU7dchf +hDN9zzB/ITN9s5RQDvkL31N2s33EYJBYFgZjFS/Z9Zav8sSyfilSjJWEY8is +Hww+OW+ZliMsxRmqu1MIZlhOsIQe/5eCuVcgmEUxSPu1jmTukJbLKHn+DxXC +gjCHVmXQsqH2fxbM6xME83uuo2RDPHbZ+mkbw2KSNBFyqsW6vmqxThuMwVHL +tVgs08xTSC53Y3IZWIyQXHYsRntkMZRwyKuKz2nwfAa+AFSC9mN6eaTtNqMk +9/mKx1jBeTtIMPMwBGTsYArltkAwr6SaT8iTxZR2OK3nVcCTGU7in+66Ifq6 +4b380DoYR2whZxWWHCwzl7G6BE85OOEoKmoDxkStLZdjSWY4vTSXgR09V3xp +6yvJYyaaeUwwAyifPszGQLapsBmSFRMiSfeo++IxBWiEy2CiSicXROlZ7TEH +hfBzpchEecyLVYlJmFAeWxJ5lmTW0XK+YN7szcDGBXM4/5okmNPN+81UTJLj +Mey8344gJGOnDskQgjlh5q/Y6EQnmHnGNZX6AGeQ7sCX43PwtH+AT/UhfIKP +VQ24s/jOuJATyF/jl12wrN735oQU/ahCNLRohqjmz3Y50XwgYSbwGx3brDiN +H9Br/o/HaYTC+djas2kuUDMaf2vRzDOBRyYz2TzenO6cPPf7qGL4DBbNv0iA +BswBdmqiiOariGj26Et94gdpczIHaEWzJM71jw6AaAafGWYBs7RonozFB1J6 +4CrBFiUUHdCU/bZgyn4TsxoyH7i6vDuFVzKvoWnnN41wpqANOpE935mp58XM +bsD25NgNNzsI/AYS0Fez/3y1z3CQgP6eBXTAccRZjgw46lMnxqBoINNq1Ljy +mB3m088+Pbpn1wUkjj3nmDJnBKzoz0lvg9g9HspjtY/zy2XMQfYEMkAWDkaG +iqw5DCTP46xksG9e8kQynU5vskhewUsT7rPrrJsMpxYt0V0yeABLtCjZUbb3 +2i1meW4OS+wvQFf50MoAwIBnHkaj4I6KKekL4s4yIk8SijHCiWW7fEQsd4Ui ++SscuNz6qgBr4qVztQrFaNwhjVjumSiWdXKciOX9CsCwmcplh5sTWo/1JcEX +k1XvCIPKWQIq67S4pLG+JFc5HYAhy3QTi+VtmCC3rhxDy95AAo/yKhBDRv1i +hfccGPkswxiTuZMIAWYRzBxOO+zWYxfMmBzwaBxk7jj0sK8WjFLogErB/Cb8 +4DKZrbVmVML04CUa47V8elPPO4Ugs0dtHotg3oTuMgnmtark931/xEknym18 +zRfMNkUrHbzsu2oCYtTzwE0e88NQDBELj/pjftBqxiNPcXAZukkIXL4hBmI0 +w/h4yADCzJ98yly+g91lPfs0DKeOKbxyGj94LdxNMMabYKsx87WNqU1QBHsx +tv0MEsybSTCDNQbbCcwr0ZQw1xotYChjyqXRTz2NYG5wX3QAo6OGUDFB1lhk +ntIJZlgyIJixuqssxZDDsoAlsZyhjCUIZeyj8T9zCs0MYGaceG1FcU4jJJuZ +gzNs+183Cvy3nz9nxaQbA+zWPwCaQzAjLMnWYIZymWUEUO6Pshx0cIbOj7k+ +LMBUUIafMqfzmGlJNPy3gnlDkCq3QRe+J2Uvh1CSNPq57GUNY9S2wTD9g1S5 +Pvz9J/Ty5IbfvhbMTWwoTKe86qnUe9wWBv25NJzfhzHKx7gndzTzSM5lPi2a +x/24fqsBx1Axo7QlEM3OaYYT+RTqN2GwOdltdu+94jYf9MYCL7BjgSScL1Kj +gQmQRjAWeCTBbbZd2rYhUAEaKJovpUH+iTW440TimpNFM0Zh3e/yY70xwPYs +mu2A/zXBgD+PATbm9r+GdySK5i8lprmyOM1DzGk+HG0vOMWpCXASndzYgjCL +T+t5KqZKIqqWe3muvnDeRMKZT+S15eitV1xn4MFg+1nBrrOczPDuuzwYF0Tx +rEYGSTyL+xwIaHGf+Y14tgrhmGkjoA/iuzFCHNcKxPF9KgMGlVInOyc6zVjh +ZTWuSHSYsRz7ZCWTzzAy+aFd51tJHAcqzkDeWHvGkl4xhsOQn1JgBWT6h76x +wBXPB4DFIgYslvIUwTL2jz1pvId6hdbyc88mNnTEQxYmWd9gYTEmymNkkzkK +UqXZwI31kPKS8YbKTzp/vEY3Uox4hOeb+Uw6mUX0J9SRTLk08JProkTGShIs +um6UONtnb5ct9ZOMSOS2KgmjgyWZvm2o4AsOWLacsprp87LibLAyzfPttxlx +wwMveUzgJSeEKWfpfLgQulgYQBfJXvIOm35Bi1HAC0iWlQW50S5IJ5GJnvIn +D4ic2mvn+5bwkw96ypwhN9uWEVEqxiQ21rDWr/Vh2xAsOqFYmSyZcgkJGdpY +65wmV87TBxPjw072BRrMtLAVOMFIa/ny/zuZ7DX7KWY5JpN12KyfiJHIK28x +0iCxliEIW1aVJDV3Do4us6W/wGs6iXB5IoAhvlpHfojWAIakYUgtST1+jL6E +a/wuwmqS+7g1FFyAx9lUe5ohDNiyFu+WUmDivjayFoCGoz0sk2GrOZAgkwGy +xCBmGA+G89mcyX+ZW/afz5BM/qp+bx55lzqCcWwKTcdzDXimPJbJO0UmZ67H +pbI+eyeeV7A0YFnA2STssoMxaOYPjJmpHMpMFSbEL+MSYG8ZGmltjUkCkJEu +nFnw/ZBhJoT/14BhDsuyv2cgg5fCM1/TrXGGWg4J3jLK5HBJhDfHMGZRy+T3 +/h/J5I3qjUXmXTdL8bWsBXNd3DLT/Mx1cavj9nUqRr0YhEHMci3NLNvw5fQy +mapK0snk2k4mV8LJ/TuxCqEMZlfBq/EANsFG8cwfmF3iLT9fBKmtp9p6A0ls +1QyzvPJu3+NKwAo9qRywzPaEPjM6sJVNLOsxB3AGesxxufyTlsvaY36z+FnA +v5b4HjO+Fs/jF+PnKkd/e1JZscxPUR1gIsfc3xVmI3qppbKd/buSZv/QJGsW +fd8CsrDc7N9BlsoHxSBLkMpfxaTyQJxAIqRypE3OILNLwIwZfHKLx/yiLQlz +U/dvWzTSpbmud6dztv/aC9uP4JHAN2tQw/rNAazxWj03J2iBjUahbD6A21fM +d7bJGyKbv/Flc7ODRiYb4Zw6XvHP3+OE/+TmwkCXQreg1qVN0Fg+2Wrjko3l +M41iHphzniMqEDmGq+WZMdNYK2RwXSYX0rVTG8cyoQfX0Of5uJnPzgxUicDR +Iyr5NU61eMNSFidbA3mVWXdrWCVLwsVWlZycVwRKubSrGylQbz25p8eU8pfq +umrXniRfQKryCim3zsQ1Jm84HqYM7zUwTwsJy9O4vG/CZR598XtatUzVJOFb +zA8Jk31xtcy5cYFapjqS+5LVMmPKBxR5sV/IC4UoU5pyWM4X5MNl+flwydTF +Yktd5FmlvMwW9flmMpEXpJaFvGBg6mjVcs2PLIEBT88iEQRZtqYyT/g9w+k1 +E/lqSeNOSjHfopw1NfKU5KyVpJjdU/Rhj9304mito5agmGclF2R7TlqSYn49 +iAPgAK24Yt7mFPOHUb21xSlmXVXiXp1t8XVSIsaHLySo5WeUqTwhMNPiBMZl +RilcFqsm6R1Uk3S3uDJlx3WwBIZLw2iObUykGBrydN+lPNSchYFagCzDkPKj +hbT9PcGO2lQuOhMK43V+egZseRNP+UEs5Z7C0rjtgEsGihm2GTji4a0KjnO4 +hOPkA8BccPmGJGYox362evTT3UYx17sfKYzPy41gbHk8ZcmZZbcbFfNCXFak +mN/G5QOXTHh/QcVcPtcmMUuu3Cv1HY3hdVty6d8EgZFak7n8uDKXh6gloNMy +0mXMJb2veMtgaDxjLhFMSrpAzojny3lJGQs/cQizojHSDcBe+XZeLCGjJMVM +9T26Fz6NYt601OUperfHOVYxE43Ea2HbpDSPK5IjR9hybZ02nuOwZTfdGk+D +KV4xXwp5ckTtl8VhJci2gsTXB5nGGMyn/2hmKKew/wXM5LwiypfTRIZgzOlU +s3vyPTF+Wuc4PpLSMwKkGU5teALWdSesnCVFw0tuZpPZKudiJgH/VHSGRZrh +2VhUs5AZXuZcgmoepVDmx1gBaNV8z+XR4TAx4xYeWkqXmHGlMpgv9w3mr2MG +cz9iLSvy7H7ZEGd+ik/1qTyXLybzXD7BFycUh4XKWSYCN7oEZ1TOfFrLZCAj +znhiV6U6FK2ewedarqYEfWLDR55FQYvp7BT0lzH0GciNEH9WKponCLUB/UNU +99LGx4xinGUU8wijmOPqmG6Y40Uhc3YFrB0ZzhMP2SlkhVfwNO1iz0c+2fOR +3+Yj6D1RyUBBFZ2kVDIN7sm6yw0ed+zay+PUGnVjPbDdJ6KsUmbs4ocPuH+E +lfLht8AIysRMxz8UeoFqGdYQPNI8R2r5L6WWkWTiwb5ktUzr5KcuVCz/483O +W/ZyMJqpHAzJY7SdJGatNGD8os5dnlqW4r4vpYdE11or9CK9r6x7RyYp7EJ8 +5STsQpRySdiFzokTX1mjF2b9efkXkAXp1PJqVMv56dVyUHq/iJNs4EaKhfdX +u9RljWGM44I/O+h3kx9Hi2/RdxzlW3RgsMUU8/Bkfrl9Uq5cSfyymGr89twy +IR+j2XI/QCuumLc7xbwlUMzr4tW/OgcgidOMJS47T00P+DUIuhl0dlZdoxLq +GJVQe6dDMGqWiGD0iGXH6TK/GxHBaGEUswz4qfy4vEu4Vuk/KBWAWfZKGwqp +tAG2uDlFVIG9lGeM38Pqo5PwAr+TFTMYZ1oxf+UpZq44gqYyGX+AJOYFFc1W +Ui366b9GMdd9gIbc8YgbzYN+U5B4gsupU8yvB4p5MwaSw1LBrkvkl6mlC/tL +OI15vnpuwXgYVf4HF8dRwbyrrctWSAYwzLYEUF0cZRncfRQZc57PHHty0T6z +IBm0HNoxw3yz9pl1VXYijkFIv86Vu/rNMJj86BWzy4lZoSrh/eTl+HtLOPA6 +w8uRqy8YxraQ3SduGRLH/UJLPeRafH6iVswuD4YxpLwapJir8DK4ECt3e3E/ +wsM8kTSc1cC4Qscwz+RTfj7Dky+zan6T/a6kJNdtVjWfEBUCuaRatJ3HdRqS +TBrN0AWBJQ8DFoNnlDQM+IoqClxcLvpnkcqdU2jG35I590w1p5pBAXBK8++s +mi2WoVSznVzq2dip5tuUagavGYsASQ1QXkab6OCV6bAMnZnRmyaV4PQHnwxe +lDlvbh9OJY1CXwxO+b3Wb9ZM8/MBnuG4ZqecXeUu+lxZ61QvgvO5yHfexb6z +GhBUnLNTzzR59EYS7yz+c33NPH+O6lky6zBzg1+TnQf9pedBQ4psWhVt1HO9 +6seumM82inlMzrkWOhb/eBI/S4pCnuYp5FPthJ5TyKfgzXOxByGfnOAjE21h +VTJHW4hK3sxe8nY7uXcCvuvg9J5k1Fil7GNQ+3ec6c0OWARKKWXJdcRZAVxP +fJyxr3wEekiWMmX4opvq+0um+uBthm+YOCw7qo6LwZDJvvsb2vRy9Ja1Wm7j +t8rHUuNQLfOArKjl+k4tfyVqWddcB6CyozDCpr6RqtLapSnHp/lCAoOUshAY +hUdFYMAMQdxX3mLjLzSoTF0kOIzrecvxmQLJgIT19Wq9ZBoD1o1OkJt2TUBk +SPLyDW7S72gUc0klDvIknWiuSaQW5AMElWchtUkRtKKYmdjUMVoJtKauyP6/ +KGbuKrGTfuvCpFkBNf3UrCRo2SnmMG2Zmvxcm5mKw0BfjV6iBdhEpbArHY2h +m/zacZPf9URjKH/NpsflV2doGZ6jz0VoGajNR9hYk6doITJeYFrzFZYFK1kO +bMFoDDLOUDHnnsaK+WxWzOdSys77dCmniAxzGYfj+SVOlZt9CSnmOg8SkVHW +XGTREJK+25klKuYNWdvxiMJ+26rE9C/nIHI4bhZJbc+V/tTf07r5rxXNi5fs +Mx/2fOZEjl/TGQPV8KuFlNI8uSQth2lpojKeTxiCBZ9ZhmBfdkOw16aFlf7/ +Uszhe0s48ap7SkQxS6vlyKCjx1XA666SJongfqcEKsPcGvOaY6MlgfuNsJbM +3BpTqbeoYwEn/jKj7sjvn4OdCX15jl+m/sZwt+7TfImcbSf/TuEOhJMtyCyB +VTJipOfwxWsu2JNwkoeUxk6jmnechbMAB7aKci5D3lfiNGConFXNdknTgOKP +SfKcrdfmMaV5Cmi20RnVaL5f0uak2yRQzZbQeJB7fVk1/9ytSeA1wxgTz/xf +63vNB68KvWZ+bU5UzQ+RZ1ZJAc1CaaBHpv1mTp3DUz4kNRar3FfdkZA0crTe +neTZlEa3XvnOVj1Xdt4zbFVJ5Aap5wT/WRMcoqDp1M8A+Zw6IwHiIP45BDms +iDY7YYPql/8LwXxaNCm3DAEWKrpC8t0EQ45ZyByHHE7phTjy21wjom3k1Twb +kE4kC3QhIrkoCbzICYPQHQClhbJM8nlt9TLNJ9EXga38t4YwGFm2eXGTeEgW +lgcEmA+r6+qu7WRfXCzriGUNYnwfRCyLWP42QSx/HYjlLyQCQ2KVAWbyxPJQ +Xi5Saf1kMM2nceVkAMMV9BUXe/GqBTD8aT6dE6dt5Q2YH6uRZRhbwuQalRmn +rWVX1PkRX0alqFPDGFToBwO2s629TJ4aQEwWyGj5i6squZFqgbS3ljTudDSt +Z563JqFawHDGKrJ/RH6zeJQ5acRJq4O9KiIDxpukp8GvxpYe4DSCGbOz1oZ1 +2GE0hjxAM5CxIZ6bdfmmMDfLCYQ4vpz0CO2K/EgkhPayi8OQDK1QKIi9rCf8 +buX8OF1PQpEYFxmVcA4OOcNL9AA75Uev0FP55WxekXQCE6/5Pm9bkOyeY34k +mE9mwXymFcwwJfEdxlI6wfwb94JiDDOAGeYEBsH8ZZ2HcJnSC+o4nvR7hvNT +X0gjmNdawbzWCuaC6G2JYa5DaTGLbUwGpZDP1Nly0gzfMkiJ4RoTsZkHiM3c +A3Dmw9ZmTldlkhbOSFcAmDT55yWTqzvkc0Ec8wI/Wy6J7tcxzOkEc73/s2AO +AaUwIqaYHLltlCNXN1Zq2T9WVXI5T/qVVNFzozfpqgVzPXNxrAkWMyWPV+Q4 +RQAzzsGisQf5/jiIp/60zTyVVcFzPJD0Ip/+r3ilYf5cfjy86oT0aLOKz4jZ +zUmgRmwaUEANJZy9osASIpuXcrHCS2Q3UxBWeb/ihNFmxDJhzn9yXDT/kSCa +f3tQW81GHcDDs1jN7a6wovn7FnFAw8OaG3WyiCZazVwGaCu0bd7co/TIjEpg +GFdpO7tZ8Ga0yLA8YZZ6RA6Fc3HlYmKDrY8+zNqIsRqURwe12wJt+MizBjdw +UjBmP/vTghZ/Nqd+ODXoxW4IBt2YpwdRQdOjswM5nIJu9C8U8zlGMT+be06A +ILv8CsGQAbJYWOQUcrqBPY0jh7CF5FpsslhyaV8lJ1jJH4VPN0GGo1xAv9p8 +jnu2sZby+e7SaQEnBzfRYJ8ExfE6WcQRM3P5UilrQyAMWBcQJ/M4rwkuI/nt +IVUnj2q5icOWb75CDfmxtdxMYcuyHpRaThryc8V9jCxX80tI9gvEdDHVWntq +OetJnpod59VZ7/Vs5RkJtvK8IPciXtIXDvY5AEMHxWlbOXjKKedyHqG8L229 +UIJaJhjDlZNgoR/Yy03BXv6W7WUNZPzskpe53E9nY0gM7dEq5kSGU0092Rja +BMUMScy38kt0+zQos/cKzdwmvkKnVczxyNk0irkeK+b6a3UdNiOb69OoA4sw +h0mzScFZZC833EL2MuHLuvqXswCkzMymLbtikritFhST2NTZpOrr2MAT28tl +ud2MPLWwqQEmnZ7lrqQF3NLwOr+OfVBEW9hWrMOmDiQ47mFr2hcq5rXn235Q +DHGXXMqlzHqZ4/enXkYx1+6LkwV0oR3PQ3/TWDFTv22yYt6ES2jtxTujDypS +2R/2XWIS88foy0C+4otck23BDB4AnGwHAOkCiUS/zspQM7DWZg5SmT2bWVH9 +iXBGLJX5Z5fKjLEx37twck33l8Aq6ZeXVswqybJIfHlBup8UcyMoLSlWMa8t +RjGHQ7CimJNCFZOeW9TtcQffHncOS0gel5Ke3iUo5jBIkRVzblMFJmGoXCpV +H+t8YTkAmNGVMxV78YtLP2aUhlqbmR6fn2Y/bSaP8s9TcMarnGj1lhpGShf5 +mqNVs4I0IJcRHo5Duzk87dMMBqYyYNGlRqmiwAus3RwWBdrBQA1qvMqDgS/7 +drPLz1DFgHogUKnmIwlW8/+01axVAuOaVjW3gersqzhetnki1uxUcxejEO5k +Py1UzYI2a0hjCA4FfmYLTsaqDI0pCcp5blrLWZKudmDVdlJ/wgaFW/JJrx+R +8bTPceBG5ST1XJRgP5N6hpJBzNyou9fazxBa5yvozzNAGhjxq+I3zCcyv8kX +5s9AQDeu1uiYBXMZI5ifM4JZB7xJkkXIWMDry6sBZxGjkTnZYrVKtxAb+cMk +K5lRwEKVmPxRsHRsZuPOhMkAWDZmyXyzQc3zrXGvM2QpX2iXyW9vqpgZ4S/Y +Vv5noXqBEQYDSP/JlzrKXwLjhkDTtWvu05zSzxJSLjN+11+lGuRDDuPmZGpZ +XSA9sXxpHyWWH46+qALEsmrrKzfEn+3LcgzGJ7EUjKnFpClr/sIJZSKVqaFP +8xfxuT4IQdevNm75gK28QU8MlPdD0dNZy66Lk/imlyyLwfYysxguHYPtZeEx +WjCP0eow8hiWYA4E82P/rwRzAr5JCiHe9tc+SR3EBLPCNsNQuRDZTBLMH/iC +ec2xCua4OGiUNO/3oZr3k+bfbZNUOkZYzTBEpS0/7Kct77rP2stXHYW9fGOi +SEBbTSUuZ0Z3YUUJx87yvN8oTl2GDMzpPLYsBPNymfkrKm1TMnJ5yypOMMPL +FgbwQFrGm5k2yB1P4heMYL7HCOZa/ThIbjSfYE/j/bUo6zl82aHI1KUxwUyV +XNvQv4GTZyXO/hXaUHJLMjfabxMzAOyHpTFNlcML1D+KW/9Cmtm/Px4uFupP +OwM4yGFKxQXIUGoGj8N6NSZ+IjOMxKJgxvk/VYDphZP7grnZG/n+7J9dFkcv +mBthXUm61JglCUS/XCDDtBidvDzazb7udMnLcnmsw2tBP7UkrwUSzDfYuddW +QVWPCObaqdRrPP5amatLLsTuXkCU7uM42Uc5OnYEv7yM49a/qUxnzmbFMJ8z +r4RoJtGsI2B9qlmm94VshtM/kdGQh2TNaSi7+YujnAuMcRqxuUDFaSxju5kZ +jb+sjVbOVZwA2TyHFcKMS2gecCqphD+5DRBpzSfYVhsWp5ppFtCJ5p+7+Faz +dDL8ECswu9GohXbRt42l1sQXzV/bFA3HaBwQRqMi282sDsRuDoWzJGo422yu +6ukVFRASmMn05Ycx+pITncEmyzbiuSyL5/KKehZ2w7eeM0AKpE5i/fxRwvjg +J4JvGFFsFHTq5BjCEQZxXEGC+Vj18oK8sxGvkKaQELFIp49XSMWemMgqHFk0 +8hbk/kvbFZIrGhnT4EoTbsHdmaSRgWZyyIU1k5lmshGNm0gnx/rngVHWOlm4 +f8UpyzWSMjDKUaYMrIQXgsm+EMEYpZpIBrgrI3XHSxsJ5WEc8tLjkvMwDkoe +RuMOzCxLwHI36sLEa+N/XW2fl4Uh030DKLO8/GC+QmqtHCIYcVbZhSk/lzDV +l45TDvGLd4Jrplstm9OZyiGvrAqEQq38BmfS2EyM+jRJ66MYbtJvFrP907gY +SMxlwTEgUU7CASy/rFLlJFarRL2cJoQ59g4d08uH4np5CkVqxfTynDRjTkl6 +OaGiIdTLdUO9vDmmlxsm6mWXB0DaIEiTCxK0GsYStIKg2e0SNOvMZT3pZ81l +1Xotk3669VqS5IRdJnPZTTqRuYyTTkYgSDDAfzhNzkVphTjGTI74WcTboEz8 +rSmi97HtTI0VHY1eXukieID+OvIqXdH/mlvJ6OU20Re1HuZEuTF24o9S5Ugv +51u9vBwdHVhmsLxEL8P7DFw3MSuDm0uWS+MlE0twOlB0DL29PHvNQdV2qcrh +2wR55Iz0+y0mxZjMfeODsGgyP5YmlDmpzMdrMYlnZqS7RjpSyV8WSWU+R6uX +KTcmXRi5bu4JJ2A1zy/By4InuRZsaeuJP7YM4Kaefgl3x7tZL3djvdzFa+oR +vXxjcXp5Ey0JJJQqIMfcFTvLqMT3ATX9BylzwjI/hbP/NNWk0YwF7KwtLUEz +u9oEnaFxguWaNXyZ5JilxzTU8zI7Z54qELP5A7/tRNSBxTSQbc5iRCN4el6s +0ufCaUDImOUW7SMTkzXz72FAs8IzwknAQzfqScBrMXdWqhkOimZuojRzA52h +cbcDNz2zmaeb2FX7vKxkAEha1jjbdEKhzdPMb0YCqrEQczX80t7Xze8Nr7TX +n/GHjA2aFNSTS6SdNbbh3LNVrJ1XFotusEII8Q3hn+sy/1xPEI5PrYCGqoYr +qzY8Boc5CxXzuUYxL8k7ix3kY1fI2kXepLIttql7pORbFO450Yj/oqITzXFt +75N2XSQhFz6c7D3CBEHmsh70HVIm+n57Ix1+wW3ycykxDpMXZbJvsqTG1fIR +jEEqC0Ma420ouVHLnZpQd9+N7Cy39EPJvw/T4wK1/LVWyzY/prf79qv2c2o5 +AJbtGsgSBONoXOU4flHo5V+8XCx+QWtD3ytXG6Ucd5U3wPrI2h4Ay5LiSOvi +PZVD85aqJHFqmUqvtVpeYCf9XLXmTKzUpCagKcpdHscVP6iY2zjFPExP/KmS +s/4gD5Km/oxEuO/+NIp5QGCpQdFZcYo5KMi+xVKbqrrkeT8rQ4IBwvDZa1W6 +XJI0qO8Usyv30wEZQi9zfFbD9fH4rEYbE8IAlDQIwwDc27O1lkGtFwcvhwqB +Jv10l18XctRyNLQJ8DKXX+eF2Rg1idjMq8AdDedF/y0oYzsaJH95DMfJT2P7 +bB4bCK/xO/NKnL2gI38HKubS6RWz2aKACvthNR3RUhf6PwaZcQuaVyk6hIr5 +EQsw48Rf5lQeYp/DdSWL8bK6K6aYN+Kxs67cDlLMnJXhqksIZMaEOcAy4FKp +hmGfwcvkD5jj7zX4tE3OZvZd5sPpB2H7Fb8kOqaFmX9gtj8IXQwukmFeRtsF +jGUokFkGYkOQ2VsWK/TDyw6jmLdj2iK+uhyDYo5nxiRVlXAh/JYwXXGMp5jd +a8vRK+YWR6WYqd8Spl9vwxskAP1VLcV8Z/75CPXDq0sfbivrz2GzQjKP5cqF +p3mNaC4DDLeXYpLZD4pdS5I5lZrPjQqlY7P9GtHQbvNeDWQmTgUm5Tef6+c3 +i3L2pgKDjkCrFrLURCAv1yXir3EWgO4FnKWKtJ+O9wH+IU7zYNUFiLUmnKYV +ZjXf4isI6QL87hqlmkFBNGlv1MNt/C59O6ZpfVNPg8334pv0F5KjUeVhl6CF +bvNQbyrwM/TXxitvbar5iVqYZXOcSSmISiDlnGcUQm5CMRm0+IYJWQQ8B84z +vDtn7cCwTHGerXr2pgblDVpnPisFnQRAWwWNKiIDiE4jiq9mwQz/c+ox2Mzn +GdG8zIjmUCQnRVqsViJZeGToj5dCEVoCJyClJA8uhcH0XuzhJSnGfHvSUiBL +2YplCWPkJXAowVa2hJI8tCix/HeIYMzgiGUoJJnEbT5jlLWsMYy+8rmb393x +S6L93LHF51q/xefqG1kwt1fx5PqiCJ+86u7zBHNfPw6j/GP2oSU5CsO3liUw +Lh6tHE72+QiGfoChi6R+hJHImdWetbxJXyYTrOUQwwhjz3XkuQzMQlgjgJkv +NXLsskQvk8VME7AzmlJ95lTunJeJP60MPD+tvR+TkdQMXKJohkhmHZcRywgI +36F/sCyzJ5qnSVyGiGZSCEkF2a2CgLljEM04+VeCbo6FynEkQKONCZEA3sSf +Hygnllp9icfwnqAHG8E8MKphy0oeKqaspGtQVnKrKiuBeIyWnsWswrRsYQkE +ynWDiT/Onu1f4JCMcZyf+Sz7Zws4hnkZb4nvs1f2/zH33uFSFUv79lwbBEzH +nNkkxawkyUFAgkiQjFkQMSFGRBQxYAITKqCYFcWEAYwYEVQEJWzSMQdAUEEE +Pca/5uuqruquru41ezbg73vf6xpezgYUZNase1U/dT8slrPQbJ/7C0LzG/Y5 +HlNhXBsKK0YAzed0z3/XYDhJ5WyG+dPqd+PzbMWgeSE+e75GfX/Tqf7yWTbJ +kGTuIeFcnJDoiWff4rXCt5jSZmSWmghLeaZsLio1iQuz+94eXha9JkiLzHd4 +WXCWufuj5tKY8mXSIpMJza/4EhO2lFccmlOixax+P4JmVpLPS2f6w4iSL4Rv +QtDcMvEQWT40tydottdE/8WNcrlDqMfnMIpm1KRLY4/8mUt2w2jGBVRnZkfN +Ns98Iwm1bhfxjMkkzXpEbAI+I5p6eRtwphi1vSdGbPOCmEZVOXLOlQAv5CYH +Og07cg4LFziomR6vUVUZbDa9qzcDQxHdLzxydluB1gnw+7M1RLG2LTz553EW +0ImNQAZnpohb6vlOwGsbxODMroCoD5BGb9AEHKhoYdysDqpb6ibgUw04i5Ez +qjSy881+5HZNoNTQ8GxXK0JysKkxfSD9TCCni4lBljHMEorZcPyMyo0aOvsc +xjdmkrjOj6CJIFIKDoRoznFYqmh7cJPicxn7WGDeZYf8y4t3pmU9O0p5gybJ +b6uFvVQeWectuH2Pkkn0ls9HkOxPWna2Trj5Bd7yiU75dRknLNK5iM+I04Q1 +hhUYmEaq67pIUIMhJ8tjyUouU0ipHAZnliGFBDqMXq1tdL/L0f5EBVNIBcqu +m9JbXJyqrJbuuMOkO04t+OnMcqTCSE+Wl0eTZfuWXxIpMJ7LKLSWpy4yg5Ho +Iimdn0gswbJsWZBZ5qi/XPILYLkxnag4WPZqjIeoOnOyaPeTE+ZbYcLcaYNX +ZCQyzDxOY69cMcAsK8+CbaeRKalWKriZsemkyMDpMh4VBdmCDDo9s3WnzDas +maJlKZQTgYwPHhTbfhPD7aaPbgU4l3DQKIADa509Uk/UFp7nCoD9khNNmBeF +Hi2vx/Bn0H3pDPqExfXJCWBLsE8r2wsPn8/FSdou+cuFIoNbgO8hK9AUvfRH +hdhzCZihPmk5HZRBjMwB84ckf5/ly0QdMPPyH2wXPVo3v84A87cNLjOX7k1O ++gQHonCZ2jvSY0UBMzyDsi5DL/9hNqM5OeZElwl3xbNy0V4e/gAmiPeruNKl +Ks+s40pskMmu9gknzX3VpDnrspANgDbLrLMZnGW2BzCBqFzoMv4dYJaeRamN +oaY/WIJ1vSUGmOeHmf6GiUx/4JUL/IpnBH5FD8wy0y8bL/khkrUx9SnIfBA9 +SFbHgvgzYPvPXBfn0/bfZeRcvFpOmpfaB0sOZ7hAc5qYYaosofnNhEYjtMnS +mXTCJpvOauyczGo4iqAtpzXJrIZqDHyVFgNfSkycZZrzqVROQy0EpnpOoB1w +tE12/na5IYrhCpqHGKoYROnOE1S6E9vOtJHWG5tRG9CCNqGanOL9c3LizEQB +DrqD9ehtFCo12OAcnlOH4OxJIu791eC8qAg1gIVnfzYdTZ/JV4eKAIbnOkq9 +AcuD6QyHzUBXEUNoCxjtD25cPC/vhby8l+HlNxbvRO9iePyzfBwmLaoFSQve +2XNnKZDcF15yIOQSeH+bG6UeJOv6eOsoT1XIi+SFeHd7Thbv7tRAmTiZneTW +rLi/SGDQXt+Ew3zL9Tj2K9Oa6ygSYQwPUxgb3bu6pc8su1aSNuZRsF0oh2nb +jc5RehEr9ycX+cnx4yCx8ip6Z6+U6ri6ShsXtZHohmshwUgOlXUCQwswmJNl +OilMYMQtJDxUpsfDGgvMO3xhKJY5EFjZGhkxs3yYagMSj4DSwqj3/FiM8QAZ +AGwag/LLieEyT9ACXu4d8jIeP5fDy+XaAUaGermCi04ZvX89dWgz4GUDBlM/ +T07SKsbLYW1JU+TlmYqX+eiZBcy6ruShrOUm4GTWyYX7Tb7TrD7ll48UbGAV +WudSU8mZJMfQTdh9lRyDu/3aGi5oRcPlRqKtxO77DSzb0/mXMZEhBBm3LfNV +wA+jE2gHzDDzzt9bNDaYy9W/y+2Z2WfMyx8LXn7fHgmj/p19zC/bgiRwWaHH +aorh5XN7GF4ekf+ixs102Y43l+pEc5lOpuDgY3hpel5+RfHyXHMTme95+eAl +rvePd/9klhm7/1rrZIZwyByrFOXauMg1mIkOwNRlMbiANCPMM28INHOyNZtX +YnurLHOPRMTftmV/KhRzxMvPLw2ti1Ivt9V5OX6MbJbYgT1qfmoH1koygJe1 +j1xm+rWP3PKy3oHtonL9rJdrTOKYI3AXFtJK9llyn/wg8pPz8t+l5jWSKrOv +VQuAMp1xPwmaBTPnctWDkMYMcwm9Io6s316u1VmeMJw2SyjoknuBYVKjBJAi +d1sYcabBWyAH4FnzO6poO+nSqB4a6HAlkJBZeDSwIlCcVnuHBqwDHuHNc1yo +Pcog80iLzOikTcAFygPcII69tN6hYdcBu9p1QISLvgQXVBCMcDFQVASenV9J +C1Hf8UIUOWm5KvAbMYT7UmCzBwtehJpAXo17RQnKw8qq9aR5PR3UmoUFwCFU +zEN0Dh20jM7soUWwoPAGxjsZLuoswroziD8H2ueDPWjoEEeHg45K8jJ2ZWNr +dm4PR8mzDCWH0YpqKlrBk2MRr5D5fP/+NXRs3sHm9qjfw1Ee2T377e5iFsln +P7Xb+vNr+zo6ZoEyN8XLKTImjZ7Mfu77U27ziee+/yVSRpsuDA9LNvBhyYBW ++Z/7hM99bMD4AXv7lHO8pX8Tc9Jodbm6OL/V5whZqOJs6PFGN0kO20fCN3Ix +23xgvVgQHKC8EhygpN7I76tnQBTHBJPkcKMvFbvwhBxu9rlpclM/TX6MCZnj +FzxRxv7LH1wD9u2i0+8mbikREQyXW06YMVKUfIGYKhdFyVfqqgZuLklUApcX +1CyHkjsVCmmWQ8lNHCUrBcB7iYBmyiHnKrDxrBnouKluZZgPEQyeKF8jtvyy ++vy0BUBqs/obGuhDEQzOLHcyJNDeZTRFAzDV/1pnFnT6gXR5GNX/jlpiV5nG +Uq/fBPLNP4L1pDvgKsd0ymC+vUKo5HBhOUXJu+DDfkDJb3pDBi4dv2BHVL9P +OSD/E1By/ctdbhkebOGStfedh2hM8yTeY5iS5+ND6jtoO4V7B1hO4TJjpRxQ +Mnf9wc74M43tYczjLb5x9Zf30eXCZT63dWBLBjxI/hKUxY8WDSYjTxaxfr40 +RBSjGFOGpGQZ64dCn35CLdcnM9a/Mn88HriIluxHC4iYxSLs1qFk3Xep23sU +Jc/VlKyeHINuP6bk4UTJF3r58hZRctvgtGUA55cx02+dciAlP908RdqVv3Cy +fAWV/o2hCmDMYlBd9kRHyjhdBvPGo9TwK+PML7guQN1Xlm71Df1Znjb+K/1Z +KdFswXNqRRmz9ISZZnBO2Gwu2QIzONeoTcHOf7hNm50B2Alo88x/3qa8AdcI +IS1vQ3GzCbsDQErL5ozeJGk+7mgKeLZXAU8Q06qAZ7OT8mso4CknzCtdwFNV +OCSagd0crjQVzxDhToholE5OUobdDnwyoAwf05A55+xps1bURXENnMfpzLOe +OJOolqbOHQ9ETN7OoXGhsfJuCMx7G2D+oOw/eLbIPmSOVvDbtWw56yySkIyI +XAJvXHOnDB/04mTRyrm74h3FvX1VzaUvh09ELV6hBT5R1CPrLR0oywMSyiYH +oHxX2jPu8sl6lOzewr6FhN/C6zGjfDQpMCijjJF8ThbFbmXQxa3GJhK51Oef ++KwCA5b6/BPft/AWrl0odiFLrQvlk8OnvvAtrJ/60lH9bJeyWOarZd+2tlh+ +kU0ZHVwWHJDA012hasxMWJZZZVrwY33c3UQAPFLGTaZOYsmPZ2bHh5llSQRy +q0kD8/kZwDw4A5hPSMzP+imlnPdnWWDurYH5AeUC2GJg/sh2+zlg1s4s3evH +szNDBXNUpx8LZufei6CMWgxKYcwTlX6ioaShHJ8FdX66+DfOZ3Kdn/bM9lrc +QSz5NRMKOQCCA7DSD2oZzizbnSIYO1NLyc54vDyOav0m0Y3/UddUsj3e5V8n +YJ5DLb9pYN5JAPPuMTCLhT+86z5ugfmb+iPpLnQ77aVPokv2YWoreYqAeboC +5tkOmKG1BO4OcInBcygqMsTCH2SXH09klyfSCcztzsVsnyu58s+V+fSPi7Kl +Wk4u/Z2XSChBpD+19FfoxCUoyr5bJZRwtEztJQTMyYSSUmRYNfm/DMxaJTf3 +HgLmuwQwS1XMGPUQycDMD5FbAsx03LKY40ktqO6yIS3BHkYPlLXJkbFnfqAB +5iHmGjlvia8vuZw6/3jxD10ZFMe4y0JzLveC5OYImqdRomkGlf84dcAKH8vg +kd3cFRm6gKwtqMUq05wxnkPyEDPmKOCZog5RrB3GMmrG6oAptcnTHOoD/ro7 +cXh9vVIIjEiXaG84XSwBUpY5Jd36sS0r5zxxrJUz5sZqxqzDnodc6DPNWBEo +w57U5FBQIxALt+IDbL0VNa2AmNaHPcMxHWs2wrgGS2otgXCrgw9/6swzjO46 +121UfA5jFwfM8xfvKLIV9q3JVkTOVqxYti29RbeTJyEGlA0imztluLeqIdln +LDK74LOSRFTHFySJtBfuGV/N5zrgcXf1QOzR+ouNLxqUE2/bX/XbNorgt6IS +EptRdqbEY1RGuY0wYLBbWS30reJEETzv8fEIZZS/4+e94Hjk6uh573P1vOe1 +43w8kp4qe4tiXM/3SfCs92ZGLD+RuaiZylxIp3JiqswZZdIo6gIfhuXHrW88 +WOxzNoyjZf7C1vndHjjkaMGvC5X/amDunw3Ml5QDzK7bTB04s4PZhjSLAea1 +oXC2ADB3e5xUAFsFmN9TwPy6AuZyqQBA2XdgezFGYz5vngfOLD1Ju4KMGMNF +nR8v+J1DGQzvkOtgwKDTwhPzxwqHXPeg/7p9esEPi38BBvbDDMaQJbvZDIYB +5ZGUx7yB2hjupBzmgyTI4iU/NmO8Q3fzefQxWTQws1Pu1f1c1R9+fAEwn3e8 +AeYrRFHt3WTGKBaYPzTAPI+A2TqY2ZDhlv2O8st+j9HlA5fOZJFdvoNdzBRX +0pV/wcLfyeUv/OnaP7w0Lkst/IlLQwOzrvxL6Ml7BA2YtOz3RHnAXGaAebEB +ZlsXv/WAmeounYv8ATp4IZccnbpIYEYzhrkuGtB1gTl+OHXZKsB8nAJmNmTg +sh8+UMIJzImLD0Kp3MnmofKUsr0MNO+aH2xe57gpszVlXEENJpzHuNmWZedy +04mb/6OHzVGUmaF5uqs2k60N1VRjQ2razOfb2c5mC847JhKfRCNZFg0xrvvJ +QPM61gfQs+5GzjNPrx6O6hiasxKfblQnFwCPFAuA5JuTZ9oXNc1vPF/Qh0t9 +tvKpT6APCmZ4+vDBDKcTcM0O8bTZhzPO9RaNQ1PgnE5+eptGtn8r3pJKj+xS +/q3U5Jnh+SO0bFgi+VDA8/s0fZ7jbBt2WXBWrQUWoOtQWYohlGMrAsw7ITDv +Y4B5sXmbLdHPb7I8ZMn2dACyAx+AGFA2iGzulF+JIhGtE08t7IVK8b1JKc6B +ZG6w3Nc1WHIPj6t9fy7xbJeYKP+tQZklL7CriqBcP5S8XK4yRMHBiFCKs+hF +N/bBMx6FlFkrDjur+IzX/ASRI5Ih5bPsWxWe8eThSEoVx29VV0Ay1mnG3dsU +N4QmqT5rfTASPtstyNDDzXdvzRCUofEy03ihd1f5EISlyjBVhmW+I5arzp7P +AlhGzzgpEp8Q2jiGZaeOa/N9fvLR1oSBUYxjfqTpMqz72+0lp5CDkhIVx7ha +xTHQihHIl7cAmEeWA8w3rRP+LGGclcAslXIioencWVsMzHMUMCsqeI+pgELL +3FJircsWlLVJjo0YIo4xzyqzQLocdv7aBb8GsqBkoVbIyQW/AdkLfovbqSPn ++lRhxmv/e2GF2dmipORKuvlDfdltQrz8EN3lecnvZVbJrfDy5RiY/+OAeSXZ +YLFo9F1yws+0STK57Pe/Jw7I/xgA83hMUXkrxiPU7lckMO8fA/MLeFn56ni3 +7BeEl9mQsc4+X9JhjItlCBnzleqZstDC3zlaHJO18Bc9S1rbYp/EpYEd2SSO +gba/HvrwZYoC5gw7BpSWQF08A3NjA8yNKgzMz/tLowAwx9eFUsnRddHgY7/4 +moopFQ/M/mEyBuaW4gRGhJep6u+Usn3zp5btYa6V3fJDynbBBswLMORvReW8 +9Hfd0p1yuZcwnTFW7f5Ncj3BIstMZsanqT9bdgK/TIknO22u5vxbMtBcqOYs +pZ/LDoTuEi8C6nPuVBhUJ5plRINHd0HBSdilbcd2BpqRRg4Px3YAzdcZErla +kkhjuwAo08z6fLtX6/z67m3syK5zO6ecg+7gH2kJEKbNP7QW02ZFIg6caWy3 +SqWaAw+XqHnw59wSnLNrHv7r4ho8dc4Ohi5OwPOCKOk8kwjlTYJnTykc3fCk +QjLbGvIM3AZGuxzQsHhgtiq5fXbeIb/MvLV0zILbQ4I91EQeWW/raUj+frbu +3VGQTNPkDSKbrHt3vAlR1byzEg6e56QWTjnEw/3UBiJsLxJEbL0YqoyILHZx +z3VtyHzRTqWIUvXW8kDktCh07xv7rB3x22BXNRHBcA0k40QEg9+WqaxyyqUs +1XA2fmGLLWVOWZkS4e1XPQ3K9rkN4hfxgYcWvLBTWdoRZTnPMwKWpxaC5cCE +sRZdy776mmBZZpeZAArEMdx58ykKmAeroKY6d46AWYU1I2BOCmcTwDypCGCe +KurMMoF5oQFm2/3b+M2PGZitSk4y8zsKDF4JwYAX/bR6WbT6eYXcnQIMxhpg +Tm8zHblAbv+H9det3Yafrr/mDT8JBJzRbCU2/+s5vyzEMU7FOobdsKUENpgu +o2nZGLrjy/wyN5U846wY22Hg8l1a72BgXoozhe1wjpANzHt5YH5NlIzCnXaq +Beav61/pt/xKpRXjUWr3exov2YLAXMsC8xsCmLHpr6He9gvrsbntz2nK6ZLR +pT4ylqHlMfxMWeGabFqE7QdaucjFzFPmH2ws486UOCatJw9yzHxpCDvG0TMS +wPzmlgLzkwlgvt9cDwWuC9bIBcCcdpEzMPPJSxYwdyFg9tcHP1Byvh+ukebQ +WBJFmantDxTlp5btnR9oLhkYNEPk/3wSyUDsfyQVYl4jIs1j6ZlzvIBmvQA4 +ZbmF5qcImmXLA5szfLa5WtB/lgbnKmHbyXJ7Dl7ONmAQ0/hOb1HNiafNslab +R3n+zDsmFJ1rRt0cQzNGNJhMjrDtJqpG+zfKNf8mcs3exdU8jGjI8R1uUKk1 +wEBea8+615I9I6aSMz04K4HtdwfJTSpx5i1NGgKctcQ29Dhz60N2ZCOG51Rs +w29XeXj2uWcE6FJ2ddnwqCQYAOiu+yMwF0nM2yMx77vz9vn/mveSdINDe4iK +BOVKgJHNbTZWHe6aeFgjSn5vz8KUDKcdmauo+n0Ipx4ZDZVqpPyXJmURVP79 +GpW/cDJlah8ZosfKLeOxcgceK4v3ZRvR2SdOQUKpstjuo/fkStQhXuQ9cYnM +vY0O+V7rzyKzi3+AW16O2cUHleMmS//AZt5viUaeOdIprvP1Ilv/esZWn66C +1zXwT7v695CWObT8EM3GmJadC6PdD0H3NWYxoP8awsvsj5Pj5fKImdaahp/h +BQB6tSlFzNk9f0TMWVgAaU0g5iyfnCwB3mJinpcYMUtcVh45aipp/v7TQNks +mLXSZdHr9yH1+kmNnMtjQCNDqvP3UnXufB7lMbQ/TvZf+20/v9UEeYw2SeHy +SWV1MI/Bhb/n0SbT5dTrhwFm2vNn6TI3lUgvxpu0yO/aSpiYMUFZHjGzT858 +3L3Mm3/m423q/vkfhxpibjBKFNKyF0MT8/M4Z7GXa5qYubVE+uRe4K4/c3lN +pc0/F2Ru8z055X6gXIYl5lRtvFuO3Yztv8grJy+Pq0Spj7s0zGtsogZTO+Ui +dcxXlpg5yCyIuWPKJ+daS7aUmHkZFqJKjyliTl0X5REzBPvDoxfchF0QZpXK +I2bZi82ZJXiqtMcwTcgd451yJ2EuoyaOmU/HIxkYM1tPxrAlu+Ryr/l4BgmZ +ZaZ5nFBm3K2WAVHOvByiTlY582Ri3PwSPZymxs0ypxEHnH3bSbRiJd1zUR+a +7gncXZi5pHtgr8ICjRneOxDJMyAlyg0nlNHQkuY/bxNVD7JH+8qMYHNilreu +p7TYtvO9gLBO1U6Om3vFW4FNT/Hk3FCQ85FMzsMspRA5fxc0C4cyDTvXuz6g +FTsMsMQS27rCzjQ/duY5H6RH4960BQE9h24Cn92QlRC0OUhEY8fPH+S71WlQ +0UwGAPNn83f1RdSJ3DxDsmgQMQBtENncQWUUSC6fYjD57fCttn5m6AZnSHbC +ZPmwJnMXIh7kHtoeFtt894bZegDlP3mkzA9vOn9xKZ168AOczNjTWxHDyuBx +geXULolya6fBIJdLSxLFNdeiuEEugyEr3lkU992Bl5arwfg8ymBwxj5+y3l/ +OL/VpBwuVCDO0xt9ASiHEmV3mlF7gVNfSHfL6yxSztrqq/+pGCt/Fo6VhSyO +O94hcelgubVt9MXSa4bltmsD0TJu+nXQ4+WNbl6GNQwij8ESueCw+V8A5gEA +zMlOsy0FZlHzN21FJjDjalNFgTmo9nsWgFlUlGCA2WYyUh657NLfwm0M51Eb +wxCXx/CVfl4uC3mMHi6P0cEAc1T6a0CA8xhWjzEQpLLm5n8+bTFdQTOyG5V0 ++QFqKXmSEpcz6A4ONQvvkVeTgXkZ3JULAvMeMTCzKgPusE/un/9haE8DzFcR +MN9FZdj3CZHcVLyEFxYA5tk15+FJTwqYvSrDVv1xh/xDHGSm/D/X/TmpXGdq +LulGzSW94t74y1Vv/BZt/5XXG3+H743vrXvjBTAHm39alfHCssLA/NaWA7Nr +76Fl2MLATEcv868tCMx8bbRYcLZ4mKwoMEtVBmhkmlIuo76QynEuA7b/9sZW +n8GkzBiKcjlbXzLCCpkhkzGaHHMemv2oWYaa76PdgIfFNiCPm6VGY3oSnK3m +tmhwlutX8sCcR86ZBdu7CfvcHqJIeE+iGRr5pbrRXipNr1eJcbNbrXrQB5uD +1SpDLL/TIfj/dEYDm02aqoxGOi3603HcbkLj5mM6uUJtr9LQOQ0/2vMBZyYW +VtueH4CzHTkLrQYdiNveNKm4jfMaYdg53BRcHvWnpeA5FXqeQWSTUm4kxs8G +oLvVqV/RTAYA85cf7R5nLebJ5y+eItOSqYj9BB64oE1kb3pr+QhQkLkIwslq +msztIi6gzJEgON2g5zQC5T8nHhJnL8amQFnpLy5QW33sCD9RKTCkJxyf28K3 +X+CMcxoMe9qxWjyzeWecz2BoX/jXGFiWVX327fZ5SnwIpxul0hceb/XZZ7Ln +Ile4LtrhtpEkKEPHeyKo/OYBi1z2YiYJDrXcMJ2/oLByNFWm7T6C5UcDWF4V +wfI9ApZhzz+YLtOYDNKYCMx855d5DB1gPjHllBOK2c0EZnvw/P8ImJ8rFpjn +2kzGBwlmnplv+p5m5ueImXVRiQ8wW2Ce4IE5qi8LO7C9XTb0ZknjcntpXF7Y +XxmXu4qAZlthlhV6DOr7Pb1sH8xjnFNmO8uG08r/dcK6zAHmB0WtX6DIMC82 +L2NTCdyVCZjhLvylBOa5BMyzCZipsYRVGRtZh/mUBeavEJjvcNGqGJifKQKY +P7GX5YG+seQlqpRHRaOr+ZOtJaucjjEKMvPBTLdQlzGqf3wYI/WLBev+CpmY +Wb0YXR6p2ngFzEGQOVRlxG65rQzMc6a5ar9m0cmLBuZURfwYAubR+QaYVWJg +viQG5oUVB2Zb8ddJADOexEAmI84yH4rGDDiUsTXZ+xhu3j0/CKwZ5tKhQTMa +ZoZT7d8on2kuCM33ULD5AaHQmFJg2szhZs5pzFyRAueqCXCuIsA5bDuxvoJU +24kIOM9T0+b3aSswabqV0+bqwbTZ1wIKaJ4aZjRS7rlI3HVNOjlqp83NbEYj +mRyVnWncp93ZdUGktwJPVKtWgxLGW+6EuEAQjPLRcTcEjvxA4axLh8Op86cF +p87Z8CxtuGFu48Voa3C+W80KtRvdLTBXOJPx9Qd7RGPkMN+ze64EGNncZjUl +h46LvcllSNbk12Uyeb/YdQHvK+W7CDXgsHEq/IZ4okE6cJFS5s3T3/m9xicb +QhT3G73ffmWrsnxQc7kgW0PJaeV16j1nx8rHebuy6x+x77nv3YOaoeVGA8l7 +OCQotl4pNeGRvoUyGPhwxqca/GDmH8r8A5lPK9v8RSyLk/6LkJSlKC7s5fNa +8E8CSZx0G8ZVlGGP+4zAf/Gp7HAP0soug8EujJZEy61CHwaWXYscxkRMLv/o +shhOJEerfjd1DmVywbqfzGMIYr6cV/6kBIBnZ/rAuRhiFkjgiRmEABtUVNMa +tAJinhh2lhQkZsKCChAz4TJ3lbydb0JSOcTl2UlctpkMJuawBJskzFT4e7sh +5ltEf9mYKMFc/xPpx+AzZ21f9nmMzsKP0U12lxkS6CP8GP1dLJPzGNBRspft +YCjzQjmdYL4bidnezqe4Iuztcd61WcT8ARHzLDubQrmcWv1DYj4fiHm0ODVi +R0YxxPweXrKza3yED7TcWTJT2pidK+Nz7JKfSn5Gb2ReLZLMP+Zvx23Z9TaX +0UV1l/QWvfGJguxLztzoTmKCvj+6RFJWZpfLcMRsL49+mMsQ3SV3/JBIMtvV +v4iYYfUPvYufBX3xHTaLmAvElWa7uJIl5g/irFJMzLcRMd+cvjYWQLp/eEjM +C7nvckuJWa/+yRjzYXTNHEBj5v0w+T+QxsxnlWEmg11zl5CgeSQ9fDI136Cp +mbZpJ6hksxw3T1Hj5mkFyPkNLtVerkfOsYyOVwOX8ciZRAYFE84fq3Hzh7SP +pToipLn5Zz1uTlib/3DNabWdR+Nv5dHAgpPxPqPx+031woxGkCJtEqZIad63 +QVubu8hxs8hpiJ2rH1qzoKCfmvkJEZ0jGd08LMhZtg87YYHMawDZMDnfJA7N +w7HzpzR29knTkJ5j14a3PPtOweeE/UuOn18R4+fX8z1q19Mj5ioIx5VPHjJk +yNfmCwvNq/nZZ59dCb9c9W3i6DHm/680r3/Ma7r5qYeZV65yOdPp/XbaPv/t +nL28EuPDuE6Hl0/91h8dbmg9BmWaOR30i+JrlxJ6XvVcPx1W7YSRjQNttnmy +qtwZf3jYWKKm0bZ6h57pVMaZs/e/YHSDJtL9eGkVpMwiukH5+x/axWJmbjH5 +XvT8rW5s352r+DBENlgG8Q3L2V8Hz3L8HHdTVMXz32ArkKvfH05OpBcmJtJh +rv5t1Vz5gTO5zC5Vle91dKcf+eUg3+zbKoNpdCBkhh3/RmIaLcTM4URaZZ1b +S0nz6kzOlsLmWw1nux5AmXvmKMfxKsohss9X6G3BirJ2KuAZsPamACb6JptP +WL71/b/N2n46ncLtVw1HvBzXaL/vNQIha2e3OmS56MLj6qEJtYYXN1u1hhU3 +d13UIzimZn7oh0fUjV3TifVsWWXAoLI982ct8X2AI5f4buBx5AaYQJ3AD6MP +wI7Lnifb7Ey6uc+hxpMFW4216+TXEmt/ip2AE8Tl/bBoPLGs/UmFWVt66eKt +Qa6g1xlo2RPIBzvcgBJloAPVxsaoHCionM/KQHPiqRjPeZK1zeXx0DeqI9Cy +9rEJ1m433TafuD5tvDTAPvPxVmTtB4i1g7pMxdpS4Ly1WJufR3uIPu3OQnKO +W4N2Ot0cfc5WT1c/sG2chJuDoKjbB73OEIU+U2jqLqRL6TKxQRjy9n/w0mL1 +xp1qk/A+Mal+ZJnNReuIR3nM/SY9Amcxt9RxoAB6RXE6jijiwRNH4T8oKH12 +Xdwq3pFsSTmAJtUH5f++18Y7/mIFh4h3sILDtxU3yf96cdOwJUVuE3IYNXAc +UCebI5ouPuKBRJNi7lOwmy1gbumx05uFhrl92eCIMOpRy4dUmXS+qBHmpD8P +ctLSbcfTxeypdVmSu5913K1jHzB9PL72kdF0ehuk4yotDD3/bb7Qw/z/yw1s +bzTf3928SvCHq51lvgTf6Wv+/xHmyzuZ1+Pm9YN57Tp48OBKRXD3qln7kKd5 +j9CukWLtt0Q3totGC824Ox8Ba2LI2vaJr0YQj5ZqOgwaPWreiQ/XdWGjv/nc +RFZc3qbSH9d7o+JvKd4e1kxEpSm1T33a+EQoGwNVcj9oi8e4dE/D2zzXNrwN +u4WZZSjnqrl2ojUengDFrivPtT8L5to2aKQtHPxus+EivVcoe7RnxvYNtVM4 +u0YGb9ddFFZcQr2lSn/YnUKf/vA7hZ+7xsCnM/YKpwjHc5QCEcx9T8DcPwTM +fTswN6VBABjwSJvn21n7hpwIYYiQO4dbgbtPzeJulxUNubtvgrtj6e1XBi6+ +dAUqFeJupyNw3H2U4e7GmrvfS3G3tHSI3pSoTU2XQ4TqLq+0s6feDdnQsdAb +Orz/+XT0P3tDRx9RmHJcOYmQw0jVVQOVA9gwSEq7S0UP9w1UADGegIB3Dp9Y +Bjf+7cjSYW/ymruXFMnda6nOLOTu/XC9+tenmbuvto/W1SeSpYO1dpvL3bZA +BfV29f6Lj79s68DWQVeistKu8Lb1UerxIkp9kxTc9BCKO5UMcR3d5nK5SBg7 +go6hixIGSFldP3pTRnAq9VhKu4fRY+nXjrvhsfQ44O6nVGW94O7WjrsXbAZ3 +v0jc7TcMNo+7rxP7uJ6768lCoYX+ubQ47u4VcHeotzuaudtroTkZYo0dJwYr +iPthohq2EKCE8KwlNh3C5g5OVV+xNJUQ+Q8eIcGWwu1qH5FTIql5NydFLH9v +l+DvbZG/Xcyaeo00f2e1Fpanw9MqvG/lXiIvjcksbKF4tZh3y8yr30msQ2Ur +dUXZiji9B5PHONFacU1G2Qp5o4NCbzfvbi1sHnovkZfBdHtFX7cQtoYIx+Vc +JX9jAUt4gr+SSljSUWueMjL18KTRx62/cAx+u0qNcHdy+QzujR9PiqIWHb1+ +0XD3EVncvdj8j6sIruH/Zpj/fb/531UtUFcbOHDgX+ZL3eGnIGRXgV9eTcRH +AK1Xv7NvEAuRwjrC6VwJ3B1y14sKFBmirh409yBOv1gjsjwHArupPrf/D9ue +OSJyn3UswpvsrwmH5f+60z7k/QlvNDnCZqTWQjuH1H77cCOFqn/hTD+3/MBD +X/c2Kp4kx9hdDVJ7Xccaktt978q4YYxNtSliCzF82FM1mbVhETarjPtWsd8f +yu14jB1vIBaOioT2Z4XUTminYiK6Nf7QJYjUrxqkfsXGRMx7Yka9FbltxQjb +BqojqV2TL83PNVBteM5GRb7xwWql7HBxEapQsVZoxmpRpcJYTTO4WwRW38hb +iccJ8Z3cTExERyK0HuQ7JDYXrU/WaH1NOWgtUqVBmbc7I99qaO24Gku8Z2Vz +dfOIq7ml2JeuNXVcnZG0/iSe1zXkpPXClMcjbO0Oe1UoN4LmO5kbaWThAM13 ++5PKa8/8YAMF5xiuvoAOvK9cYneqbqSB2110o3+AVF1Tl9no53TB1e9WkKth +APa95GroWXmDLHi0omi5upfh6mvI+D4Rbxj2Gn/EXd+Wq1+go6k0V7+HW8ML +8dhJWvCms9PjqM/yzzT5ArkaE9ctw8S1U0d3kInrDWhav06Z8EZxfgQM66dU +oG/lok3wOMeVK26sXejUJ7WTUE4TUTdzeXR9jNB66meR8QaqViRatyS0blIh +tPZ1nXIRgWTqYhEhC63HmddNFq0/vi5Q3RxpLpEjCK35EtkaaG03edvney9q +K/oJZYSkHqo97OVTl3oKSyl1vQd2FQ5eYrcVz3PJa8HWFMmSbH0jVrHYCiNY +apCz7ZCvd0jw9faCr+22sOTr9BpjyNdp3XQV3yAX1yu72TZLGjLXF4Xb19ny +ZPHFq+JEP9BLyywJd7LUCR2+XJ98pzq1l/5e2B+jogteXdwkRAvs/Nhwgj+t +z8qThJrpHoQ6fQK+DpKxgq8hjb0a+FqY87x8IVhlzOUOzH/jhooiMCvH3KU+ +XuJlerLCRWC2E+pNdgHt5UHERGL2EwKzvVyvZy1E62oOjR1ZQ3RkmCDrx4YM +GfK0+ZqNiFQ52Hz3OPPDBwwePPgV8/1vzOs/w4YNy55kV3K4vebN/QwOGcDO +PSgR2ynKo5ZBgdgbtdDjhbDv5w+REPmLENsXqnixB6b94TmO12TlzuKt9DwH +PUB6b5En1zLHJN97OpF9AvUC0fsP0yLB851Mi1AqW5+tND0xeu+tivqBLoh3 +GF2GSSjOA4+0N+N96tL+WdPrp4RTJlW0oqu738vAbFWwUtcmRWYmnNEuje1M +eCqNfZSdXGMroZB88ORaYjalskvgvm9uwzC8lq3efo1RDbBFD4sj7Y4/o//D +BUckbYu1RtjRygqPBC6Qf4O2Rxeg7cQOV5q2dRN4KD3Yctp+3bwgqO0ndc3d +/tZUQdsPEW3LAoo7xe6WTKIKa96CEQYl2JpHZjBxMh5KQKQ1j0tZhOBgUXvX +0mZtYA2cNc+iwr64nHVm2W6IB4AGNqW9M+01hsUsD9Ix9pN0dD2djqstbfs2 +w4V0u15eAdr+iYpa+XgZHUjPGNoe1iv/paPtSRm0/exm07YXgnzmhCC83/gI +PdneR9p26Z0e15HS2tRueJ1Ka29uWcvgi+OyFnN9AIUPGFX4EknuMySt7GEb +eEDb5vJoL3tauNiQaBuKDY/6V2kbPDm3Br1FDSPatpdIPVpk2Hq03dE+oDra +loFtK9LjHUcIX8lJ9qlle6GA8gwS6p1DfpALaNdREvcoCmddS8sQXH7IaRKe +aHOCO5O6aWkCupKmJqi7fHnItkl5SHlT7ShRolsR5+7q+pldI6LaffQJbtWg +ISx7uj48clOjucGf3dv6cLX3mOphhon2uc2FMMQQj6gQx/N6nShxLuBjibqp +OQN30Xiqbain+Ynu3N4a91Q7YoK6bUfzRbZ6DpMlsvAFJ9u5XC0XLmGxg1RY +f5lciRwfrkWS7CEmb5byParI28Zte9U6TIe1ibYfNf9jhmBmnmNb2t4GciOf +mS/dZ17wU2sa6i43rF3doPYPr1cPgtd8/GHD1xKvY1+eDmC7rkKhA/mLdSCJ +KXYyGMJB7FvFkQkYafhNxg49McnGxztXz6KWHk9p6QPZySMUufhoj1DWukc8 +stRgVcsJorse3mjweEe9huVNs1V3vV+uZSuNdOrxM1vcacgyLnsPft5VtPhp +dgKxq8+J9SCpDsOg7DsMYqcRW6hBROl3gNgcxg4R2y0+MmMH02xmbGo4nuCU +IWnOHtdpA4qpg2VIDmknFiID555k7VOFd0+z9tkVYO0RxbA2pVHHbjlrH1s0 +a883MDHPvCg08r7C7TcycPtZgdtTqDucZLyu1kWrEuSh+NWGJUYZlhjpWKK+ +CmpzpYuurehsGKILBbW7uaC2ndT1Tjj3ThTOPZjKnU58MFRJqt1S5FI7aYN7 +/UM0VXuKZGAzpKh6ub1nVwi359B9WOD2zwq31yBuX2sve8Tt+4So+nHcly8P +t+dk4PYrh4e47XQiGNj+VixHhtLq20lfCZeUw2128PVOBEd0G5Jw8J1/XvEO +vv5XhcGReJ9hrQpsZzQgBaxNph28PJaHnYiStd/4N1jbP4oyazf+SHUhfsyB +qsKs3XyzWfu4BGu3saGRlnTpWG+1DWzrHUk74IbQNpwTcXhEI/fFArlZMTKa +ZNZyYXKsGHRr7J6Ugd02zL19gN2sHtHOvpdlmKSAsy8edhN2p4IkiTLy7zKD +JFYV4Qbdb3g9hGt3Dhx9NIyEGg4cdNdxYuu/nAYie9DtHH2jfKOzE6XxsPHM +FlY3ImOykoIMdv8YxGRlNYzE7j75NaAdQeyWw+6EeoTP913bM3UsInpfTIFu +CpTAImUdO4Rk8fXXBabdsQCbFypZRzKJhpSTVY2M5G5LU71qHlYorA1rj0DV +o83/57C2gO3PISUycOBAeG0Dv7Zc2P7PdvmfXi0le4h9a2TPr/1bhV17cUyk +Vv5PAOwna/vk9WOcQyI5NbcK0Qz7r7vtW8lHRerZ9DVBNu4FXNPIPskRZP+m +IHsTdyBGkN3KpbDXYQq7tYFs8xbreDR1IWZvPq5tZSC7pVdBrqFZ9veN2MdX +oOv+ICWvrqXd6alZ9gT3Ngld6bxvqzcf05ER6+HzkP2+M4uErS7YWU+Q7UvC +qSj88DB9HTS6UP/hs0eJBLa2ikQJbIbslX7zsY338aWH2b715U4XHbFH3R60 +RXxEJrO7KlefjJCodHZUOs6wPXijq7zQsB15+y4JKUKLySLYVu6+CLYnrCpA +E1sK2x962CY32ay3KgDbuvliYtKyYGHiWpfOBtiut+AyAxRZ/YncEQf9iScb +iAD7SD9KZ/eM+xMX6f7E+uTrq2vooCZFS3d1gutLaI3rarz729WtO5ZasRh2 +KC73pePPE2y/pmB7fkVhW7XDsLvPw3ZvhG1MjNExlj/CeqIgbM/ForAEbB9o +YPsQewnPQBNJCNtuO7IVpbSP5u3ItbQd+VNyO1L6+3Svol9qiFtiiklpM2z3 +l7B9g05aadjOUFu6y+PzALY7pmAb+xQ3E7bnFAPbZOeZK3sUCbY/vl5dH2nY +bkqw3TKC7dOKhO1jBWy3Y9jmkhid0raLxYfQM6ucb+/tEyUire1n3DZVwtuS +Vy61x0h6zu2S2wF07xjZSiYbyAbolhuUWb4/neJ+RSe4kxuU1Zy1RPcyBumS +xVFPXtDHyAsZ1vGnk9t+czKo9+D+O919J+fccOr/oJ9zB1LsW8P9tNSc+9cL +7W7aJueCaEEuCNqcxHRJtq0ERo8SutdmQLdvlznVduDBnpoYQ+otSkxxu3m3 +8P4dQD2NGDGBug82mFwtxNnS/8djSo6ZJMC7dJK1mYj+Rjnw7l3z0Ai2hYbk +K/OFBYaqm5155pnbeMiGn8evVwpDdiUH2etfqpErgTdB7tZyJtfZYO1XGhOt +L2J6zTrIPzkgcqfoux9n4Prm+ra+83qGa/v2+R951Z2yj7PYLLhOTLDX9w+P +TFDd11mKrjsauNZrjr2cMnItHZmEU2xohhmcUe3JRyQkvClYoygVfjzOule8 +DeQUO2yF4TVHHxQpnMeGJph4gr24nAn2Csx6Ol1fg89IJeJ0feY9Y9Da0Bo4 +xCAHGuawPVzz9Prh1qvSE+wMuL5brDtGgN2JJNg8ydapka7x+mOQHBE57StO +ptxpANmbDGRv2rqQHRBEBmQTRRQF2U9YA/BmQLYl7HezCHuG3X+c/RwRNnlH +PmDCflARtg2iNg72H+OG8noYQmV6OL/8vsWF7C47XrgUOuV7K7/fAM5pL7Y5 +bZjB2f44qFu2Oe3hokLmBrrFj1/KnYs7YjmcJGx2/GGNzJYStrZjw9DiWUvY +XzS4jhZ8Noew37eEjQ/OcF0vpjqZpWTHXh66/tg/ovcgRaUMXGe3dlCGbN1c +TgkSQ9jwsDYyIclGyDaXzPnnmkslUcWIkH1ZliQ7dYnoQx+C7JShR0B2JMgu +F7LnEWS/v4WQfX8ByB6bAdnyxEfUkiYh2640bB5kcw0j70FyXtsnSGwdoxxp +74sj7dPM5QSX1Zn08Kopmx2Al4vdyNF02XGGW5K2S5UIm7ZMlXhXyY5FJ0tC +X8l2oSOQWtALuUqWYI8j57jJqF3mSTt0lOwibNp7BKPt7Ay3GG2/UBpluP+a +KvK1D9f1nY1itP1nlOEWo+2RjRMdIM39juRpLb3ZGB0llrQlHv0kLRAZpL22 +Zd9crkHYSIO6EgHbXA1CsO0rHTNUgW5t0me6wyk3r07a2G0KuHVjDdAV14lY +faAH7j41D4kgO1uOPckR84bpNb3wIxo/x9KPmJJlxoODQ6B+JBWNG0EfYkfQ +qZzHzTSCHiM2FnlF9nKqgXEPW3TC4cbQzf0Dl5SB9JSR6pCUw7xH9zDvIVZm +41G0rIbxUpBvk7FqIeIrzRLxTXBZSx2rXkLSdL+9yLHqrLyHIeXqsQykIqSc +WQHTSI2gUzmPFt8YijacbG6jLufR2sv3mJJBdJ1Jyu0tKd8thHxcFXNbh3Ac +HdLyL7iNNaaLoOXuYf7j6j6JrLXsXZSyPiqVK5aY2UaW6suwpeVFEHOhuRta +ybYSMb8jiHmWJObXEsT8jCBmWS4Xh0kDYv5YE/MIQcw2a91sQQFLHyDAQhn+ +oMLFxWDpy8haY1C0jrm1V0diHkxG7At5s5EGZLzZCKtWkwQxP0G33hfodstW +7PdoVWo+tbwtzSDmbzCQmUHMbxYmZliH91ZsSczTChMzPBHjNU41T1TAGBr7 +vDlkalNhDmnFxPw9dpm6ThnMXHN/6S++ubxnaO3LyFwDRReKXZcn7vNdjD/b +HEjWyU3CrRM5LcXlERHzy1nEPPdfImbVvfhxWLPExzZMzI0CYj5H5KTOKEjM +Vmx5PErkuzti7qSIWW44cgikgQ+BlB1MW451aC5d3bz2NpfW7pir8tQcz6Yv +ob6ZEYn5NJNzakbN5MxbkFnhkMD0J8jZz6lThY7Crm0u53eKsPwlyZmz2CIU +IufTq+R8+r3YLGKlEPtGOez/vVBgPv3oAUEOO5hP32bn03/IHPZoYRa5NLH9 +eCZtPyqbdjBY7HR0keRMeezmDplyucND74NahnQt1DIfcqjIh7hR9XA/qlbS +EUnPdg4Z0vMXjp7jcbWjZ/OJ26fmwTpvnQ3MDyAwlxpg3vh8rRCSX6xh/waf +V6PkZ2qGo+QnbH89Kj0MJP/zsFZ6+DC0LRNPmPLAmX5D/TAQDc9JfCLBz0oX +Cr2HCEUzKG8IQLlVuHtY6G9euR3XyiaiVO99MFaWmQ3fDFNorBwUjpNai1Uf +yyJjtQ5Ha1t1HIx+ny3V3ASj8hpvZOwd+lJxCcqfx4FokdV4XJaLC1seZzUe +dMa8VQak7zt6tXkfTm7rINl8zWCy+drE9j8wKJuv3XXMj+aO68bKDpbXi2VE +CklTs/INnT0sB0ZrGi8XBOaTth4wywNqIIGTZSJ0tJyf/VIQmPsIYO6VAObu +Bpi7bWVgbkrA3Oy9l5PA3MwAc6gRSyRCAxoItdYAzPV1WnpBVlr6REMA/Q0B +9FGFi8eSqpe3rDgtXZ9OnzktvV9+YNmeeEc/T1XIwN365mX/odJFbinfEU+I +p9Ld9kUC5pkUu5xNwPxxAWD+qhhgnmnulOZuic1az9bGxLQH5nsjYOZjpQUF +gXmueyiWBYwIzEfEwMyt5ZESRFbKYGqaFn6PjZvLXWpadpa61PTG7NS0LGK8 +zD9Y+uZypGUAbgbmfgaY+7ksR4G9AiouR2B+JAbmzgKYZaPM1gfmsGUpBuZb +EpeIfaaUOSe+THiHVwNzu6KAubsCZlg0aC+AWaamOchRjy6lcMwM1koIc5xi +nkOhZcZD8y4IzdBtOpQ0IVBszinqGJx3ygBnu8jo4Fmkqu80l+fdUcijsKYv +K1UtAx5vrYCR87Y4cp4twJkT1YvYZA+XO4U7UmlqfFbmYAcuMIYV1W5PWYyb +N/Cz8yuy7bxGoMNmZcg/AM563DzpEH9CX3Dc7Isbf3ULjBTs0HPGfr71HOKu +6yDu2omKPsQS4w9tw0S1raMx4NyC9SEDhC3tFI9RURO6DnigRiSXq+52GqWt +z7fUWML6pibBcw0fsP6KrH12NGkXG1Pw/BktN/atcVDxwDzBAfOv02rbSbLc +FnSQXMuJzL2QgwrEH1Ubg8E0WWQuAjGH8t9dJ0GZqhJHiLw81SXy49FGOVGG +gvGTsibKdmVVhpt/DEQdrJnu7eoTdWWnW1eVxUMccD7kQgPKWjUNcZ2rfOF4 +aapw/C43UUpXKHIV5zNuqsxbhFC7Oa/6TNFVP8uA8uxggxDCzVIvjaDMdYmH +yImy3hwMQ83TsmoS00rpnDVzbOMzF77OxfyYuSXnqiVyF36ibDC5BO7W5mYJ +Gi9eJPRD5fU49GJWvplzzsTKURRDD5e1No8GZFvEy+KcuVxevqoAL6tWmD5S +v7s5vGyA4OgZFeXlmQleJnXeHC5jfjTNyyDzmEcwMJ+2Cw0MNDAwUN/wsi8o +FzUw7pxZ6qitNk/qqLuKwLOvW8woKF9cF6dhp2ENzB7Ysjy0zE684IyYtwtv +XiYrF+1NN4uX36Gb6ZbyslNTAy/DdGlanfyaC2DAPMaa50UVjK1d3AJePjjk +Zbisn4PLmXjZK/S+E1IPycsUfHa8/IuLN12dEXweIfTUUfB5qC8uj4LPl/vg +84CrcDjtLhNqhul38/rkomGKl51hMsXL06CzfGnUwLh1ePmJBC/75sWmcgF3 +/s1JXrappa3Py9DAeLy5dFih12dxW8XLHHxuKKpheNOwLoWfa4loxl7BoHkw +FZqfQ2q982nYfCEt9nIYmjcQr1zioxpXL7U2yzCuYbV74zgcLSIbaf3ejvHQ +eZmul9m+YDAazPOzxCbiRxE3JwLRwM2LdojEH3LYbFV7YtjsFo5TtY2GmafT +sNkVePgKmX84DE0VMuGwmWsb6+X/uEmVdVyZ2EBMuRioSmY9B6J7yLIORVJQ +4ZiqlAm01p6o3Dm92EZcBcHoUASSy5XauMbhw+zRvcxHs7Kh7ghaTLzCNzwG +QhDbX43srKQgX6jYRt8aB1YgknGvA+bfnq5jILmmUmrwNLm2t9ZRMNn2h8t+ +w4ODaXLw6MOSaLf5Jwx2VzfyAeWR4gyB/1q58xC67M8UE2U2lQ8IQfknYbOD +v96fCoCy7EC0uo10/GK160E0j0GH0eHBwRe5fLvPtmcrN6xAOg4rs+7KTpVZ +iSg7WaaLO+XrCd2GCCpL1QZIowmU36Be8ahTnEA50mvozb9mX3lIZkl0S5ZE +22PdKJjchiE57yE5Ebu4yyntbHjSkvI6T8odLCnLjcCbg63AjQEtj9G0fHwo +mQ4CzKLDZYuI+YJsYtbOAUnMrqwi0aMYEbM7c/4aR2jd6cxZE3NHIObnLTG3 +LZqY30kQsyxxoYI4R8x6DYpwgIoTmZidb+DjUYKY/YognDO3yBJNLzpBlC+H +AeZei9tnBJiBmPfH5rfTyvbJn2Fu6WeX7eIKXGRx4tgEMT9GZ7rTiJjBq/UG +EfMcGj19TEv8MHLicRPeMhekiXmNIub1BYnZPjyzUN6fMJVPzLNJGP92HXvN +MzHbkyMi5kb2AfipQIP3ndNOplcFf8ZCl4JBZi5TVOJpc80A/W5+kHmj63Sx +xLyuYJdipMELakZ9n0sWMTetMDHLbtEniZgfSxDzRHGJxA+VWcTcQBFziwxi +7rAZxGwPaNo4YvZBZunmoHXBxTxlltGMfcxrz5iazaV2Nq0PZpEzT5wvJ2Ue +xzVG0+RZ0jMkpyCycXMRq4X3iNXCIOxsLucnEnENS87bBh6POOTsyXmB8Hdo +cv5cxTS+kTGNQJfHXR5i2sy7Da8pbweEm5+T3g5fecfeDsCvv2TZORzg3+4P +7/9I6PKyYxoccG7hlXl9FTkzWvHEmctg2ooyGIxqIF7lcod6wpLrYBKe3VZh +Kq5B5/hB2JmUHgcJpYe36QWB569renpmtYefPFsy61ejbvET5hssMO+4bf73 +qXXc34rVYqQaVEK9c+YG3/gjAs0zTpOlh+5acV5whdjk05oMqK4/i/4mz6A6 +zVNoomz+Nn/WE+XOoMsQDSvH0HNQpB4XoKxzykcNtG46BOUh9FcX1mriVLnu +cO8ar8VTZS4NvyH/RQ1bo/mZ0Gb4nCIroB8Wsqq0m87GL15DZcZHqMzQXjrO +KX+Sn5UAZS4r5OgFg7KPXXjVs7mZ2iW+3dz6vY8mi8RFln6OopD3hrFkw83m +LlwCiGzeg3cfwy66H80PGETO/ccB8u1cHI6vny0kq5GybV9BUC4BSjY3ZDlV +5hTGtcfHk+VyWfm0UA/APq5/hZWjo2bFyrDRFOUzs1m5M7FyhwgGNpeVU2XK +MpupQYBaJ+ZD64SKLi+wy35yi6kZAUC87BcWjGtNtIwu98Nj5Ia+KXlxHSxl +wejykt1x3MXLfleKssNxy3zJ+GQaS02hURSw8vQMVv6kSFZeSe3EuPnzri8c +T7Py9aSs3AJWLg1Z2a4l+JTVCw3+65TRbumPrmVXOi5LWjDCvN6VtCArszY6 +o3gcI8zEyuVpo89U2uiTR1LMPwXKYuMv8SwJPJ46gOk2RfYX/VusPK0AK9+3 +2awcdB4uOF9cKmlW7kSqx4qwcm9zCfmlPx1h9okMO2E+kPTRNpVxsuNlO2WG +JUBQbZxBkeZCzAzBqEsoqXGZSGpcQWmNUeby1NzMiY0biZvl1PkO4cGbWIFC +cxlx5uVAzcw23lzVlbx8LJx3S7MSGlSc+PUnO7uERjBp5kfoWWFh+c8yoUGT +ZnQs8ObYs35jzE2aSS8dJTTG86T5SPQnJBcCA2+CqIU2pLVhUDiOdMXljrKO +FpR1jCEsLnjJiDc7ORlPm8F9R2f4jrhoOxAnzme5ifNK3g4M9NMX87g5l9uF +0hp24vyt4GY7vbwqXhQUPYvEyhWLYxhY/nPK/gEg4xQZABlbu0UmWfrjUpJm +4ZD7I5ok0yPOlY18HwocEAjdBUMyhmsglT7IKy82ZC7yxd3zaApvZyC5bTd8 +5JEZZY5eyDpCB8nmr2t1g7OC6AX/NX0LOWU9Ua4pJ8pjqG8+UUlYfWI0UV4i +3HJ2ovyc6EmxC302evGmiF5oefPHIqNMkHygXuRb7ssWVDb5WRW5mConyQnF +hV7cc0t7R39v4BcSydunoxZO2OxdcgaSza8xiGx+jYdkmiZ3CqfJDpQ7/2J+ +jUHl3DZ2ohxOlc2PXdsdCLq8ybJe9oMdfyd8rgAxn0vEzBRQLjGPNiRwzS8Z +4UwiAukA+FeJeY4j5qZEzM2gyhBrkJmYZQ0yHzbHxBzrMcYoYoZlP8hiXOxG +ZtwY0doVq/jscueAmL3pmeuO+yrTM9zeIWzJxSqnQ3Z5yW54y3bLfq7GcCfs +Lh5Pt9j7aBT1ON1KnyNifpWIGexV71OV4Sci0LglxAzbPr8YYv5+s4n57SQx +vxMQM5SsLAuI+Vm61nH3wBHzSryeJytixgwzlRYFRSsp83OiaKUo87MoWjnJ +LcZuJF/jBneNOGKWG3/pvBIQtNyJdY2fri28ADG/uTWI+eEkMTcRD5VHRcR8 +VWSRacDCZ5SiV5yYu9GhTEWImWV0A5CYOZNxCOWYefmvFmWZq4tsxh5YwDKQ +9BmDxTLg2WWenIdG9GyVGjx1ZrXGSFHWchVlNq4RMjtZlThW0vPSdNY5Vdzi +sxrbReT8qsxpEDm/j5GsasFSYFmGKdqVs5BK49v5ftK8ij8aZovW6Ldt4ynX +2GHr6cv7JTQaNbxrgQ790a9AVYhuZUwWs9wqJs06o4Hk3ESUszT1+DXYLwXy +fJJr6dZ3l9NmRc6RvK6HefU0CNZbVNTRgb5EsGjczFkNP27OKm4Bev7uIKpM +3FEsCWp6louCltj6lx5QAWJ+0BHzX/CffIoyLItQcpC3mMjbezqYXM8Hk1F1 +0dD99WA5N6ku3ChZbPG5OA3+VfmDgcLKC/7rynYN/tCmHFJufLr5axoUNlm6 +xc1hPntBQWUeKVuvoDAxq5Hyp7SQaUkZRspQNaZHyloUNz3Y6JvHd8ZAfTE3 +aBJ8B9bi6yxyulawL7+G2z5LA1KWuYtpTMpNvnAbQGEwWeYtJCWv0pRMOYu1 +BXMWQgiHgFwCfFwCcGzelDxDNnhscNcAcq6aW+Xj0AW0eYvgRQncu2GuzDPl +VP6iV2hpjkj5pCJIuUAOQ8/LolZjIuUTR9EBc3mkrG1Z/zopzyJSfrMAKWet +Md2rtBi35o/iFab54ZbfkY6U050oPrV8SpBaTmqaVWoZNc1l3IlSE4deAyG1 +bEh5qKgghNvudRSLvJXWhybRbRSGT0+kVM20BsSkvGCrk3Kf/OeGlFeUTiK9 +kX+KziblmUTKs5Kk/FZda19n67rtR2GhnCTlbwJSxvRyu7CSEISNnF4ek9j2 +S2qbJSmntM0qvRx1pLBCRlwjmFXC9u/g+sC5cuoSSZLyf0X5d0jKLSQpv1Ue +KYtgfyYpP0CkHJZ+N45ImaqD3DKs9i0Oc5eKrEbhpVjvXTwxIGUtk6sYKTdU +WQw5Xz6gAC3vic2fkMsIiXkXTDUjNS/x6Wam5mGOnO12IM+dg7yGmzv7TUGd +14hnzjs6auaU831aqyEyGs+Q9pm3AoNZsygUf1+onmWqOSTmsM7wa5nNmEvZ +jPd3V+XhkM3YJ/qYyNRnuE1AymYwrgnx3F8p8ZxWaLhUs5TPNRWp5ubez5t1 +on8s9KuIYWUkVJDxV5YqiFJxuRXYJNQ+r4KEM24GDnE2Opw3HzE0l9s1kXDW +1SsjbDkdz5w5BEDU3L90/2QOozJ8fzvE4/GIxzUMHkPu5R/e0xPpir8FEv8p +zG/w3/3PrBgye5IRi4/K/08nLC4Wyu3zhAyQn2BOo6S5dCZLwQXN/38STzE/ +KMlF0Hvjdvd8783qoORdiC44aXGYSFoILPb7ez6W/EUN2N8bG1nhVlSfZG57 +8RDZ7++xFU4nLWZS0kKWk3gjnI0kAxbb3b03DlycmbLgvT1OWfAA2drfvrQD +5Ob2limFFqHMAnf0QtsbyiwMEOeqEhKHtjda0KOBcSWMHtPQGJi4088lCMSV +/MTYgHEJAHEJDo0r+bW9Y2FMPKbLRvM1Hh2bl/kaDJArOTA2N2/zNYPG5s65 +WXDMVSZFhJRTcMzjMdnVEMKxrzRxO0upMdn/aTjmOz+s9BWAY6ePze4w0St9 +3M1tA8o9zR2+uw8oLwoNy/0xoFzPwPGhNOeqgXfqgeYOfdYS7jDZxa70mdcY +2rW/jU5q76HT2UeXeWectSzb5OJblFjcanAMXd10uvrLcwTHDW8wcHzPZsLx +HILj+R6OqTzw9UP5E2CFsC0zHIcqjAcFHE+kB1rIQt0q4BiPbQp0mqR0yxqO +s1LKp7AK40ouENwU7PX1pb2+Prf9WP71Yck4lxuUgOMVBMdLk3AMNfaNC8Gx +zCXNKRaO5SXiT1psNolz/LD5yqYYn0/KguO2STjujycvfNnEcCz6Axe3My+t +wpBBZQ5fHGFedpRslYwWkE9KALJ1ytmR8mkUxji9bFfzUWwwOfcUhjIGC23G +2bQGqEfMDMsXKVi+bEk63CyVGnK8PE6pNDjQLFcBH1TFhBxknkbqyBmijPAN +AcmzBSRzgHkRCdiXISRvi545DmO4kTKHMT4SYYw5tPYnO1BU6SBCsi4dfK4C +a38yjHFLPQpj1LdCBannHXGUAbPG+U0XNQnUvGENcwuaU7Z0Y+VwP0xrM0JI +huirXwHsI+KvA2i0LHXNDGc+yRysAarR8kqMxfpgBlDyd4KS3WyZPBoDSuvg +DHk7h8OFZsh3eUg2Dx9B7PieBBhzt/otqXlxuKPHI/3fRLJik4sfiz09Nr8N +FMGYE1pZfUmf1vaBpZuF43Xy7yIoFUnBsVjFbCZLIT0cr+L/9q6973z/VJJK +WDgT3DWUdrkhXTAC+zmlbIKzp6jh3PgZp07NVCabW+GHpbNttRfu7MzzumSA +4/3Fvt4hvq3vJdYkp2bGDo59/liKLOS8OARjPyuOC0M4alxZRI3X+ynxNmol +D4MU5s14c+cN5scgAukmxdaUDHxM+WOk4lyVYFgsBsYlMDE2/5BEFtn8ngwY +G1x2tovy5saAxtyoIGdg56bRWFdra62yRWPR9pfKXJaLxqDAMrf/R78iNIaV +fnPvf1oeIevB2OagMazzP6/W+XXgUtguaJX/KNWlDZ7YegqN0RG7YKg7Mo7y +yG4AltjdW9ReLB4xGh9p0NiXj8AAC8tHDBrb3b1dMPQI6/XXc5e2tl3QkSvr +lF8i/yqj8Qc0KNpsNH7HH6H+e2j8CQlustHYrh2w9eIb2uFbGXdrQxkJFv6s +d2Uk3J7pLDIFd/g2ZeaSy1vgC9CYrhGHxnKBDxVxqwsOjbkJk7gY5swejZd4 +NH7NXB4ztxSNH1doPDn59MgFJCEaj0qiMV8qXEDSOqrUrgga89y4WDSuL2r/ +Dg2SFnp+fDKaMPYjRN7HzZE9Ju+G8+TTKbs8iPLLnMQYQoUmZ5NpDizoGpd1 +IkMaNK5Yki47uZGehGXRiTVm2O6he2mWzOkLzi0/KSwZfo7sEdl2dW/rrHJh +XtnOkFHFXCh1gft9u9nURdTLHVYE/vwa9XK/LNouyE32h9jv+4uaLTB1kdrv +kxrmwIxBiEy+sV9pdsmIvPG8sEQ51V6xjufIXQWW8czSHeUfm//RbYdxblk2 +WdDssjkjslj2w6P90M2Mc0yHyec5PzOZ5szrojC/XIX0ZH6eTHRcXMBiooNj +DE1gzPhQWwtzF7fpJcxuNwkgVtNi1xfipsVNKUTRzLXrbXQ7efRwIuUVfW1D +Osqwu7cRQQraskxO8dnr11P5Sei/OjWlryY3ySrxQMIt6WGldXo/z4cp4qnx +Z1xrjZ0wYa21vfmB7omnxrEeOdjPo6ghTo0JimfV+iSMHav+ENkdEkaOv8Ab +pIRiuZDnQxRhgMIBcaEGPdELQtPhEhgOm7cap4nH4lwYQLiSBGHztRuP/aUS +sfD1XezNGNbx7FJeJVS40rwYJsddN+LA2AaOK/sohY1TlAAbm1vhaJU9hkFX +ygWXpuONMR3Tqv7Q8xQdq9KRgI6DNoVNtk0hayMpalSgu/+95u7P6/uOjr/0 +dEwEsGV0/Lqi40Kr++XTse0ds6HKI/Go+BK/rbdAbuslikYoe9zVZY+5Y6xd +elsP2xH2xykWNPXCrddv6+2Cx7JsthhHR66B2WKZvSU+68pGPB2/F9FxVVzV +geNTJ4QqRMez/m06/lDR8SJ6QjZ0fJilY3bCseKR6XiKoGNpuOCqPk/HG6yf +vCv1yvcUGWRRPDLCZZA9HV+o6TijdSSqs5R0fJOm47VUY+n1FupgJZcbnBTA +BHT8krk8XtlSOn5a0PGjio4niUtE1fPJpVYngGHFuCgbWeC1iRBAaqPKRuwD +5QkVoOP2STr2W3tHibo+mUMGeczBIovMhFwbw0x2iFxKg+T91DB5T/M5blg5 +N5U2/HanBMbueMYzyLzOwJcl5sGGjjGNYS7dsxLbf+xo1tt/ly/lWkC79Zca +KLMhQ275ycTFw8utGvJx4WGeJvLJrriE1OpswgizyVWS2WRpwbBDZKj429UJ +caw7zktxZFHJ+tepSJuGyK5I+4XEEHmqaEjWG30ThHhMlmmPEdlkScmcTZZb +fYk25Ki6oqscJHPgVcnGZEbZsZptRw4TFycFAdjV0sWMK2NKixGQchi5sAkA +G7sYUFq7AuFjSlfssC3+98NA8V2Hq9U73zL9u26Zhm1JNST+jTv0LmKZm0hQ +cAZ8kHmdJlbweIMSBvbH20GxLzEXror2qZKYHhm9eie6Yf1q6etzg+JzhGok +TFIE5eWwjldDr+PJ2pA7aVg8iYbFMkmRaqOGYfEMGharJAUOi5WzgpIU7wQB +Y2Liw5flX+HmaZ2igHoQw8NPo8xNB4ttHchDrcTqHQyJuQaknWXiVGpCiNpy +djJcRazW2dUegmCMScBqnfnG3GkrUW64y8ZtgHoReCtjQmIbq6TglAQlJSqV +S700Dy6PejEywX4qQb28RYR38fNDu2uKeoMOsfL28G8Nq/Z6RVV7/wb1vl0B +6hW39ITe1VLv9eaWHhZSHykCkrZe7zwacp2pNu7s+lAXsXHXgzbuei62G3d9 +1Mbdiea2bDfu6mBbCFDvYGrMtRt3u+DZqnNUEPVOoHPTh2gNR/rcmHrfVtS7 +kLq3/i9T79uCen3V3ooE9X5N1CtcFW3XhK6Kjuu8qwLzxL9Y6k20h1whNu+G +Z1Cvbg9JUi/nia9R1Ds2pl5ZRQlPhT0eMNfGQ0S9g9LUGxTr/L+g3rvFg6FO +FIXUe6QShTcW3e3/JvX2FdRr88Rw1MKRCc4UH2ao9xBLvovlbHh/Qb+1HAHD +nPjksurm094wMHyzb64qyS32RsGFfdnBsUFhxOLdcg+ab3flrIWTX8hQ8tlK +t6xrSgq1YrNieRztE9xBOwW8ojeZGoQeonOix13QWKLvtg59WZ/8XgJ9ZX6C +BXBRfiKlTZYree+I/MRMkZ+QK3kvipW8ZH7igMz8hDvPlyFj3vu6UoRb5Vre +eQnHbuaA2CAZVo8Aksldr4RGGXMUPd35fZCjCIbEfkXv+8AIR4HjjCzFdwp/ +CXmLI957PPEauoW5+p+Ccn9Xk198epC9HpQT/jURh9jIogl+mhjcPL9BZYXx +Pyv59db3sFWITLow/fUZ7k4VJN2ToxbxVa7R5Rz79OCkeolYRB0di6B1Otf7 +4Q1tn5ZOtBPgyNA2JTC0LSqkMnaxCFqncxNgYWc70Pd9eIUxN0dDz0conHiq +6ZdWW6yzwm7yGwom3OSXiu405WK5XQdhWWN5hMw6HGtboW/o8kslPdQ9Doa4 +dqjbdWM1AN3KcMstsZEHINyqblUOUovwEvEH8zEKAYj8v4K7qeKPM6gAbOCI +RGVuFu7S+lyfDEdrL4m7oln6uMc/D+KPHneXbibu6kaDBO5+KHD3I9qe/4hi +j/PU9Aqbvy7Htbliy/Hgvu1xV6zNuXK8VoS7R+GOD96HcW2uNuLu6eb2ieV4 +cm1u6c6uTfqWpVIwsSOefUK71lN0S5tOk5yZhLuz6TY2PxN3dywKd396y0f+ +tibuvk+4i0+4tQl3D/S4C9f7dBRNWI3xsxh7+orUbH59Dtul23rRBK7PdQxF +E678Q+OuXJ/DGMSmzIQwPx463B0eiiY87opqacZdcRCSxt1vDO5+rdPzudwp +/wLuPi9wd6rA3YeiSyQ+B7kx9rDQU2F9oS9uQk+G5Rd+nJA/1l02adzlkrxw +da443PUpYYpCuGHvgQ574YwFUsMnIfbWLgH2hW9qVgL6he+VwjfVPf7CN/vk +7jcXrR0Fn4avPfECHli2O45+faWIDUlwQILr+KIOa9qRHS2UFDeK6j0ZgvAK +ihTfbudExy+RcUbz7fuiUm+B7KFeFoYfPufwAy7Q0VgXww+72wU6kZVy4Yc3 +OPywX7hAN12MdaeJ1mJaoPvnMbFAh+EHpZxwsrZ6zmsQCI4vF0AmW4mV5Dga +7YJ6QlaEdG5LzcSyJoRBjEIQR/eI8qkw3l0rj+ODhTpZtaeCEOFSXZAXrhDX +TnBc+8fY+sooHE9to/qN4VoH0czxrLMLszjtVHJFnxD+Z1zXg6u9lf+ug7cM +RxYPOSFP9depHbiwoXBo4Ltzhc+uVoX0HJmJBp7eTqDp7WRR9uoTDaFM7UWa +3iaMw0Gigao5RKLhrVTM1/AsS9SUZdhpIXhy6+O93wU8yztvUv+Qmtjeqis1 +NMdSQmEMJhQMvpZQMOE6H9uFlbbuG6sCx27vOBbzu3avrWpAsVKTNrofhnn7 +Y5i3giR7iSDZCxMkywFFrUyTJBvsul1Nu25MsjemSHZtUSTb9V8jWd00oKrr +5lIRB5LsHeaVOIf9xG621/8kFkA0X8C3ZrvR7r1PTLKytq4LTp2gtq437rhJ +AQTV1pXJ2rrd8cY3lBoAZM3zTZTqu1OSLJ1NPkXGUSbZN5bL6rrySRaHMKKM +I0Wy6wqS7P3mE+ChxNNsimTfCkh2Dgph4GrnCjt7TuMLOVbg+YzdcPWSYbiy +kWRF7TOcv0gRxK3k94YrNSJZCvSirFvV2DHJXiRC8Fxjd3aqxk5Xo4t90L4J +ZVrvO7+3gffU9eFI9nM5tc3lekaN6JZkFxZBsjMTJPtsBsnej5dI+SQbq9Lq +a7nwAlnEEQsgOioBRCgX7koPglkk2yqTZMNA7xEU6j2sBFA2t4254iTMItCa +D2uDtACu+1eFWS58rzZ8Uyv3gJjncvbXpxo8yjLG7oFVOg5hl9jwkcVXn1IY +7ka0O+EVHo5n7aqbTyb4/O795IGwqYRsdGXXMK+2hbndKi63u5zR1XwafOYS +CTsFiQTdZrdGrbWtS621zSi81hYnEmxu90/u5UBbmm20c3PF6xqGq23sf0gZ +0yR3CXR1p+WEruskuooEqXUOcDKhm/AOkz2tlUwmWPb6XmV48fQ8aooekmiL +HmqQtVYF0ge3OWb9/fqG+T9uEF3KcgVNNmDohMEwuYYmHA3436ylTRiwN6Mf +pW57km3OraIdHTZitGdWPS4YaftWjD7prmXGfKyQi9O3VmXGrCqTBuRsqCXb +MSyrJqvkcP7Ka2kPOrlnOmkQrqWB+HcuqvHjpAE6G+ju9VZC+us1Zp9StZRn +VTd7FawapW5pDY3nrhNoXnOXE/jSzFVwKqcJaM4aBGe7cnCWM7OVHJHuDkRa +GYi0EtwkSyA+YH5izKKVNYuWAIwaRNW9cBJFpb23EIoO0U4yuVs2ilz+KTNp +eSjqdmdiFJW7M1sXRbMmRnCfJRcZLcx4FPX32PqfXBXEAfn+2pRQNNkHh9Oh +fjQZsjtlx+NOWUfsg+sd6RaOpIWXA/Amx31wMH85f4lvUL6KG5RJVnSXc5Ht +mH9kOegWdsCIHKPoqxVAUSe3x2URdRZYNIreuxko+i4eqNgLmnZMa/s2ZW/v +1Sj6eYSicNE+IBqVebfsDnqIHEtaQHhg5EZl2Q/nemNO8doSeyCx0e2Wna/S +s8FumdaTRCj6s3OS9dUoyruXAYrKjE1WgAA4dDFwaC7XrRwUfZNQ9NUKoOi9 +4twha/UyjaKBbmFB2kV2jETRRYVQtAvpFiqOonBmMUAMVk/0g1VA0oOBPQ/a +DVC0ErLoZDdeDZMFnKutLshzD2cvGyREDOHA1BLnZYlh6fVuWOrDAJyDDWlz ++yAI8JyoSZe06fOvVbNDAEu2Q9r8PPLy7uo3xD7QG2Lm4k9uiJXn5a2V/0eG +AMDLe7/oFbvb0uYfd3gJrOsSo1YLJ38d4W1jaLiCfCbTUzQobUX7Sq2DQamc +8P0UeXoVbR7Nrt5eRJt9LT21GOBOr9c09ftLLhDAZbxsIBNyhQGlNYsfjFrI +LDWQ+b9rGgUH+m6Va2Rj1RwhZsjuUN/nJNyhPgO5W+lq5eKr2nPwk4gKWyDX +rgM/CC0Il+yacEK2c93cmGOs3zFc1h3hDve/cYf71+a/Kh1DPcUcYw3r1+L1 +LnkPesod7i9Mrne97e5FPAzFw/2a1nnghWAZq13kO3iuoYBLHoQKz4EUgLHj +QK5zTRDuW2iG4No0B5adBFh2sWMVWsVia5fIpOZwxEmB1Gt6wY+KGaehSPNt +FfiS+aanBc9tLHjCD2/j06tbAUAHi/Ut8n5JHb5cRykIoFwmFZxYKqcRS3El +gE78vwegTQlAm/DGdgSgXmrgC4ntXRVTeRGA6pI1BtB0yVp/t7ZlAfRkkhqc +bu5tsANiC4l3jguJSWrgfV874kREAugMAtA3aQoyh+Q+H5P98v80gNZa4HY5 +CwEonnTwkyN7vwIAXZuQG2zwBcVifUuXro0IKiQ2Zq5vFddOrACUxdEIoGuy +AfSR4gC0NQNo160AoFMyLhEdfFEASkcG3K4ifV/Q3d084ftqXwSAWlWeBFDy +fQXFxBUFUJyH5oA+D8WpaFXg0MrwPfjm0Crww5Xdj+Ym+czrYg4AMJnWMlcs +b3vtZ4h0HzzWh1noIJqDnkVx1aG0hHkx1SaOIJcBzz/HSBqlBglPozuo2ecO +rmvteSqJYfftG3RkP2uFr1eUR/ZL9ZH94h38SQjMPT8Wm1h8ZD87NP+5TayZ +YhOrHOdtcGSvaPRP7le7kzaIbjky//tYFUm9uqHPUjJ2sfv2fD/Li2KpQWME +oxbRaFRDILayIsVXT9G71kcc3ZPmy9AoYNeaoEGCVV9+O4sotEgMvd1hKMwz +7VyzUdzsq+sY2OGQsAnwGfz6/vq/iVaetSP87JjcVAutAjF+rkng52qmcbFF +tfIwX9bM2dJv9xdn8bXEWXypzJZKJ232JpU9i9faraxNqvf8yZw4i3+ntldu +Qbb0tSBbujzSbWWdw8f4SWfwbtlYzTU72tEJn72n0JOP2UWNAtliKwNV+mxo +bwOXEBCtZLeh7Mv8JPjWfo1YEyKk9uf19rBaCb5FfoUNKz6tZ6bFH8VfxvHT +0f0yNq7E4T3DauQaGBybuBys8taVtNIXMHF5O/2mJKwmDyYTsNrD3Iy7bzas +frSVYZVXSW42d2CvpNew2lDAqr/7wvqIdwxk9Zv1XJTetoI7KNwO4fYHw5iB +0AhsYBWSahfQ0d4VqhFYGrgYVtnAFTQ3LJcdZ9Wcqt3CajUyUO6wZbB64ebA +6usirjPbHX84WFVdZ9De4uM5AlbZxEU9hvgxcLRyDQhYvVnDqmwI7u9dA5cr +WL04AavnZLgGTokagu3WVd8bFKzenmWqiyLauVwfEtVZXu2Y5tV8s6Jh9aUA +VpvPeUrA6iMZl0iY0ubmhgaRqO7S0MC10Bu4/OUSx0+h7CRr2+r4CFbboePZ +w2oL8gw0LRdWT1h8uPmENTxajXn0MPifh+e2oR8+Urzqma+aX1wC/xT4pl7u +XpVpdWkAO4JFk97BIte6v9B8VXc4y8f60GMIR/rn0ubVhXQ6gsNVc62PopoH +mUK9dakerHq5wGP00PqU8I3MoIZwlz4VFQ7yCL9suZIKcE1wOZtVzkz7TraZ +ttzNKlXf8BenT+81GDtR9NAW2K6ydcHUPXupQtnzxGBVohuibEu/CpTAth8j +wYBKobYRk8PAlBrquNaoJOrqxgNdvcOA0hoVQNhxFmG339Yiq+vb9cfxv15A +lQk4NW2eeST/80mt/JE822F72C0zN1wO/hsIdG13nKpQTqFraIr1XbwCXV2V +QjpG+m0QIxV1CqXX0/RUH83LprGUMdavRi0sajVKHc3T9FTaYnWVAt+neF3C +d/CGy/9JdKVVKLtCYe9fLjYqlvtJ72qjogJbcVwqkbXXRjn3RJysBCS5A9zy +0OtaCW6A9APmp+zg2BMpNql+LZAY5XaEQtA5VECnTIyeIVNwGjpTakva6wDo +zDyC3KrQ+cEWQqcVWwVnj/PT0FnfQGcDFlstHEZNoXrF30MnLG90pZ2n7rTz +1JOqwjx0HkW9Rofh7MWW6u6H0HmmgU446buQbkBXKuiEm84EqX110LkdaV89 +dM5KQme1EDoX7ogrDRWGzue3AnRWN9BZnaFzvrmoSXCFF7XPhc8wF7TTv5qL ++Wle9TcX8mMtGDpXOuh0q/4daNW/oz3iwOqwrmHJ7lVy94mgc4SrDtvodp+G +JarD9Kq/2xFU0Gn3Az109hX6196FodOrkW1adOtA54sGNp83rwR0fmjdb/YS +SUAnaV8bYaB6dGRHri9X/BfGO09tRamu23lyDXvppGiw4r+oLUEn7zxxZRir +X1PQ6VixBEBxG86NHl6Jv3ZkCXJmJfo18Gsb5IA1G1aCME0JXLLwTeNK/L1G ++KO5uzPwlsMAkkJZNltKvoA9UY81iASyZ9Pu/zDa+R9OuqsryWzHQdKbHX3u +6LI6vN8P9DkFPxB2iPoReBlSBkjlkf7ixJH+Z+pIP9x7UtLXd9PS118i6auh +z+fEkf5TNcMAKfUi/HW/Lw9zetI7qBth3JH532+mI30qEPstos/G+U3RIFXt +95+qA5F+x9/SZxsxNKRAJC2jexGsrOFVnn4VJnW7UKhiskJYos7ioPMGB52/ +Xto0MSNlz22zYEbqaghO9oap9ZT/xBkp93RxbjYyr6aMXhne2wA2Q9uUq7MF +2Dwihk1blnZZnAOteXXiqH5ceFRfnfu70ntLi4vZWyqVe0tzg6P6d1R3F96b +ghzof10OFA2sFYXNdtYudaetpU3MSH+hdh93NA/3Mdyfz1V2a/M41+RxZZVA +ier6tAYYojS3u2owaMHvmbcaICX8oPlJ5nPPfItwmkMm5SGqzYxWy+bSURXg +Ul1pizv5qprgnGEZXKrvt+VyKUTj5MlkQrv+0Df/P3Bp6qZbiEv9knG9T4Yb +Lr3YbjAtPF/41bV6ylfYds2osO0jNpjsbYy5tBam0gaaW9WQJbyLb7kUEmfX +0kDkFtppYPXUg+Qhn4oDkO1IuGqHH28luNSe31XzdQSLBZfOD7mUbzc/bDUu +neEiO5wHhw6/YriUz0jk+chTxKVT6FxE7+QHlbaCS6/XlbZ94p183mS65IwM +LtU7+QkuHTBabfuluBTSLcH1UcBXAVx6/Fbk0ucElz5R4BLJSlczl14ZtXa4 +DaaF0k2cjo12KrjB1CXR0mVP7Tk22i84tedKgoYpLs0Bex4Bg8/D8XuV8IuV +8IcJRy2KIp42wqvU/CNzQKFNzNdAkwwzV/MyXzP/0srwb4dvmpXgF+9yvws/ +kuViXal/PYTCAMyqNTEAACuMp+O0dHd0Vg2hKOr5tLd/KeXIr1wiOfU/glP9 +nr79cNgRu7ymiL4Sjp6+JA77317uK/3K3c/PWHKKtKuzYu3qzwntKhz2//Z8 +Dcupz1pO/UuUE/zNh/3snyL1KhQU/OUWnUSf1A1h0e3/rmzkl80FxG08P6Fg +FfAGHVLrxeKOKyvQEdRoSigP/VXGkmKoYXHBiTQtPcXwaWkFpqK3OEDddEEz +y97DMmRQg/wEeINYoP9ZHt5HfWXtguyo5fAuFStkKA9MjzwvKGD47mCRIcXG +BWjvvVIIoqwK1R7i32xe49xCPR/iA5iuqJ5aUip0iB8uKX0o12tr0CQUD/FF +rCwliOJD/GhB6WtnCH80WE4KwXSCEMnc4XKj6/0E1B3cb3SVViQtDahU+UpL +gBYNoQYH6MyNJ23cHuCxBL5n3mbwNfgx8/PMJxwAa2UJrGKCikBq/k1V6HBf +jFxzmbjKyDqy0Pm9xFURNnVdAdp9ngib6sX7pAN97DqBqz+onoBV5na80rVo +9aCC2a5CBxlWy4ftmRXC1dkVxdXbBK6G6qgjceFe4mooPLf3XziIPFnhKtxz +uwWlsnrLaQAv3C+uS3esvfODyvbA8zy7cG8jaVeZ13VkSbyVcHWiNKXSIsQ0 +WrnVuGpNqdXsHWh5xXB17VbC1YUKV+cFuPp+Bq5ST4DAVTSmClydSrjqF+9p +NVHhKm87ccms3nYa1S+Nq5cKXE2WzIprRSqkbJ+GKGJ2VuEErrJCKiOIHeBq +962Mq88IXGW7WuoSSWWxrxG4atVR5eFqec1Zx9G5fRJXFx9D8RceoabO7WNc +heEozklxYmo+fuG83Z+9yxnqdrilb39hQ7xGCVjxH25BtRn8C0vg3wzftDQf +zfAb6YOv1rmq+G1lYmlOwbYAmG2euz3j96mavvD4vy6lWWvQbpVNsJ6BPo7d +8udQ7/SFBLEjqKx2tIDYsVROO15A7H2icO/xZb5p63nn6giP+ZOb+vKYn3en +so75P4xPZPiYf50WqBY85g8Tq3+LxCqWpN7rIdb2QB2R/5Mh9qZ6roj2d7cw +JCB2uAFY7n2SMlU+7lYQG5uSso66s6RTtIXeRh73q+19A3wDqmfAK5bIbiez +qICs5zUP7fxntvDz09PEYb2rcqU2BDqst+5S8+p4dDqw0DZVr9tTaGFl1vSk +hLEf6l3PFE0I5+VXHXE+7tKvdArYS3yVLln7vxEuU5s3hUN73qf3qIr79OrQ +Pl55sjcju/KkD+31ytMHBlfZ3C9Xnuw+/esHa/cTWPut+ynyPrHHtCWrvVc6 +VJ2Mln46sBdrTre5w3rKmHYOD+qv7e4x9eoEpo7KDnmaT0NziyuB0ab59GJa +NC/z6WW+xR+oZH8K/GzPsFc4hq0k+dVWu/rTf9ySUlNWnMsKYZTOnPKYdcTp +vGWcZtYgczrMH//z0keQOR2ZMpgnto9TIySpdXTM+nXErDZQt1zVWpYVz6xJ +C07W/Miqy/WZpmTWesCsC1LMas8yU5v5nUXba7eMtlc4o3SLUWW8mV+KxnBg +1rOX+M18WIwarZj1TncD2oF0p9sr3ald2n2bzIaSWcu4znFrMGsFlqP8E+0r +5mOCcz2WWT8gZp0t4+jO8r8kYlY4WuGn10B7KpnVfBRAcd1dx8gNfeq36uIv +e2TW3qL9VTArb+hfImRRUfurZtbLvSwqZNYNQRNGeczaI82sDKy5XJetyKxP +Kma1ulN7iYTMCnb/RgGzXhWVYDQQm/lh1jRk1o6CWbNUpyyIwmP/xXIpKuyz +6kfH/mqwiaxaD6F0G4enuT1o3lk/IFQ7UmU6ZUJtjlgM/yqiUpruAja3JUlA +O/Nba2f+VeY3WBku9ErwtRL4wdx4l4yNKbsZ/mtCrRV3ERxKHQQHUCbIRgVO +ww+I3V1MYKgIqVpq3clRK+9a3SbOZO5J6FFlOPUl2qpMbfx/JDf+8UOkqvsQ +cTtWWos6V2hR5Y4Vf5i4cOp+Nh7wSnVBrTWUX8pS699iz+qfR2wvLIxeLbUe +atuaVOvVH+z7lPr/Ub6diUevvyabmWJN6npHra0cteJ4snMb503yMQHVFyu4 +b60KqjL7DaheHWl1O0eoRexQbVfNUvYQ8Xs+XZ3381jVtayqsXEndpMeEzif +snfF+oQ1BpG1IOXdDz2lSV6lkOnXvB9VU/KqX9G3/n0dMi28or+w+nPYwJr2 +lb4TN7DWtA2sOEiR2phDQv+TdJXyQoQ89w95dRWu5iOviuWIO8Ve1C2d1sfn +/WIXCnNrPT2r4sITceqoxDKSO3+3cGjeSZedbkhy+MCN1eAc3rzNYEQDtzw8 +nYcfg5+2Pf4C+IVwV8R/0En2Hwr/AgRikRjgsEC8bVXZbVuNti+k29y2flmr +nx0cRax9Evwjwu3/EUF+gLKtQj/FwyVZyRM5/RO51i0C2/sk2H5FYPt5CLbm +5t3+hWLAdrYA25SofEvA9irsZwewBeVUQ5ww2c2QcLo00KkcbTivr1hb7uY3 +/helNv7DolboT4dpC4DtMJqyMNiOoV7z28iFOInMNA+L+5NXTm3n9n+1cqpM +3ZPcFKUQ2IojwGyX/70FXf4h2L4Wga3ND6XBFuur0OdPeg/KDD2N6qmvSD1l +N//tZ4bY/Kdn26LBNrn5v8mppwqBLV8nDmyvMtfH1dKOIQ8sEvVVnK3hwwqR +rbGG4BWYrTnmhWVZVJvLdUiD7SwBtrMrAraxcsrHa8TGP51Z1BftbrqyKivP +2nlhMebTY4Aeqa4qXqBiROwfDDjrO6ytgnPYnXlDiohWzl3tzFXMWxXRIs0C +rbYzH9zwmIq/pUUdzKeuub7N1+C3ebx9ma8dv6hzJfzu3QTmHSmT24F+YXtc +CLP53DZEzHq4zANbHtYeRgnZurR3WZOa7vbG3SzUDFAq9gISXvGQ9uqlO0e4 +e6eIxt9PlSCPUcqABSIvCtyVKQPGXa0UiPewVMrgo1ilupZTBgJ3f2algEvD +llIalnB3Ws1AcMVp2H8eNbj7sNWp/n0fN10dUgB3RRvAaC4jPSq9Uk9R0XAn +KTQ5JbHxWN0MEKYNfnSDWtGAJZKxA6rvV3zi9WZHuRgYELKpDbQ2BpPYn2V5 +gRRORQUGgsoL0K3sp2W6XePWp/w0dnWKbkE+degFjm7d9r9coap1Vf6rmtyj +6un2C0e3bACYYAgXwgNhqnVpZnggNJzyXSkwnNbwa1QyPCDvTrDqK8MDTLcs +oJqqwgMPtRJ0K+5WvD6F09gOVjjFdy0+RuSNDNryR7od3VvQYf/MVGmuhEau +LlnKdMiEeAag7CWDDdWa/5nbCX9QAi8gJcx8JOmKuAH+i30uVkRiJeWyJoDo +tgTdA/lwhFzOzNbnDPzaSdITUEDwn4zFZtkii8Da45NY+1mMtdOLwdp3zT07 +q38nC2snF8BabyvnnJ91AzDWykPTM0KR1cKUG+BYvJfZpebWaN3xboDDDdYe +RPeo/bDgfDAdIF5AWHvFEntPup7uR7cT1t5DWAuJtyfEfWhGQaytIua1kHCD +e48YswDWioNBjbU8Xkk3smqsZZXI0+aDRH+I8COyxtp5pEfmarowdeSEVsoR +wLqQh8kRcJ9wBNwljmx8M+vGtCNgQJYjIIG153tHgMZan8UhrL1WYG3KqJp8 +7EtGxsGaimT7fCbZEtZ+GGPte6+b1ysZWPt4vrmqp0pfIlkKDS+yympi5fR4 +Wuhv8wVdaVbbHWupUnFYv6IVTj0bC6RtIGOw1ZBod1VEm6ZZJtm+NJeFf539 +17bHgjnzWylBWK2EvzO4uM3v0nxYGwSvAte7oVj7W7e/fftHONbJYO0fxY6e +e7l0r/4j8RC3If0x4OH3UCoh2J/SSPth7ABpdslu+CA8lHpdkWaXeppluwCb +Re4UCaUH6BMEdru8rnU7EmRt6xY+vVWgamQVWCbiBnqvyy5/hnGDQNX67t72 +k0U8MIeZ2VKbmX2BM7M1KTNrXlNr2czsFG8WcDR7T9jb+idJsoBmg4IAR7ON +xKY902wT32/lDu7DogBExeDQnqT30RC0nRLfU2GARMWj7QC3QhQ71lPsKZSC +YJssrZ25HEE3yhF0sjmCnzq0C2bKP8gkRNQd1UulILgPVS7/g022HHrFStmL +8t8eLHukRhh6HZn/GnayavqdLB99vSmIvn4W5Al89NX2oz6WIQEopFBN72Wl +FKpwcPjyEZwnCP1VSK9iR0PvZGXSK8xmne57g1/65xtUD58j4LnsqH4C/DLC +pTTPRD4tgVuYef+wVt+8zP+66KxNVYADK8HNrQTI0P68KnjA74CWQNb8szGa +kKscsuwAGx/wMYMSGzO42kGrS8dWDe1WJ/ghksHunM085H26bxAtpAxOF6wy +scql6SAZy8TqTk8zlqcDwcCaQDDQE+t2yidWGftrQ107aaNVRYg1a8pkbsfz +YvVqg49HKWK9UBCrPTKNxAIRsfICVweauLQSxFpfEGttPEA8lfoXz6WDw+F0 +37nGEau0WVmTzaNErNJmhcS6Au4z27r9YSbWJRnE+jURq763bDmxwkKntIdI +YjUfGtVnGWKdI4hVKFhdHRUoWJc5YtVWKym4i6xWZAWBx1oUDDCx0iLXNbTI +VdhqJYj1HJsk3yJiHbfZxFoErn5EuDonl2trzynefVsRqw2NN58zzbwoNP4B +EeuH5P7/kOox5vICV3hWAWIBv+fI0rdLozJVrV0NErGLThQCuN4Fl7d6LWoX +KFf7KaGARzyun6rHWVe7s5XbRyirOF7A0QIZfG2Gl6gdwLZGnISHTZq60kC1 +E7Mqoin8foGyze/dfOyaC78S/FFylTAuAX8o+F8l+O2diOQc++2GaN5V4Pmx +EdeGntkWKpjAoQSe0HIgoTrFaK0EFlTOQ8s4QrsL+gpGLd3ZiV9vouVQ1jpP +Egui/PkSW7KK8BTIyazc/xKfN8izc3cl2eseLojgJrMuPruP+9zx1QPVk/HZ +P5/y01moH/iHXAV/C1fBX9KUFfFs/fz/rvN7YIGvgGoIAtc+L/LjwX5zXzh6 +Eu1L9WsZBRLWRSFUGUjQxaPdDMfuuznTWBwO9xeT16xcQXlJ3jayT6qX6D6V +/Kr6t4LurbOy1f/MrwcSv6JT4AqxugX5AsmvYylfcLvPF5TafMGKZL7A96Ha +fIFf35ofOFiz+1DZK4D5goPCvm+oAHgRJ7AksToq9K9OgXNC9N9krG6xdxVv +U+vc2tZNogc8mL7KXAFPXgeI5ahCraSGSBFOc8ip9kz+LPjahWcbYL3gHPON +QcJKsDGF38vtgbe8C/h1tj3DB3yEX4v8e6YNsDLnXiY4F34fI3lg6yMJVl6Q +2wZ//6O9uwA7r6qG6gI9oi1mPCuNWlx6dU5s00opXFMrYFH36k3qRFW71Bl0 +hUu962MadGM3ZQy684oC3XAVe3NB1zf3NKZ9lkxTQdS1KkwFBnTDrtX6eIMC +wY41FUBSzsp0zqPl5MsIdNlUMI7EORMIdPlGpLWt2DGwQnYMVKWOgfDmwx0D +9sYT7mxw+o1B96dyQfeeLQTdD7NB91AJumQsgPMcka5/zBkL7JPwvUd/701a +ylggQdcZC4RJa2RkLNgUGAuGSpPWRbGx4OSRvmsgsHoEoCuMHlmgi1HzJOgW +jtI61hWg+0a5oNusEOjOS6fNOUZb/5Ph4gSDu+C81COpbF10QoUsBX1we1LO +ML2qtb+wZgljFvYJHF4ZqwX2E2tfRwo/gQ7RhqQLw9leRLrwm4HfVA+kXEu4 +Flh7END2xD9Il0W9zb/Y/LEqA8RXMt/0MYgLf0yYQNspdC+HwX7brZsb6fpR +ru/18oKGFiKQwOPbw6kur67L3kJlng0i7G5Qd1eXux1uXiNpqfQaai+5mfoN +xi+VmdsdohDCNBFCcKqDFRmqg82Uwa6BEMJ7YQhh/RscQshQcnEIIdoUs7qD +f5zuQGi5NOreSv0GN9nu0v9dJ+ul5LYYSVIvbOLd/ufYjteNgZ6rRaAGcKib +pQboqNQHpAcYsN8+xSMuNWRtW83Xo/agaoUgVFCMaSHMPTDargW0bXaCt4Fl +oO3qBNqu1GhbV+uy1KoXVKcGaOuVWZ9iuOAeES54MBEu4Lhb2tE619yAvJkg +VZ8aOlrZI47hgnqJdqumPgLnbkitVoZoizseax3a8m7yOGEkKAptxd6/LJgK +I6a+qZGQ1k1rcMIJNGvorwTwryrc0/B79DXA3N0QbwEUY6wNR7eItHJm67MH +jLPJDoPKvlyrsi7XytJzadfBZWKa64rS1c5YUstVIFYbNrrqXRh/A/eOA6HC +lGVBALhChWkBd3ncml5BwG1eLuDKu7cE3OsE4F6eP4Jygo0c4EoVF7sNYsDt +ToAbuw2a4Q31BHYbmFuSzcbtkz+dKsiHEuCOoInLtVQxfgvcgghwJxPg8u1H +rnvgiaG53VjA9WseMF1ZmgJcqNGB2w2dEq6SgDtLAe7MrQG4r2YA7kf+6Gd/ +3U9g10g5ku8/U7gtLwRcOOqZ1NbbTW7v4I94AiVXD3Gsk6HkulQArnMcDM1Q +co3w/QQecP1DYBJwxUkHAu4Dyv+RPcnN5TptFcBtVi7g8iWSAbi0Tuk6Cajs +OI7T6vKsrD4CjtJ2wIN/NizbS4inuDJzIOH2COvbouKBQ7GMIFddAK6H2/4E +t34zLARbQMzj3ejWQy2g6XEItL3xmoc/CNA6+E3gD9Zp0YBqgPC58ditZ7/c +j4C+L0F9b7RJM+V2p8GuhHu/I8cBBTnMbSQOhJhua+EgF+h2UNme5nl59/w5 +VAp9EbV4Md1eS9LZsVQOzaGEeymUwGuoU5UDAUIJsQPBhxIWiVACSLyiUAJu +k4WhhNUcSpjtQwn+mVqJvAK6zYjYJj0IB8XS2eQgt0HsQqAGr99cBYBvrgo6 +ZZ1RgOiWNrTWU9SWBbTWyOonqT/JSapBTqLaiiUQDNbaKW3r6J/txFoZgtsQ +Z/sowa2sV6BqBW4J485aZy04N78Kt8AIZw+9SFUNKJyt4ZuyvqpxfUZZK6UN +Slm0dT/eZpY5nNVpg+cobcA6HbkN9q7BWVuHA4eH2N9YwALrY2//NbcenzZw +09pmvqxVpg3ua7M6nNTinOXHAGdTOdlrEjiLU1qZMiiifkrpAJBbzVsHz+vt +mb2hzXMuMFRrYA+/V4Jf3Ad/CsAgvOBGB/8EB7mpue3pFjIvj+e1Em7xT3N1 +H1fMBVBr/qDYy2UQVjR+aZmYx1s5vz1ZrHSfLqyzZ4ZbY8mgAvfFarQdmYG2 ++hA2qBZSNs0Hvg3Q1m3EFELb1xZQCaZB27e2NtreYu7bNi/YkCyzDeCevUCi +LbuIvLbLll3y6ksKba0CoffiY2jwpNHWKhCgadKufECrpL0PXUxoe9VSViBY +meSdVLdzH7VGTiH/zjTRXY7aLjdRqSja7iYODPdMoy1MUF7ZUrSdGbYgwKkQ +VW/NqrnA6buwlU+jbQOh72oSJpn4FMii7RrSd/0o0PZn93js0LaneCzmz5Ai +0JZj6Cm05fh5P96qHPMLoe36ItH2a4m2oOzajJxCBdHWL1M2+9Bqu5rOtZti +8fHGNcFCpTSFNOaAAtYogy3kzMgwm67a0nNbP7jsHQwuZduBxNqgBxagNofl +r1gImyvFL4bzW423NlgL/yL4F0Lw1c5sO+G8FsIEdlZr57TwG4c/ADArBJTg +DwVeBxhMwx/ymIUnmxuIefCFb04tAa7PVcH/BPAw3AFfJ+PPNp8eJfDLc+Ns +0mlhPxJD9HFjXg/+XVURWXu3c2YPh5rTOmojimIcIcC3NoGv3y87hzq/4AOH +61auFukFODC6XVTWcnrhkYRGYYbUKKwI98rm4Ui3SiK9sL3r/vtyIe+VWfAN +9soi7bVPL2jw/ZUNtpTG5bpaCb7/PG5ej9WxadwHD7SVtQnwhUTun7conYJI +MKBOQVbXUveVrq/dKEVgTqXVskDvVWunVfixo9UTDNhv7woA73gHvNjigKBr +ALqj0Bwco+IIOk4rQVdYZL93c1tZBVsAdN3c9kKc20qb7DeBoguWwvzcVlYd +cC2si9WWTqTZ7X0US/DdWksM6JYFdQfhTsf8RDXsHJ6tJBbDZmYuhoXdWi6W +0ILXl79LxGp9zcHtuMYc1vM43YGY246Wc9sB4oBR1PXYme0md9Aok6i68sqB +HvlacZZJ88whFvxyVeG2Zr4FCISvnUUv+LkAiJaOzT8ptxseZoIH9gKKLwBc +RvNdiis4g0IKfXvDfBdrZ6E0rBJGCFGh25OKxPBHAYXFkFcKIIQ8IY4wDBRN +mimL7dAE/sJ0V+d0pZlT5nRT+Dte4u+qwvhr7u+dnvm38NeXf+mxldwCb4hV +mjSyQvy9VODvUIG/gwX+kihhoTeAdXcGsI44muojrLXOAIb4u7/D30ECfy+h +LN1VdCe62Y1fdgwNYMt2IAMY468du7yt9kBi/PWNs1/yQeIW4+9k8UnEJ0gy +HPWiOz2K8feDJP6+QaXUgQkM11Htpw6vorpH69ahCWxi+9gEZiWA1D4r7LXZ +woRNgQlM4697TCRjXlH4e2vi5ENGe2SGPZzs5nLHWfx9cWvi75SC+MulzNg2 +6w4/JP5eGuKvuUx0Plc3zerYQneX9vFTXZvN1WtXTYOJ7gkB+nLt1iEQW60M +u1glUOucq0FfO5QImNMLdrXMar94sNsGkRtMuTat4MnXDnR74e8bqBcWSeHJ +F5ZK4c8Gk2v4cwLqwyTb/LmrAvvnxuP/hC/bxbrT8KceIxC4E3ZOnGBFvoE/ +Qg+9dQFvzL0DmHux0cFy76mGe083nzJnGO4dQpsAw4RCbNQSmdq150h3LLUb +AfeIB+7H1B4rP3RzlEFuoM11G2hVVa8tDXsX72g+gcQGmo4yvM9Rhr2EsmVv +oQ/bN6EPK3X6MN5C004Ft4X2YF3PvdzewNx7mx/4/iEGvhH3Xm64l9wKm2Dg +Syox3XYgtVwuvZsVacAarqMryLs+t/BTR9Z5dUhnE9qyQPd4H7t1LRD9wxov +x7mnF+RcmU+wrQmCc1lFC/KDWleG/bEQv61xY6I5YTxy7qfAuaX3BAIEO9Sd +EtxhQs7VPbKwvywzCnOJcz+2GQW82yxSGQVQ9KgVMgrMFdZ7hfFbp/bqYFsT +bub4LdV4SYc6U51eG5PZBO8IiKuzkox7Qci2MKyBM3tzxyqBqU0lGG9Wyg8c +br+HX8vtjeAHPw1ubgp78eYHwDhMTHwBKhl3McogJ70SdW0S13JsJQu3x+Mr +VwW+tXW58aw3aeOVEYZgxrsxegQYdm6YOTw7kc8NdLdc0aAW0ZKAy+qEe7zx +6PgHv8bj2W7KeLR1AVfshCcAt0nRgHuRA9xYcXtqALjHOcDt6k1gi/k21ALv +pM4EtphNYKV46xlECyPOBEau9THifJGXRJwJjAAXCoKmi+UQBtwP3GjF3GJc +eaVYCtELzvrWQpXphQH3egO4kxTgco31kwnAfSVuuS31bWIOcA+QgLs0NoI1 +ZiPY14ER7EFpBHOAK9UJtpAFH6N7lG8Ew8dmsYgmHwalFtqdg4hlzQhwbxKA +G7Tcro6z625JMxtwZ1QEcF8zr5cF4PrnvwhwqZahacIE1jBI99g9zXpyAW3h +UAW4ZwQ2aKlLiNW2OpMrd7Cy4dbPdBFszQscAwcC4NbNldqK2cUHojvWcy6H +GBooxm1ld89AbYDBhc4IlpZv5VS3P7HtyQiqEGKCPyaMss2nQ64EzoDMRzj8 +J4Axt/nUyG2D347Hr8EJETwm24K1M/DXMQTzs4CH3xMo6ZF6JrADX5/04Bhz +K6FhECkH+NRZfACmHEAmBtVl4CA8i/oLWdgClWUcnAoTDjs6iZhMODxJg97n +xZqaPWnya2ofiDW1RVxTFiQc/Jqa79zOSjjsGULvGwmJWAS9lN99phY5c2Po +/YuhV7Y93GWAd/wRaf0CyMSu5XW1RgJ6m1ihmBz2nudzvNw9a+vLRNJhACcd +fNUu7IxVDHZ9msFDbmdy1h6XXXXWsk9+TYu+kdlLemst5J6h9svOUX6EYcEw +F/21BwjI5d5aglzcMauRciTwjtkEB7krXHJBehLSQdxPtCehuu1deL/0fYTc +2ZBcqPGxLwTiPbODy1x/7UvOY2sh1w10m+rkwrexJ8ElF36kDRE2fG0oF3Ld +3eiEOICrIVdLsDTgOserJ7oSuF2Ztw6DnXmZj6zTLjeYa+5fuco4pjnVv/4/ +5s4DyKsii9dTrLuLOYdVhixgIsMM0RwQRJEMEhQQRTGgIDkjoqigghFBxYSY +EyIIShyYYQJgIigiYiCtirpVr6pfn9PndJ/u2/c/A6v73laNS1SYubf769O/ +gD+XVQF/Lfwe2OwADGGy01dCMAEwwCQC8PUMwL7UIQ1+UbPbbi+Tb3ms7/27 +aaHwmyhI6qusgTz0qJXpWCA+Y4nYsJi5fLioJgv3dYqwbz81LcKeAxg0985J +4155cWu4Fzb2xn8a9z6suTeyqXvcO0Rv6sy9Ay33pibgFrjgBbMbmctZsxO1 +ENxbj7i3JhZoGu49GUszbygyEZagrRumdxt2jdxD14s8ZuGgn7nrTYH7q/rj +TRFXuTgwRHvcS2ZocIi4wvbkVSLvLt8D99LOkuTeq8vEva5NW65GopZMHrcr +chKu4N6zYtz7hZeE+ww5XIF7bQDDBST/v5CdrSD9F9wrIsNGdfC5964e7qwI +51a+CJGRYXAt5HHvYD8J14/VE+9HjHtR95PCvS8EogYferOyLj4A7n1Df7wa +4V4n+8kFy+aqh/QHWDaTr4iV/qCqHbiXq8gGeg0ovnWTU/W6BJUObqjbFrO1 +wvoxqWeQWVqSeaEQgXppi05HuZA+Uurlu3tRVQTfavRjNfC1A/jlAa8B3wYB ++J4nJA2tkMnhz2mg1wx0eZhrhrjX6r9nH/z7AuRq5tfLsv4s6CUbzgCg8wAZ +M3zoZUT/nP5W1jSMVAObK/wa+Jw1xc9bf/zcudbh6yi4ojc5BET7sIXhWD1G +K7EEXSA+l01oGWpAEuez7BG8O7oHTlU9i04090yiRILlvrJA4l6hepghnAQy +s0Ea2d4NjGyfeEa2fySkV5+R3Jfvnzbbzl5YqoS7IK3yLMwgkzAcmtk0DJvc +hioBDJ+eLJF4+CyjfID+3qmR7AYukhhN2Q0Ew5Cu+zOm6+aof2N+Q64xtd0Y +mNpY/cDFDFL90K6F6lLhlIMxqtmYslDEEJjPdkRSbf06XMm+/aIDXpMNdisp +diMDXmZfygfbnD2ulHyFh2mXCTPCSu9wYDHD6kDMgEaR7FDMUBCk3JZEUm6/ +UK80/NINeVM6x/yMsO+9gswpmn0nc3cDmNBkb0O7pJAhQXLXuvKh2zMEwDL3 +elf1d7hYLaA6uLLvcZdNHSgH29ffgPH+proM19/V38qqhJeVsK8B/yEV32V0 +fL0Igy0C0wwY/gTwJ7mF8Bd4E2e/rPIVCl9EX5Y3EPYC68JpYFxbSGTQO3U5 +hGDlGoNFjJon8g0FvqKvyYp7+6QoGyLBDLHM3M5yqDUuhN8fDPyCcHG6NJ1L ++N1C8PtlMub+1RB+Cw4OfpeFQaCZ4FcacYZZ+OVdPceD3+twN5KpY61S4deY +bToGqWMwhuL7xt4afjF1rMgkXg6mqqIxNHKZIu4YedwyZ4O7X5RhDCH8cv1D +8YHAr9XQZYDfVwh+64XwOyuA32QNRF7Yb8bH8BB+a7glqCx5ubPFsZvvlh66 +IITf3enwG4QypMLvgMzwCwuEXjdUp5F/OvxmZV2Gr8cFBz30FfC7jOH3mQzw +S2EMqyNeNdK915HVDwUDgjPitSK3pJsAtvYC1sLuXRm61Uxc2UvwPYfA7Qwe +8jL0loP3CsC3Mn4LFm6k39OJfEH2cA7+S3zqbWHkDN6oty2+00i867og8cKB +14x3gXb7Ia2COQ8IFw7I8EmA9QIm4A3yb9Wkqz815aDzDb+V9SC6X+Fn4Vc1 +wmO1iRbOzWcG7m/PDoZ/Dfu6YTAIgbuI2Xnap5J00esM9/KnsZMNcjgLPyPd +SVvVA0McTrJq34GiFdxX+yZVD2GAQ5i7Ky1uSwOLW1L1cCipHqh5EW0HR5sA +h9VS9RCWpvnXU1Gb2+vS5hap+52rmfdZzbxzqifbJOwQOI1763ncC1a3XwT3 +2hK1W53dzagfctNVv1QB3CX74BS9ZsgraoADFUMSdLvbMl1TTuaD7vYUJYMF +3VoCdLHGwdjTtlrQdUVlX0btaZS2ADtK9qMp5bpzCXRLq3MgR3T2x3a8ImN/ +rEWtZhiIuyGpZsBB72Y76DW7jV9W5qsZvqeisiBtQdY4MOhenQTdoVHQ3Rsl +trDpoN/tftqAvbJ3gIsEB1f3MKIBixbkZIJOtdMoQM1OozVq6u+Wg5/L+hcO +O7sR9AIDwr8R1K7wX4H/ooVd0vbeBra2QOSAet7ORrMBey3suWPaWcjV66T+ +nOinGUbgUNGkP+DHWu/FH9LQy0Jnbr9IhV+herCy3l5S1puc/N4UFEbIT2FG +tw5v7JMi4MuSRQbfxwPwRcniF3Sju9GfbHngm6/Bd00ZwXe+A99onqgE33BX +HynAd5AHvlwQ4aeQEfiuk+ArUsgKz6NtJ0ghKzQpZD2LTiNX9XFqQBE4qg34 +jtBbzFgxXnmgxJUVPbXBgK+fQubHujP4romC7+EOfGVBxEo/yn0nbyfhfaIA +3y/rjScXrd84k95/9nYK+K6g+ycqiqA0svdrBeBb91MXu9soSCNrLtPIfPBl +R5sMfBknz9kdkmENGHLC4JspjUzI3uXNSCdO7AvB914BvlYNVCr4ZmW1xtfj +IgLf8wT45hD4NvxLwJedbBOsk41fkXOCcog0pYPNM4nE7IY9Z04gZOJ1O4no +LevR8qC3Ol6gwDkSJpfdNcV1L8rGqW+FrCrYmW1+rDL+OsPAtcjgxrJeyb8m +o8GY2NqQzKEDsW93/Puch7KGvsS9N+LyABQLSWyQyFYfC44HYRRx3fw79Rpe +J39w1j8wnrhOPn/ciSEX+tcAGQ/Kug9/W30JxgU30VniRlp9HBA7dUSYfxGe +K6QU2Kikk2cKNhmcSfmIbH0zTcL9io0E2FdDuKO5VEOEJRTPCTVEIrTXRsrI +0N5/WDUEgPBGMQD+Yt0Rft5Dnl9Csf0TP0NRWt92yZiZdyqYIoo3uIhChPe+ +HLYIG+sbhveS/U3C8G84BD47FYahTfhXhGH9MZIr1hp5yWZOCuyKKfaENWvU +0NulYgoEYwMwdgHbrt9DfeFuCyncjRnUgj5eQt5vorPdgT7y2tnuELXV9vKC +eDcIGMsuzajmkNcNUqRDWjaYZeiAEBm6bm/h+S4XERltg8zQtfPd+oFZrTRt +A5nVpstByyVkVLs0ibxj2omCojAkqIefQCDTY28J02MDVusjcLdngLtd2Yo1 +kvprRxO/jUXVql6kOo7brR8jVK9O4I9d5eAbWZXND8NWBh9jTZgBbG/wr+tC +bAzyCKBsHAQPMvNTwEn447L+AbZT+KtZJu5qqJ81D4KH8dMFLKw/deVAG/I3 +Nb6V+ZZm4fGtCZJpZp6JiUcQE9tb3ZgaQhwtBqbY3KLudb7lHSmrKIiHSQHc +/r6Ahx8RPPxkwMNzHQ9fIjb8A+PhdzUPB41Q/yUP1/F42BSmtQh5eF033IWM +Lk+m8prNHa5U/VTeOsTDp+Pm3bPoVL3jgOnERMXz6GUEXTdyRPyDou5olmbh +ZwQPm9Ayx8PJVN5/qhK9y2zQO8ynUR4+1hamyWtF3lFcrZHT1u3VPLzD8vAj +NlUm5OGiKA8H6bz2TkqvWdlrRTpvYQoPu/CyF3LEOtU8SHg4PxJeJnm4lPCy +wTadt+w83LOsPByqhDLzMCQ8sBAijYcbRnn4www8bO5JDA+71yMX3J+rpuuP +INdvDSU7rB2hX5GhyHS1A4G8DEDhVIcLglSHVqIyu00U1s5VftWE34vLLOyG +v1WJg7MR4q7RrxSEXV9TdIpe4q8pOjkLxEan0I+disIj8+ur4rHUqCLOIUVE +o4CLL7EzYVBBgILjYpwHGyaGv2fT/P6WhwFm4XwAimijjB6CnytYUuqtHV4O +PnnlQDudNR1L52CgDj9nPoaisgRK6CAUHM4adZCrb6MWnFtoanwTAXJ/Ty1x +ftC1fCmmH3PoTLtAIXGJ6HNsacNnXLvFOTaAxgSipasjhnnqiKO8TIgZwhs3 +R3jj0mJ+lwYxv9jOFlFHfMGNFvmBVHhl0NAWSIVtGBpMimXUL6gjwpY2Cccv +kFxYwvEsMyn+3YPjIBsCuoen1FH7J2tAnmRif01jWwOv4ULmQzAc7wWf3ADR +ciFUEl0qGSg+zIJwGaQQgMctSerbXModOgd5uz38It8Ai7d5cl+BxYGnDbMb +qg4Vkoc4Fsd8bZ9GfG2MxbFqtAIbVhZWSyxO5O8uDfJ3Pywlf/fVBp/7sofc +mOzBedseCbYdV0W/B2slopJfHseEQjwZMiTu7jOVKtgpsJA5MLZJJO6stya4 +p+w0lhiXMLjDRI3AmuX0o4Q71mSkuqy/wz/LwQ9lVTA9uVDIAL9H/37Y5rqM +3IvTZdj+ehITAz4CrrMoAv7skBfMPAx/TxjbAq7a+TCzsOFg+HyVg/OEJmDI +1YdPIX9M0N/Hk0Yrk7c/nuh4XISOR0s6DlvnevhSCQyB6CdM7aXJJCIaYVnS +1iHmjQtjfYX3p81sQcYwDXvJJ2Oz9aeRMW39SxaVSsYx009jMP2slmQ8XozB +htIoZ5DNKeW2qURfBbZMJeN8HRm70IdOKMqjeQy6Uypi/ibYsa8XZAxzmJGC +jO8TRaCPExlzCeg8vbWEZMwp8quEC0WSsZd5JivsY2Tsie3KQsZPWTLmCJp1 +QfZZSMYr0sj4dOFSoOyzN2KxviL8wVNnne9nn90nY31l+INQZOHSlMg+2+v1 +VtwsyTjMPoNlKPZ+pN2keGT8dVbW1S4bJUUiHCXjDzQZf7jGdhf6ZLxAkPHr ++mO+pyDKlQqilZSLsopto0zGkRphqw2+1R4efeuoTL3mxu2OkawzOSU+LyC1 +xh4VOz3r6aRprUyT4NOQfqGXrId+nTTFHaL/cUI5+F5WNpHxafjKmWlxDTLI +1cF/v4k9a25pGP5cdkKsif5CVEYYEoa/I5wCYGmAwAuc9mJ3xzD83ICERH+e +QB2xZmzWDPy8wakClhj8f/w8jsODuFlyRuPvMUvPcAzUMP++ITxJRtpmOrZ1 +zTY2uR8qNqIBGyJFwtdQXGLrdJx9ISdposPPcVI/cXNEPzGBMmpkAcajQj/x +7PrDbW8xRwS/I/QT0kC3Kmag02RsR8akn7CxjbSEobU3XMaW+PqJH6O64exE +csR+jgp+0ZBxtAgjIGMvPYLKMAwZayqeVC9RiGHGxkEhRtj/dmMTm57WpfKB +aCUesET8XYsU4W+QYPatLFUTA2Ik4bNdXO+2M29LSXdIb6AAEt4UkLBvfoMh +sWuhSJYEhykPb5aii1hmdREuuncdqu8WRqN7N/rRvVEDnMkXeiqoR3o4YsGW +uohxrTMIgLv6SlYvqref2HYy4Nl1wXC4u8zoGrnXxdQiqlFULVeNTaaBJnTr +TsF+3XLAb/qRaj+VZjhTd+rlDP6ZjY1kQHf4Ab8cdjT4V2hYhn9tJwLlriP2 +epAMf1T4Y8NfAWjztr7mr2kBuYs5HNhhsYPjLEPGf0Mahrm7/tDf00cNfSqc +dCmTMlIyj5HlCDkKyJ2cIWiYqLUL5dcyINiOxCjPn/WRaaVVCTj2jPEiOMIL +Bhalbh4cf5qE47fXqWb/BRwnEk8BjlcxHMumKoZjMxOrizu/E0b6cMy7fvcU +OGYbt0xEM8o9m4hWWA139Z6YVXQCBkbcTDlFdxW7wAioW5pKcDyD4PjpDcaq +Ld0prNL7MALHhSlwjIERKWVuCThekBmOP63g2ovDZDQfjiPJaNkiOCKb687X +iWDgIBmtvggGpnM8VkRS6Dif4RmOedW6z9aaJ5PRRks47urgeLCAY3mITO28 +kBr78P3ga5UoHCdTAzOQMeiIS4fjjxCOcxCO3etROhzT60Hy+kYxbymMN0Pt +sNdWHOm4KPBHxon7/YRhLoc0w/UiYMyj4gpU/H0yjjV7FR2P+drXFh13CBhS +s6rgd6FNRqMy/jKYgBpGrobd4eC/g/8EvKE+H7fBPyq83fBXgOOwZeOCm1WD +/NtwOgwcC15Cw8RjDQPrTxdM2uHY3XD1ZL246xOGXs5htYGzBnyvHP7MA3Q0 +n0Sl0OOJm8eYT7Xl5aGq9tohpLwYZDM6GoopcjMviK53Bk6WWuPLHCcXnufZ +fA0nG52xkVZUpV7kk6LSiqF0pA+DJsJE4TkiUfgVe7RPTxR23rrSpRWyucdK +K9AKHEgrFv0rmSr8bgW/TiNTwlpYqXEAnPwrcnKyOC702fl6Y+ez61LlAEre +plk83tFMYDEOiK+JNlh4hWx1NBLXHuA8cWc63cTXtZxuQkqFUTeR0mTBWCx9 +cVIu7G8gc8Td44uBdiIWfrYo0T/8sYzatNo86Y0Lg37JmS1L2oQ3Lh70m9Tq +3cPaCWoPHRsZEkvtxNBrwqml74mTyQZpWMyTSx4Od2b3Nm9A40gXMUncXxoU +NrAGGxIA2wMG2nCqOW3H32GHyqqEPjD4Ifwp+CUgAwQ8vtfgMaTiwh7Xecwe +nB8zFsO+CFer8EcHvrytn9lPEYlJUzxSzIth4Av7MpwoAHf16UKvlPqccQh8 +VjX0Tkbf4W7bGDKRJ12tXFOr1apIPUXH4HMeTIs5VcKTaIe6YlEE1/vOpI4C +JdhjzOe6Q5gmEdVNGkOdjQnWG35rajg2MLzxfwDD3Gw8NfWCGGC4rgfDN3ow +bOOBNQzDReXlIh74SooHNjDcUmwv9d3lZGFV3MlBtden+ARrX+FtZZRNkTAw +PF1/GNvKkWr2Bn87eV2mp+kPY1cpb/OKYBsJRy0WhkVOkR8PfHIiPc2D4XlV +1I6BAMPjzDG/wswDguG8FBj+WMPwEj7Sx2BYH+dtTHBDFxNsAhtFAw9faFGG +zQMX/mA9vDJFDQ+StEZ5MOzFBO+1F1hhipoHw3f6uvskDO86MBh+5susrCtt +AXjZJ8XL9ashJUYL9KsRg+Hn9cezBMORi5RMXlOcYgbRwN4rkkyQYBrLPCVu +IZIjWEdchxIjapH0qCrS7DU4HXYQDPf7ffSZEuRIcPFyffGxGhD6639Wxu/D +j0NgS28k4xOJiiuhTsBMjevifxPOrpKIYbINJkAAe/h7wd8R/r6gHa63drDR +RyAJjyMKnkzUe59pGFn1wN9gvcl62Mi07ccD9NNTaSB/Lx3NJ5OEe6I5oueN +pWHySHcOWWuC6+rYAfJAK2FJpjP38of1BTK87gov79GUkrgENl9v7DrmOHDi +OiGpYDfEsMB4x4Njabybtd5vTnbGu3jcsDPeRSQVRYd5g2OrClt9nI0c9tLX +InrjaOjEmxqI34iY73B47GKHbd2GkFWA5vgPC8VnebIKB8W+rCLRNxdAMQ6P +b8lRXaoeSDecGxbvaNrFDIaRgnsKsxzIJGTsb3+qtxiQSIb4qlaQDAEUXI0N +c7HhcJgOMdUfDlcw6RAbAwWxiwCOKYhNr5tJiPiAEiI+8hIiklXF66xUwqsU +DWOAbfcSZxRtyRgD/JAwzslet4lcMdo6LpUYIYgspiD2sm1v8u8l5d29VLa6 ++3szGDZUFowpBQEb+gVCI6Ur7ETQ1wS70SPby4ENTD9SuDEBuD26TVMp/LMy +fh9MYvihfzk6wpGUvzODZCBkvdHB9WgnomP4I8IfFzZLmCIBaN52vSNj+HSM +4EHxVWZjBrgFKgboheANOGToT3E5UGv/DbzxGo5BuA2f9ntIwI2QfClDcgDI +KUF18lDC5jsjntznTcEGRkTGiSq5BBw7EWVHAccdptKn3katReCYOjQsHM/T +cDwfdv8SguPC/yEcuztihmPwhYOqD1w18n7YwXEXkZV6ZbDVcMQabzOk3Cuq +RaOu0/QWc5Lqq+GYZy53iu2F4fj+9bC1HOUi1jb4KfZvBHD8sYDj/BgcWw+3 +r8xjOOaK0oR3W2wde+dVDeA4jFp7JljeXNSau/dycLzcg+O1ng+YD/V81+UO +9F/6UWvCCOGrvdgE4e63WOnlwTEd4A8Ejr0OjeDwiIf1A4VjeDeeEnDc9k+G +49f0h+vOMHA828JxjgfH8iJlglcb5+D4NktmMl4tpLLQaJeIAguErh29SISz +LZl1K6pCQuJTcezbq+gEDcXH6/OloTQ4Y8KrNKD42EPg5iWrCoLbAPop+CV9 +9C/vhWx8CrFxDfxPwGQU3lRgdOBiAEdgeRhyn6f/KgCcOaieuE2vEoPxTslM +hjUP4/T3PgLe6fpT+BC19MyATykkq618VMOxGcTPpB+fQb/mYVqRpltoboQr +07347zWffgLlNQaUjTZ5mC2mrkuCLwvJcE7J9yfHpSla5NA+rjuW6goTENkT +1RUneukUfNc1ojg5NZ5WcqRXwDy7tHhioa5whjxfXeGlU6wTK5tNZSMr8YoT +kqlsQUSxTajAXo7T1D4voSJIZmNTHndzPFdVQ7I/OTbGvDP8lAouZBba4/0W +kkUp88iG1pz3b6Gy6FLtQOD4QQvH3+Z2N2oJjAmWumFQTARQjIqJgelxadWH +qK+q+YqJLRKKK8agWBYdg/Bupt4tzI6xwfZihJHB8/SHrx/2VROLhRBvuc0r +WlpprZcmYWODhbXuTSoktda6hqIHLqO1zo8Ntta6A1VNCAqTpQ+JwoeBQeFD +2GgmoRh2mzE8Ft7lt5oxkeH9vbN+cQ4Y7kCPEqE9jjuRXreufPIr/VxhRIL5 +0D8G/6yE9/2YG6Z/LfweGH2CHgD+nfDvhx0OSZAIuduIvTjKhjES/H3g73cr +03EPs+vC52g0SShgdAWz4ruJiiEnCg4gUy/+KevvMJTXZAyKlfvoA34e4+t4 +/HWpoGP6etjxMY/rxddkWFDGcce1fh6bjLCTJRzWXiRExtbWaEWUpmGu42RB +xtygJUKIr6Ictoxk/BqQcfH/IzLm/caVKvtkzJfDHCrFF8NtBRnz/sJk3AjJ +uGvR2dB4RWbvU3GP7lt8PG7nt4p+U5e/drR6YH2Yv3Y4kvHLsfw1IuMV+iOP +w4cDhd4XXLqxNlm64fwqIobClm4QGb8VkvFDgoyfiOSwvezZ79aEOWzZIoct +W8RBYol7Sg6bIGOTw+biKNJy2O4Xa5hHxrEctoCMZfnGwAxk3Csk41FlJGOR +UfiXkfGbGck4x5IxvR6WjCd5EiNzoT/Yw7Fk9lpv72bl0oQFjEfGF3tC145W +6NpQyYq0rh4V/wsPlL01FcPcsh9h7wASvt6CM8xjy0FfcDmoadR4fLv5Mfy5 +m+nX9y0+DsfNPXB8XAUPrPDfhP8+zE4ZjyFbHORSoAuBv2MjEBbD3x/QOG8c +jYnvw8+ZaeTTyAuRHmhlfNKcOpbP0htM7vKnsx4yehU8iTxF8R9PmL73FYzN +j9DXYJqPyXkOk42eBW653Cy5LhZcD0J0Z5sej/Lj4gouQeEUHVmK6Y/yTSQI +i73rBJkV5oAvGzxitry7RaqOtOU9lcGWF4YWS1uerGqW8ZLWPyGXuEhtXRhc +DEOAH1CATMudra4zS54VV1hrHiNyZYfIKeKKRHbFQ2cHiFzbR2QZ5jbSCZEB +kbtWPygRBY6KI046FksYIr4ZiXjbma4J+asUN110TBwQ8ecpROx2iqeircgu +SDjMV0sWaRjBhCvSgEtHG2aUFibMUfYiYw0FEzLOHuwqzY1dJTZnud8bFTvB +RMxVFxNMSB1xlL6CaqdYAIKnZMX5ZJKI23PipwxCsDQMJAy2L3NhiQQMM8un +N+MOdMWczeWgHw3+8WVWVdiRsC4NP+ZsMr9G/1rYsWDngh0MrGSwq8F/E9UV +E3epLqP34J8X/vzw9wEFIlLxtYZG4XOD8+IrDcXCpS7s0vC5hc8xfK5hNA+f +92kX/lAOZvXwjx80HT9IP3c/Zd7x1+QeDnnGS2LxtZEnlkgYiFc/QMb724Nu +2URVRxlVxqjp5pNKlI63OTq21XRfqsv19n/Zn0rHKaWyKXRstpvRwVZzu+3a +alJwcHTcIUgndnRcGbf5XqjVOx63FNi+uQWVQ9qYjh8WGr1n9BbyfEDHNpRC +f5hQivI2nbgokv1pto7At5JCx+jkpitHnKbAVvGyoeNN9cam0HF6WFuCjiGs +rYIrC4IMSUfHhRE6/pTcwl8Eje9+WNtj4urrQbLgyRM+vy8yrM1LKfYqOkj0 +FaYUR+iY6xujoqOQjqfRVVYaHf+3ooqFjo6pki4XOpeXvUSV5M8QHT+JRGeG +mQ/RAFSEUuSNswNLVhcDiTUgdyrQMVPYuV6Ad+xqJayPCIeUjexNfhcMFK5B +1yzZpCY+EYURMCvuT1Q8kIhsELXbDDbWsKxDYISZVRFfK/hx+HkIAb+JhsjX +FYMoowLG9HbF4XEjpEFDxm01PXbEV715QV/997xJNVh7h6q/FgbG43GoC58n +MyR+lEh3FolUngH1djn4JB8CC1HWdPp8P0dL0hz6vD9NpEyEnErHU2xFijup +gAR5OEqc69BppZ4MCck3smMncuntVcWHJxa/4+5C1d5TVzSKBFZwnYc5pcRi +jblhKGbLs4Pj9f5yFos1lrY8VlfYOg+btyMCKwqO8gbHoeQYdGM7uNAZJccR +dYUXWiGGx/Mz13r8J7Dn2eHxzDNTgisMGctkt18o2e3nkUZ63PX0AxkWP0RI +fJj6tpHG4Xp+j4YJlQDFhERhttPdkUDhLXI4XImDJcanKCZ8FP40QOESTzs8 +N4N2WOauJXs1QtXEYg6YqJESN1zXV0342wY5twGF4cqxpR83jA1PhF6wfXC2 +0URKQkgbECdQ69pkl1xpqBW2AXcZZYbDnSRu3c0oLAfDO/xyCNazivSDtoTA +gLmobYWmNNhrnv8c95tWL3z2d2iOyMo239U/DH6X1s9+YchY/3b4V8GsGWgb +djNw8KHOWFNhjyFGQQGkDyQMpAmfDhCVgL0Odt6JlxkKvu/iXbg7A/3Cp1uf +QLL+Dp98Tb+we8OJBH4ce6zpZDLVzordjp6o+kuZ28OMuLRTSihmSZNOdkEC +3mey6+yXJCWEYgbN5h+PEDD2dBgCvlgQcEsg4Hf/TAKeQQQsc6cmphDwbYKA +4VrYmYe4n0MSsLx/NLlHfiybIWB2rFTCu9veRcejW+WmFAKGgYqLZSNBXhD+ ++VZAwMuIgNeIO8YYAYf+umRMsYxlo/vEtyUBt0MCRpFYhWQIRQmFUMgMHVji +XH6OsUZgL3wFF0JhO+GrBVWZdLrnuGIvno0JuJkk4G+91PT7qafDhVDQuyLe +E+x9l7GRPSMEfEOGno6yEDCf2u2JPUrAWVlX4fXJf0/A7+tX4504AYuYYkPA +QF/TBQFPNuETeUl/XT0hLPIj2a5D1cRFIp5YJnkndaznBTpWnkGehaVz3SkE +wRwYT0KlRN8iM+O9iWa+txPhDsFuR6i4QcNXORhOZlVBHdIo+oDQQ6h/HEJA +fAuOi4/HwPAeGrLhPwlvKqibAdDhrQZ7IKikm+T3x1Wh3tphmCPRUIMpgCqA +qxkFa7AFyIWbqU9e1h/z8NOd+8n8rHKQiZf1iP72q/B9+niFfo3+tfpLgr8P +x/bP4eGkCULyLPryPK4/Zoov0YPCBinTpI36ux6mvg1FOHZjYxK5JOC4lzvT +F3QJerNBenx5yoFFjvK584NVFacYL17RsXZkbL14xUeLM36Y5Bbz4rmYY+vF +2xCLOYYV7x+ihj7s/DgqUFXA6ne8Xv1OcO1EMs3Nkx7zHCBIdHujgvHjJcbG +3P3hCqD/M6fs2RW/3ZfsvrNwPKYBQ3HZmPgRw8SHH2YUxNGqDWDhW9W2M8Jo +iSFByJocC1OvsmbhTaWNhUXQWtJHB7UbYb9yqCAWHXMUMbFcVG/waBjEEn4O +cXEyh9j6UiDv3hm15Wg4lkMc65m7+zIzfpwgwaudM217F/M9kqlGXsDBTUHm +J41WvGgJDlij0WPIwh3viVzO2yIoAWBPGjHEFU9D1NEme1GPpu7nP8PSNBhJ +Yl7uPNMhAe3BF83HHagcFEpkVcTNCCaW8FOQjwC/HDgOeBmmyfCvB21Fu0eI +kTUbwiT7mmF78a92I/ExHBFAOwF78Li2cLwwegn4ND94keFi2MdhP595/nd/ +h6+JxuMZ55uh/cM06JpOXyGw1MsBvpW1xIb4sZNLEEcx6LrkySV03wECJPCY +nI6yys6Ii4MYCpteHODxHB+PLyU8vjDA4ybvazz+4M/C4+kCjydnxOOGAo9d +fV0vUU3QSW8mfmqxvXtcd67AY9rzi84k70pFVEb2Rt+KwWO448VsNv1hs9lE +Pj5HgLIUDzaN18mr4uInDqX4ifKeBM+X3/nBRmH8BPtScGoiUot3v+vKoACP +v0M8HiPweKZt1/TxuPSMNsbjT7JdmE6pGW0N3MUXn/RnJzLa/FKh+6RDuJW/ +otmMthCPe/vvRyKjTaQXywzDVFeqvdSKNN3g5Yk+vQMet8uIx+f+V3j8osPj +FU/jgDLHy2Zz8RPm9fAdd7XJcdeQhpH+/cm13iDyMpHQIotukgpWjpxwCtbu +RdU1a1USNi99mqS2x5vpRHkHYzEGfsN1vTldjjfDyaxDQIekV/LxdI0PrDyc ++BiY7QZ040GuWxVkPBA1A7bD6wwKXDDZgqIY8uhAsmAGw6AefswsLdCGsuxl +w7vgaoRlCLLw9Oc8Z+k7f4O1CRx38CXAH36LvhRvmF+OhZuviEptg8e5dnbP +8+NHaX4PCg2eHfMBP9kwWJdOMXVDNLb67z42XDqtaCVdTZHuyOOQYxBw99cr +G3+ZWBjGjrzMUW5+Fd7rgSNvkXDkZVJTfGpjKo60hZ1hnNs2T3B8ohd0nETj +SBU0onF2MuwYYt0sGkOsm+/MS0XjtEaQCfVU1xoHMid+2DFx/euDfuWBJBgO +58JDPCcdsrDNk5AsnKmLw4UOb4ywsLObvBTpW47HrTmZBO0QFY39hGUSyfDh +9UImESR6ghWFBHcwUJkjvNpPCEedmQ3/YKrowJJyMVOWYWHoXB4XCx8OcyV6 +BXFr/f0d5MZAOBxNwiUhXqdEYfAPHgub68ZvEUZ9q4rpipCCVRNuRNfy1KEG +oIvbi6YvKBGGCQxsM+e+VZT1d2CxrArYJwE/Dj8PlAa/B4SvAMRAc1c+sRVJ +D65A4c/ZfeQedd3gvfj3vLWf+ZwAhcLnbWJrDcGXmuHwNAJg2LHhawCSFf31 ++BscUjQIP04qFlayzKQvE+dEmzG+gOKLRY1KhrzoRI2KyIpO1UzGZmJ3uTE+ +VBZ0tJUFu53bznbXfhcAscllu2KWrLeTQLyhFCBeeYBAHPZ2pQExD8SkjegW +lVOQVmvn57H5QHwBAXEzkQSqt44ivm7MpnQjYxEyeWzHqqG0o3MeGwPxDLFd +yCkKbxULNsI2wXlsnPgprhURiGF7OCIJxCsZiNmoDVeJpyRcdrttalG22veS +BuKbHRCbaJ2ZtoWzRLjtkkCcjKJYXuFjL2v9I73cLapaEAFil8s2v0GgBGsq +ctnIbQfvzcP6qD/tAgnEuxwQR3LZRhwsEN/h19tlAuL4XYrxN2R1ZCYuFYib +HxAQmxqPXFtrxy03ESBeTREUeSKCYq1puamNFR6D3Ovh1Z33sWqJ5PAxQljr +YrfynOnNmQcVVC/sWDvOwLC4mR9MA8gRBLpj6YZ+EhHXPVQtcW/JUVnlIPlb +r+jQjAM/BhkJE6ifbRgNjwcUw+3/aRjY1kn/WeDP12Zda/2qd8a/W27+rfpz +MVw1WD0J24CQVOFQAQNf/bnN+fgtCodegFpuvTyVU40/Wpw1U//zI4rJW0yN +gyD1XkiRee/jCmagGXj6DZJ+zzdfLgvKz4pioieFyOJhcuoJSF49yRdXCEh2 +8RWUfRyRHJvzTFevfSU27nepk7K8E1rra9m+6J50M9a3KFmRN9LTh9HsuMTP +cZstVr2YIy+Wd5yIrWBhhQZk8Bp/ETSBQJbbV/bmzGUef0eZx25+7NpAdtMt +GlblCUj+twbkn19NNoJ48RXPcnxF9ZT4ijPVbw9pSJ4GkKwBeWptC8mQf9y1 +5gHMi2dYNv6m7o1BFfNtlC4RMHHVYZ5sOI2JM8YPV0h21JVEyjhcBHGYtfaB +yyqqsFQwcaCX8Ax1sRhiw8Sct+bpJXhGrDcN7oF6nAx1sqvu/ot+speNmDRB +OUayqy7NUOf60/alSlNjG4kM+MTqZZkuIRLw2wsJXqIhQjDxFWF/2gt0Sc+d +wvMdC8Pu0vKtQmyMaPbuOtX0/QKVqyEsZ0E+7jY5H6zRT1rOB3lZp6lcDWa5 +C9YipMFu1Fz/Hvj90FEMrAz/vbYa+No9tB03v25jd6lrh+xFyTTkFsPnaVQH +/flso/n4sl047YVNeyZxMXxN4Lyivz5Zh8D2rgF5Fn29nhJfs8cS55lA+s2Q +nFavHfn6DfH0Lns92WQmCOCvHcuKOwamO6+5gOIoEJDD4GIPkD/zABm+TklA +zvuLADkWQnWbdRP5esk0QG7jBxavMxlt3mylyMTkm2ZVA8j9bUZbCMgu9pMT +jnirMDEUh1MMBQNyecpo+yfFULjtYeP6Q10MRZjRBoC8/HgvhmKn0Nm5zmdq +TE0A8jSXPZmIo3guEUexNhZHEQFkXPdOF3EUoBHDrDaRL+ml6jjzsARkXudA +jDRVWiToHUkAssxqs5mG8RuVTIDc9WABucMBAXKTBCAv06/GUv2xuIyAPEsA +siy7udePoVhrym4YkEstuxFBxa0jdOVu5k2MYWcsvDFCiq7Wk+oKiPtSzATn +HRjxhFFJMBjfTZQF58r7sSLySDhflgPDalY2TibBugpvFMiUIAFxynrDycDX +g1GhDIrlUzXgnYl/tCv0H9fwcT/VZO0d+lMyTjUCJTGwMQyL9WoDYAvFKY2W +LDafelidFulzyqIVmssbf7iiHH7zEf31WYEHGPgaNfzoE/o6MTVTbecSpmXu +JnyNlBcvk+JCCmE4QiStiWVSUFaUlrHHX8N+XsbexeKQ08oecgLdmMjXSxRJ +F/IY+TQ85BhT3rFWP5YMdiNT3noX7IYlRhTslmbKWyBMeUmFxT9VMabxlCeF +xWFIyUZ+fJSm5KMNJee5yEqrL9OUvONjZ8yz6TxEyZxdYQqlT7PLo0w9LlVl +kWbOm6kp+RGfkn+bamTIXWvF6Vj0Pzsm3lb7ZjEfHpRg4a8SLDzasXDF2HzY +sPDnQeYwD0fWJ4Ilwtzh1zztcFwv8QnqJZYJvUQYLlHm7GGZ5Ul6iacDK50T +3v3gpa5NFt2o48uaPdyrbCLVtIgvs2/sExFfu/0e4anf+/a5R7YnWXh2KFY1 +wffGsrXBcDBfOxJjNX0vHzlXs69qvDBPNYJhpN5QGixaqRrgLf0yzcR62dJM +DPyFi5hZ5fQat0o1WbBGNX83X533RhFuVK30xtVW/3ngz9l14g+q99A9yMS3 +X2c+Z+OuYh7+EQe/j59rOBiyoWHQBV+rZ5tCFMazTbeWg+9qMIaf4C+gPNA8 +RlPkWNNg2K/iCcFLnRzvxXY+eagZELjtoyGtgdu+Y4rbvt3DkTYPEnnDzs9f +OA+K9cGleQDFDf4LKM4pIxTX07uGtNgbKJa7PtQTgFlF7hYMxRdbKO7o7RTn +aCCuhTq8HgTFbFCx2WwlMpvNzFB4d2BjylwBxTaBYqNvSJFQLC3aMoHCmlBW +ysx7AcUfJqHYzkVeqoZQvLneaK+10y+CjidRrE3z2lEShS2u54w267WLZLSR +acJcjGkobhrLaBNpOgKKJ8r3QwQYjwhbOHvRrQof+PtnbvNIQnFw+ZUZioGI +s7I6ERRvKgWK1yEUN7ZQvDIFit8uBYplNtuDztslSm6kx47Hjpx0wJJVKaHA +16MgFgKmz4yFFwp1cVA8bM+NoDQ6BdMm+hYflwDi4USy42jkeA/BMKccPEyX +LY8SWD2Br5AGZP2NrFNwIjmTBEoAyMBi4xCMj1E3YiFINeT0tpr7LtZH35b5 +/VXTNUP1qjEFAyNADQzTXWTYxXolWrQMt4bGH+RBH3c5mLFkPYZfHVi8YOKC +Vgn9lWoEHwvX4K7TSP8W2FWQoWFRW7wUB8w4VF76AQ2U4UjzllVduEEyCDh8 +nRjjcWKILPF4revyrIsLncutaFIQVnj3FHrxzANkl3sci3SrTl9RE+nWt+h4 +7yt6l7BXYKQbnVmmrY8486jjM3TmvSececncY6o0wgFyeTcloGs0WBQ3WQGy +DK00A2QQIH8bZh8LPJYCZDk9MHhc0ZWCvBKWglRW/yE8jmZXPBbisVFbdDNY +XLYG6MccIJ99iwfGW1OyJRiMNxEYf5k6JI4JiZND4uJIIUeBJ54wrc1pXXVG +PMEJRQWpccSeFxuldp9HjHWBeCIo5piOvmy/vVlWo4bOlNieMSQWRxwRT0gJ +nrd3hMNGEhJjoZzMlkgdEm9xMlV5K88DYmFVgcFj83dNnbBeudDNxUBsaqGW +0TXkEnHntZBO8HjnVQ7uyfSian5I/xT8Er2SwaqWu2C1avFOgbpA/ydbPfcF +/hE7T9mpeg3frW7Qn4o7epk4iLtb70IhBMzrISUa5lugjITzDHzpXszZXA4O +OJqMX6CvJozC5uJxRxx5mvtNhH7lSilh0xFR5YhInQGPkFMTW2O+o+HiHrkU +UvbrDCKkLLq90ki5wZ9Aym4TYUUlS/V4vsIOlhgp8+bBtm6flF3Fx/kBKdfD +TcOkUVSlS2OTAcpZbXfS9m+y2o6yt42c1RYGG8mstoUby3uZn3l0u1hcGilH +vHhmTnKKn0bxbkDKL4akPF2Q8uNeMXSYSpGJlHlcwCvih9WIlGv5pMzB7N51 +mXhXjHxsu03Z4dWPbcVpmW2Y3hK5HpO5hlJ/lKn3LlkKbbRHHZOknJXVNYDl +rWUg5UIiZcNfDaKkDCvXe6WQMjMWp1E8GOGr0YGK9bbUKMMLvbCW8CDJo2PX +gJMYOdouidPsK3KDJuWBJEFiy+oYMTIGzcT9613B2qMiC+xpem2eWX+EZorn +NhyedbJ6ZoMJBH+Sfi2g8kQ06h2rBoD3r/As/edsqf8OHVQL+PvljVa5oPTV +n7OcpW9qNF6scj9chhMTCMyBzQaVeG8Ua1SBUsJHjWKPVXt6GYNfg7eV+tc3 +BW7+gDelPLspmWVNs/KSxTZNxCxtsa/f3KSfYsVMikbmmpb7MMnCeSrl15E7 +728zqSL5TkbmB+90D0bIV3kjZGfSc8XS8iqgW2ENexXAOpnri4zHIpbrhkIL ++nqmjZBDk9471qSXHn1cRJzMJr3U0jyR7eYtjR4nn+yrkVGCFogtmJNfc5xs +e0I44+35UpIsBCdDX0i3Mw5EcewA+eszb1dfnX4nRhD7SRMjRdLEWLUZkyYm +pE6MAYxNAFEyeK0kKHBmMC7Uy/66BBhH2uoqpCRORCKJ3xeRxLGpcdRlFwwc +/amxq0UNp8ahTDUM+LrrmnhzWihTlS67RPiawKiOohjCa02TxRAJRfEWz7/V +yk6MGYzltHgd3cjzpBjO6yvdWd3uHcnrrBx0SrxOV1qvlkOn8Unm+A5Lk/4p +kJg1hl/+0ULV5MOlqvl7q9SF84vU5c9+oTpM+0b1HPWTulF/Su7qbgTHD1z8 +A9IszISBemE3h/nXKw2+OAS+nhqMXyHvJB95QFAZBk7HmlgkHMtjT1rPl9SM +J448UlshDPlldhzFjjqRMOOw5sMWQjMYv/pXgTFPV7jcS3pYuL/AgTFeHGsw +bhbrvhOqyjYWjC/zdgoZ02bA2OwSctcfIL0qARg/UBLEtAmPyqsypGKjs22v +pKkJWLaxBDp04WFIxdF+SMWyWEiFAWOb6CmqUgGMd958lQbjUeRJni6C2x+n +iEozQiiKio9hdXSxlHyXhmEVAMYV1zo3HuvJIIpShLNzWMVLjb8U74kf1/Yo +hVU8xGOBiymu7RJxcAzBOHg3/nQwlv2QDMbdI2C8xVyNsUTsZb8rPQbGDQQY +w2k/JwHG8/F+nougm1io8mPaGokMlwYRTX5dKzmSMOXfx1/qZbi0tWkHfBdv +UgxFhkuhy/jujhBFPemky88YAUaDxpkCiGdTZ/rzBFIv0338/A2HZZWDl6cc +fvMEPGTCL4LpJPwLQMs0rPhETW+nYc/IZfrdbqGpsZleK8Af12TJm6rZwiWq +xbt5+ouwDmcjF+kvDOj3Ln3p00Mw3+hRlNxJm7e0uJwbTG7sdSZOblaKqc1H +boNCQXMAyKRINoNkXuaCHsPVsaVOSshioSOy915UtRSEX9PQqmfKvJPxbvJa +AIbIJ+EQ2fWCJA882AtCQ2TZC5Jm1XsrsOrJ4ONkN0h5D5BtxJst0BMai7Tw +40wleiLJItERMt9oLPYLQP7dArKx7P2HLXtP1VC/P+EAuduZBwLGTzgwrnVH +EDcx0oub2FSRo9fKMCmuUNbIiXmi2SkE4lBSHHR00PLPs5GFKVY7r7xOyCh4 +ThKz2nnTYuHfutdiky8rDvO+hkduGKMxbOKWMaPVbkRkWhybLU6Tmffb3D4B +kWs4Kf7Ck1CY+eJ6s968VWQP5U1wrcnDyyuj86L9YslHZJYID+OvUarOPJGm +A9quZ1Hf1WT5HMhon5N1nKEt/VM5eovJAX7+6H3V8r1l+o+xTl356GZ1zZgf +1E03QjPzLtyNH2+xXT2Xu1W93PBL9Wq9z1ExqXf3Q+Brq4EYvrp85HkVm1ik +WMY/9viOo+0eGLui7khKVWxiHDvuSMH4jb62IiGq1Jt/F978E8eccP5PmdKP +moYPzml1O///CoqnqUZlgOIGXAhdEL84dlAsrxn1rl/ICjzeHVy+kYFiMwoz +14vH+dnFJZxrZG56wbzNvpRZARSb5DZqiAp2gTQo/tI68Y52kfcrAu8JmbON +E8+HYgjyBBXdzwTFWwiKrfCM2ozWC8FxOhS/F4fibCcuS4uoSCa4bfLDKPXB +MT3B7Scnyr88EOSnZBinQXFCcyRGADJkx2u/8UvTs7J6RKQVPhTbeO+MUJzn +TYuTUPx6AMXPZoDie92UkSDK9d2BE+9W1bDAnBeTItUy6PDJwtUJJ4uswz8D +4yhMUhtMFU+0yS3cOAypbB40ifQWCDcMy9ReJvkRTxXfpssVDU8aIxbof76O +rw/8GNzOz9Mfz6w/XN1fciQKmfvoPxO81i3WDlTNV0xTTT96S7V4/2O9NBWo +iyGoCEOKNqk2HHMP53yMx3cR+W1kNhJlg3KREUrIIlecZrlbazR/i1bRJOdj +u+Q1jkAy51ugAlqk8jk52UNCM+PfBNTDIr1QaTHQOi2bZbTqtY+X53licmNI +7sLNIJhJ7SbIKELWkCwnyOCyHEVJPZO8G4GjojFvsjzvjcCqtzjIs0goLfQS +KdtBvgiqQVNzkD85iSDZD7zkpdOqLd4xwZeJlhCCZE9t4ZXpiVyLp6tbSD4w +OH7KwvFXNYYYKK40EnMnuJojPXciExT7PrviSGldQcJn945NJgJtsS2uq2Ak +FMtIQvEx5E8ICcVCyiZ+P+K1c+Zs//LQIhPIU8Us8WAu2kdflayCGBoJNODy +ugPxa3VLyWLzkoy8HDZAKBdqAGtOm9nOY+dPiQGKSywUs3PbaIn1urLYrCuN +UTIRrismzshk51Ab1HK6YbSJn6zkohJPvcbkrJpeDgaPWUerxiunmx8Gm9cy +/duW6n/d4kWq1Zwi1X3cDk2Zu9WUq75DqgUwhnHXu/rLq7/M+kHWX3BNxgtI +OQMXBO+duV69E37pqaXF6y0km+WcZrERcryzcCIHu6X1ffGXW5yBSmvClQrk +2IjM2imjlLyNKHlrqZR8LlFy07+cko0orw5tFUlKNrFHXsNHYo5yeYSSeTZW +BysLzIVxJdWz6FTEgH5FHOBm5mIjbWGUizh6hLaEpzc44d08G21ktgIXa1Se +8ir+iXkV6/XHxjRKptkIC+wSyuMPpPLYLPGc6PnzC5qSbwJKHklL6TRLyb4C +jRXIcMFmuj7zA1ueza1AtZm5UFuarSm5Ur7LragZya2o91lQdh9Etss+z/Mj +QW5pmqPgvRisl8E7eu/D3Irb9DJ4y/UpPXiDgobodErOyrpOgrKLdJkpktif +jlDyvNIpuVFZKXk5U7J7NXKE3Mi0SsgD5FCsRebuu0YFN3u3KvED5NWllt+Y +kAN3gDQpYMf7cqMSFuYfY0vTp673u9Q4/juZ/nWoHSl+SDcsS+mWZfnG8uUA +m7Jewyv4ZXTWXLjxUHzDALpHFdfQf7zL1LlrblHnLnlCnfvOSnXRvPWqNQQW +QTPqTL2iPQxTHROgBKscTnhgM5uxzTWuCuP4FXM2m2B9+6X9zEEzT5fFxpa7 +wAEzmmM+Cla/QDbThGQXBpid69IEwbkYa/dlnoh1fmGMdV0UmbNr78aI87KH +aJv2u47aJnItIkJzCH8rdIUhMFFGCU1R+kQZk3zW+7cFICx/OuLaey1w7UEu +snTtSWly0cZ/ernIiTq9oHHaZCMfb7ORvw2ce14IHOdbxMpD3pBT5Ypq/ysV +1W/SvSeA+T8CmLuddQCZFcpy8tbqQ4My50xD4/TOjg0p3jszDinNeyfy2Sq4 +fLaPyY/NWRSLUElB3R01i225c+i/48qnlz1/tpAZgxi1JY8Uvw36O+g+kfMo +pMw4Jc8gIUbtHU/BzShITdy7B/67mJLCE6UGd++iSNjysY36ZGlxPvHxSnHu +dk2pqJywLgdRJGwdDtK87TscGlqvillKAKga6PN3Q72kNMobrRrnjVGNV4/X +4KV/3cppevl8V/UdulmN6fy9eurcL9SCM9aoD2usVkuqrVBLqy5XS6vo56Hy +SrW08iq1pEqefh7WqMVV8xOZfaba0KW7via6XFhVY4u+W2wTmSQmzAq9+hcZ +saULswr8lweQ7pqp/MBLPh4dlOP6ozMnKafU47ZeKYiD5UvsWchsGXgZ6cOy +fvMPkpcfTfKy59EfEfDyLRl52b93vELw8oUBL4txCo3Nehb9i0YpnO9GiUci +EhRHZiVHUb6b4eXn9MeLwsP9jvBvm/iK8uTU83nZ6Y+T8RV+Gn6QZCRTjN5y +q7vPy1Np9CDb8UJedjEWPi+/r5fOhVR7JGIsstc4x56NsTDLpeTl+eTGkDZl +e+vS8pvIqxE4MKTILLxPS7wae5GXvVcj5R6tFF4W8S47RLxLAMtzNtlRpIXl ++TFYXuPBcuMELL9lYRnei9yU98IJV4NzJBVF1117p5UgNS4wxq7mkaZIeC9a +JXpy3F28IScWqnJkbjXRJHGc6l/EsS7HUKwLvxdHZ2UtI1YWr8Z6/ygZVuXE +m9X0K6IBqmj9oaq45DBVUny4KtGAVFx0hCosPFKt1h+fFB6lZhVWVgPXNVGt +V12j2rz+hLri0c9Vuwe+VR2m7FSd7/5RdZqkPyb+ZJJ7uKGK0kjdNalzm+M1 +qZgIUQGiU5u9JLXmJXbHaybB2V6TymVwgbcMyh3Pv0FwWmXTvCedmRP8uIv8 +IM3H5vmlBFwXxKfM7WzAdYt4ZEkhK9B4ynwiTpkHhFPmkmMSOmWWYjzmNe0d +IW4V2M/npBiLxXihNCmGnTIHPdReoSiMHFCrbCIvOBguLfIiTE9OyjH0h5Vj +UDicSFAGcCZgPkBiPlxtqTrCS6gwzXY8SS690WN9SmJbsuHOtHqkaY5XYhlq +oDkOkypoVCIlFrbpjgx58zUpz4s23X2FaQizAkri4uf0tIp4PG4s0dhUqu3L +nFZRiu4Ygw7SVKr3+7VR3qhR0pOoVDNbRInpjHq7UBy4QYq3GlMnGkpSXirX +DYrLQUkFt6Y+HaHkZAZofb1m1NdrRv21g/UW4caNOfqgDSGSzfRBu7leL1ro +9aKlXi96PT9RTRj2uprTcal6P/c9lZ/tN8Hw8+IaEbk4N88kXmt6jpv5/YSr +l3IIDTgCVnQizAA0EIEXeOEsS3PDEXNwWrqTLpzT0q1ip6RuwwK9ORPzZNHm +YlPfKOACiPlJ2RNi9gp508y3B80pkY9naAdNzE8cADGLDmlh+Za2pIs9MoiZ +92VWqCOD7kQGVnmJZGAiWYcWOzLgbAvAAp6hzA6ImS8bPxBIsEIQM85MAmLe +VCDUd2F/9FIZkB8QMy7tzoby8/NAzFdqYh5BBaIPJtr0NuAAYk5wORd37kVf +C5lxUdO3arwRZFx4wT4U/PZUy2+8ZTIk5rsv252wZSQUaJH8l7IcJnukEvOu +DMS87U8k5vC9cBdqhpifCYhZWr2mEDGzXc8EWxhidt3qLim8TyTprT3Zu1zm +i2/VM8pVk5/L2qRTscsOb12KUm5d1h8FxDwtoGV+LeaL1wIPkhuSr0Wh7ZGQ +r8WRnih1G+UgfrPsePXxoqrqkbcaqUFzO6ubpj6t+t7xrepz2151nd4Fr9W7 +YK/BpjS1O1627dVfcv0xeo/qPG636jRhl8jAjNwoED0nVkP7JY/vgGBDh4SM +ZAq2mxUl71Hnkpgw0GeslHOiewKH34h4a2L+gEQNX3JZbJdwaybHzUE/dWE1 +GijwuPl4dGzeTC1KQ0pMFcwYummYTPqMWEjcnAwOPyNdi0cp55M+wwXFBfqM +ME7ZuvyOE+Sc7vL78YNTKWiehxGGnFGjAb4PSc6v+ORsnH6GnLudfXDEvLnq +GI+UvyRS5h5ov+/DZBtvTNVcJDs/3FzZkXKe7IMmUrYdeNz7gbqLfE93YTOO +zw4cevXTHXrR+Ap26PEl/EXOwH2P7cLLPFeWRm6zJewrvV0tRZAM0tVOVrq6 +28s4DrNyr7bURHNlL9v483jYAem5IFEH1okGaHpYga48o7mQ64TcHsS11HKa +J+Pp2rm5HSXzyXooGhwa6FO1G6T0Q2MDbAmXYIsqDE8ux0Fi96I6qq9+xx99 +52yVP6O52nF7O/X1pTepTZUmqM8rPqBPZ4/hoA2eK8AFU7z7Lj1Di10+LHiY +KBvWSDXNs/L22SLiJMADJ85g75KQMOMz8aPV4sCcWZYmyBYx7/JZhl1IYk7x +LcnoKyZmbNejTL8OnOlXGjE//5nbI8R0pTndJxw0MT9jv/RJYnaRV/Vs5JUj +ZhmSxEOV8z1iNmTgiJmkmYKYnTTzHGoKq2qJGbcDImZuZLVpcHYbOMr6WOZs +kGlwLuPiAzJ3f0JokMe3jDI9P4WYwavCS3xpqmUwbtvsz7nV1PcDNDHXHW6r +lXxifpy8HrGsi1C9TFkX2SLrItul/5iDpLuC8bIu4DqOBwxC3Z9MhfuOlsof +XSrcZca3MU7YXUeFqXApxMyvRioxi8NkmJaYmZi/LpWYz5fEzNcvi2LEHFsS +Q2J+whKzeS+mmus1bKEYZwMuYNhYJxEdfoOVLHPRtBk0SourEWM4D19QNIky +1mro34M85D76FMlNE3cK795E28F+JBAz90wiLeuPMCCRiyWWyQOkpp/1MDcs +PlR9Xng4XrewNAku1yESFxSoQDZAM/v0o/6rJpQfJzdSm3q2U6vOH6xeumS+ +mtJ6uxrdfre6q/seTPwE6Ro6O+kxgNURH4Fhe1W3EXtpZeQvv985ChYdCMq8 +aoYMyiR7jvCrJ8j5HUfOGJIpyNlcLyzELDkr1PiEhRovJJIA2fqHByZOAuQr +hjwX/1M3TI+HApL8MBdDzptjaYBy3twymouB8+ZCVjWfgvPmfmTwMPNmkyY/ +skTkx+mP2Lz56TLMm9PilVmoUbLRLKNAzp/GMuTWxOx/zgxipW4yR07mY8TK +SN5kcvYzMqS6ues5B0fMm6pO8NQXXyRmymktIL5tj0m5MNoEwgplI7mTCmW/ +Ic9lWSyq6pZ6pB9WYBApv1k3k3UvTDz4Jpgrm9boB9PCc6kpL1OexVBp8Arn +ymnJBxlUyu5GXiQg3ycSkK11L5l+7CkwiJhwW3iLUkJpxtiI7MHGzbIkdZCS ++4kv4Mrh3KNV0snCqDRKbwswSx6iGq4dpBFpIF3D96O0g2swDbQVbgGw/F+o +l/smenmvrd/havqdPQWRZtHCU9T2OdXVz6Nz1I8dr1LfnHOD2lpziNpUBcj5 +fqwlW5/9NFWRzUNbKDxXoHqHkxecuj6puEItrZSHCh4erL2nMUEanMzJyjwr +HAjIzeL2+bjAWDvtQE3OmdskY7AADcLr59uDO4ZQxizlmh4xj3Ot4TYsNgxR +toO0zFMVqcD5y4h5Ej0GyYGK69mVDv/epczSgJh5kOLnJ3ctrEnEXEH1Rjow +xHyLpoKQDqYQMT9MxPwUEbORaB5mU+HeE3hgwi/K2yvn4qBvCiOQ8l0qXMYK +apudfKpLzBd6u1/mVkdi3orEfC+K3lzByEwaSjwlCkbmWiVzSMx5XEmdLf1+ +efpVIDFbNb9Uyc/JpOUzkQ7nBgyPiqs4Hi7wchkGxCSIuXtmYg6NHKnEPEYQ +8+QYMW8/MGJ+SxDzQknMn1jjRjoxzw3eCz88GQaNvq4V6vaGeKXGLF9uGcqX +13VBpVJroci4CjEpjIKrR/G6NUm6/C8MS76++HhPpTRKGPsoDAaIma2vz9IM +kWmZs2CWkEDJHB7L61ehvNpAhRJIymsNKcNMEB59eOzhkQdy+TeR8q+P1VC/ +Tjlb7Rlwgfruoh7qizNHqyV156nnG3+mV9nt6t7Lf1Bjr96td9Q9qGW8vY8x +foA1Gg5RMFvgVdLMFTQ9j96jOo3j21fozDJz56sfdDevMjizDRnbvdUxsTua +LqxGtpR0WbA0vmcTmOXS2MSmyiXj5b1kwDXjPD9gXXEF1yjI0I4Vy/jyZt/z +Cc2G7aPyZg7MqGTnzX3143FDkT9vHi6U7Sxv5kHDjHDevP4IW90XzptjfsA8 +OmlxMQmnL1uJcyGnyh0pJM6iq0l6Aq3EmYcSfrIcz5z3iJmzV1AifIH7yRfY +JULM/4Bvm0jlQ04Q2LxIf7scfuuQ7v369duiv1ugP5r079//kDJA9pdV7o7A +tajYy/bhOszEKEpkYji4XhuVN5uqqeUV/Ko9m4uh4XpRINpwclZXt2dH0UK0 +IW8irQVQzFasxFnMV+61cH1g2RjSF4ZARTtHmgcmjBbjbIyYxLn9vX6v8dUy +RTnRa2wsgBDRw7UifGXFYq9GC1MO3tIEY5ePuRgFBDsIw7XM2zVzSFg2Rull +Y5j+MCPoRhgkegMuFefjjKU7LhGXFwBBtcIlAZaCboW19SG5mt4FTkYBFgxF +PoarnsUnql1zq6pfJtVTu3pdoHY066C21+ujvq45SG2pMkp9WWkypg7AmBpm +dTCngxkd3GjDAQ4Ob3DFASNqiNn6qPIaTRcFSBfvE2hjvJbUe5LQh+dw8Kyg +N0pU0dxvR9OimUHoPGWk1hDbyrA3UcMINBGTvNu2Eq/jOgLZ0yRkpwxgXvqs +7JAd7iRlheyHM0A2m2Tc7CUJ2bEL6yszXFiHQFEFvVAA2ZjVXwSzFpMWwDn9 +7B5nM4xNmAsgm7WdkDnKYRqrCLLXBZBtE+a41hpnKGB2OYFsgifiDiBvHJM2 +QYLs+RKyh9Et4P1O/ozLbtjiN9fKnwsS8meyC2Z/RPLnIFQjuKmxr0IQqvGi +CKKfHdzWzAhKfcAZgstmuGQGZ09f41Q6ZF+XCtnBjU0KZF91IJD9bgDZi1cc +AGTPCSA7vKmb6IXM1M4XHkFhB/DETetMtJw8eHIcOeteXcCMswKYAuSTsbSH +vYGDKVyGBRzcYvmogeynSOr8oriheY8u55fSeBFfA/34l3CzO9zK5JvzJd7G +LDvRjqHhLAny01/Ao/VsNfXHozXVr+Nrq30DG6sfO1yuvm3SR31ZY6xaW3Ou ++rBWnnpFr8JP6hX3gYu/V3e3+Uk/NntwFR3Sw1zuwiNyMynj7ah6MI8kALbB +PE8XuHfT48CO6qDP6YpZSblbeGkLcapwadswEHdA5UkOiju4wZHFHYGXkB+F +lRFNj94xGwYV5zyi9oI38m+IKuEvsYU1KcEb686LS6KLzrKhnNeI1MHrvRH1 +MdERdbLi5EgvnY6vMcIGQLaSpok7SjjNPpZQx35CcJVYWTTNMay4Q8B26CcM +6k72BmPqELZTITvrkPK9e/dup2H6/+gfhG//DX+4/PWaqeEbTfVP/aF/qq3+ +/7v0j+3V3wYk/1spvF3hCL2JVJ3icbas72O5xwZrITScXZwq92Ch69t6Ewjz +50LJxyozeSFPzEdBW0kojpaSD89KSJxtMui2ZmwsicVt2MlMIJDOFLcBg2zZ +bRGN25BX/tJvPkw0wUVqYP1BdqLxKojx/VxkMawXWQxmI2nE4mjYSKwb+UMK +YKbVAy+4pIWQhdG8iQjOXjOepjRC6qFXC+ZsWCUuWtcdY3ngbh9WBeBsWAnA +QN5Lbw79i09RQ4uP0xvA0erZkiPVh8VHqI36jdu+8GS177mq6tfJtdWevk3V +zgsuUTuadFTf1O2nvj7jdrWl2gi1qeIknP7B1G9D9iyUHgGA5HsD7o/wouTj +iqusctRjbqsY5eQuVxPMqV2Pnmvsp9DxwCHekwNvlYWLwFcFUhCbz1GG6Z3H +26P2efG1sQvPRKwznbv+F7y90r/ujvF2bc3btcvM22ldDuypkYnO7KepTLx9 +kupXfDyF1x1jDejjqL/hPu9O8wg7wZNeKrjLfJ94m22GwNtrbTJTeSuaZpO5 +bzMEj4yrhN0pbIY/fuDuJ2Wa8y+at9EHo3n7B8vbU4R4+iEx5ogXBBagGorF +0348B6uijEs75G2I5ygOnLjGa+Lz9lbi7W12CQVPQZS3xV1PGGIX5W29ZN4q +YmtivN07GEt0gtciopAKebvdQfI2LJP1F+VhaAMsk41D71lGZRz4zvw45yRv +Q0W2aQNsIC56DG9fp5dNeifWdQ36TYQEZN15wmIoL3lMwjkLpm9meyFJoiba +s6fJ4Hhy/RHA23OIm16hwvh3xWuwgl4B7MRE2cdhOHzEuxyWfHxCg+wP3CAb +qyjmVFO/PXKG2n+3Zu1bG6pdXZqpnRddob6p31dtrj5aFVeZpVZWXKQfxbVq +XoPPMAEGxJj3XLpLTbhiN04whnUxjwxcDUa5e4jgblIIdSCLUXvkbrN7etMJ +W39jxJKtOKTKk4a4gCrTn7pKJLYuTiyTuXKZXOYPuHMT/an3UH/qOG80wVr6 +eH6HaYZygYadE7WCVlBd6EINE7WCRVwrWMlPhBaekyHFbsAtW7dl4B2PLeaQ +dCgMvEuThoT21CLi7g3E3TFR9ZbAjriNltrtdsh9krUjSq9KyN27vSG3SUZi +eUjn2qcmePufhpjbEGvj9/6O//xHof7+SAJu+N+b+vtP6O+XDtlHqM+rTnVD +7ApSS/0E5febIXaJhWuT0RFzHq6JhjtTR3aFsApwTUIlwo0nsBPIXAYZ8Jxw +HzYxTjNvkB1pPUElwIVGP4uaatohStNUx4rjElegwSA7cyXg3mjQWVmrll0V +oAAqO8j2c+y8zNPEMkG7Bh7Nn7PNSjky5ExoqXlpqKeP4/X1soCdGPlGR30e +6ahhGYAlAF5/OG63X9cCj9jdC2vpXaCiuqX4ZDWi5Fi8mwLiea/kcLVGH2Vh +6f7+3VPVz89WU7/ec47ae2Nj9UPr89TO89uqb3N6qG/q3KC+qjVIbak6Um2q +PJGUJI/g1Toc+uCwB9QRgjY8Z6C/XlTNKJBAfWStWVAtSZmIkPeCZNGcFSTb +TYgBR+MKzTWKSzn7MEYUrB7hy46IeoQPX70Gu+kdHLw8yObnIhKAF3anRJ+J +KGSvKRtkOztOHLIfJMjmqNRxqZDNRVsyBjcpJrzS2zF8yOZeCCjXMtl3cEtu +InCPt9l3mOURgewZQlT6rLXacJbHYWTBOjSS5eEKtMw1J2V5rHWQvS10Ji5x +MafcAyB11v9+XVhmntOQfWNbDdlD1ZfZ9/h6azSAwzJssvXL2i3Ic4xlFZaZ +TI+KedZ+gDFINLsIM/DgvDkvEXfjZ+DNoEwPadwNBVWlJ0UnOzdTTdsxyKYG +bh+yYxaUPxuyxSTzE14uXZlgbhgPnZcMvqtNaWgNAjWVeSd6Yhu9UY10DPIg +TY5H+3Xnepc87n2oqHrp96GPfh9uoEklOhKLzUB7MpHSw3TYfNpA9lzSzvJr +8AHd66zAO53ymNMBzjID2Oaxt5pqOE8uNvGOADCoFHmpsvrtGQ3YM2upX6ec +o34e3gBHJT9ecZ76rkU7vYJfr7ZUH6k2VJmp1ma/qZZW+1g/hoUYbTq76dc4 +Apt6yY9qUuvdasxVe3D3hUfHKEpIjz3AOFYQthOKEvN4IGxzbCjupDtcBkgw +lvAei2BElUsSfFCTmMA86V4UIyr07wePxXJfgw3LpZ/5Md7L/HCZiEKDLeYS +Xjfhui7eOcxLD7cxSC3EoyK6CYMB97WULD2A7gXhbHaXHXAf4w24HxQivHhY +XulqklhHYSwwjy0tcIGyee0xerk91tdh0z0iD7m/4yoWyv6QoXl+p7cIznsj ++0AhG9QiAwVkP6N/zUs8yf4H/PbyAVp/VuVBQupYV4q0KMbDPNL6UjzhdUwb +UinemcJIHYuHNurBZJHgnOahTdHc+Zus4J0J8TUjUllCPXBmLQYw3syaxNdy +f5CiW8/BHlTPyZm10QT4Lds4s06LRJMBD6HC8AO48aKZ9WJz49XYu/F6Q4QA +uQGlrw0xN112CINyMiMEgL0BXv5m+Wb4AmrCS9Z1xdss2A/gFssMW2DQUluf +mKvr0/K/UDkLitlphNXvbjxUrSo5TG1cczTmjP2k2eOX2dXV/ilnq323NFQ/ +dWiuvr+sldrRoqPa3rC32nbOTeqrmndqvB6lvuQ5tn5uHV6/LPB6ITW7L1cf +V1qNxzd4zkB/BPnUb51juAL7iht9gfWFcESzuhHmCbjzEEWUMGWxF+TSzhjW +sPX2G9nxOUlpm5ARiRatxwu01keuDsLufjUEvoS1hGxh/TPR+sUyovXEAK2H +BGg9wBvIhD6daHaubSTM9e/GCSVku4QnQC0+huPAgiww8mtZBephNLQzTLFY +f3C6gVES/sMM7wRa+zXdzsIYhn5Yj80CcXFpLYx0WflKJfXrswatv7Jo7YTZ +MOXYKITZDq1lEctrtPS+42UomSnGMltP5dB6nVhi15tXIMzEicbluStAdH17 +XoVdiWyk0YGMyl7r9Ipf+Q3I0Exo0VpKqTyH707LT38+WrOzmxnq1QCtKTdt +5ePesDIRhrOGmznuLP2dsDWE0tZL1fVCK2JY6QzVvRAMCqehdqofHTMHUXfz +GFFCNI0SHYxm6nBA6xdp7vg2nS4Xk1wqj7CnBCxnJfDYO6wGATagDEiiJFbj +3Bqw+rGaav/Us9Uvo+upfbfmqF3dW6ofLr3Y3ELW7q+2nD5cfVpluirMflGt +rLxALT59tXr7rA3q5QZf4nUJPGL365X27la71Pi2e/AxgkcIHp87rzUKPBhk +oWj7VifatsMJVl/CwIpvg6d+r64m1aUTbH/lYsdtyKgpXJBqS2lzjBbzLPUj +x/0rvzBd1D0ejaiYx7UbmvpvKdaGXBiXl8S6feN0hWsOLm4xj0pb/ajw8iln +2S4cpAuLtYuMWLt72G5Y5JorB8dm2STW9mfZR0Zj9cqiIcnb6NJI0zQkvtUx +lkkdWh1FSMgiUeQCU44FLpeaEbtzHYPW5S0bZ0TrOfr7b4oh9YHMrz+t8tAB +wXWyndu4GhPC6+ygkJBn1iy8lnAddK/wDebrIlvazqxzRQmHMLWbmfX25Mwa +rDoX/eRq6y41tXUISinVdTIHZLBn23EX/3YmmeZuTAtVZYCaHOaA7PCbuiNp +mw6iNtDVFknKKFsadgvsdILlYHEQEeUNYV4QOwV0rQh6wpm1E4RgN5d+/esH +wuvz8JXv5glCcGatdwPzWtdCA/v1+jW+Q9MQvK6Q3wPKWFjagWg2FB+qtq46 +Bk+ee4A+Zp2u9t97tvr3oIZq9zXN1I9tL1Y7z7tSfZvTTX1Tr6/6+qxb1Fen +D1FbKo1WmyrejQM/uFFfj6D9HOaeG8HIuwTaJNLOztOgXaAWatJ4v1YRFr28 +ZQXaZPviGXbggmRxNhS6cECCrT0UQhFZeTg4SNmLhu/CQSxGE3wbLsorO0wV +kP2IqTmMQTZffV6UcvX5X0P2zAyQTQ4vhGyqccsIFF287pa23HC4LtndYhSG +LEDN1rvDydRp7CCbK724nOJ+KqeYSZA9Wwxb2PWIkL0RCONQW/ltrF7/wJyQ +9UGgqqn8FnFQeGvp+mx3CkH2T8L1uO8tV8tlTesasn9EyL5LGM8DoUiFmDA7 +2XaYJ9oO8WwJJmCuAK+0xuWF1EzPC+GOIwfZX8UzqeWZE18HagFtLeqsInHt +g+21zp8P2e2nCyXunwrZ70cg+4UAskERwMUtYX6OcQPXDYwKUgnAw0nu+vYF +2ZqaCjkjpFlSIFIIdzlGaQsRareQGJsz2Tkvh4NBZhtlCEA2i0Peo+ubj6m2 +qIBmivDYwyMPmigQyHrCEP14A6zAIw3JZVC7/PuTNdRv089Sv06sq/49uJHa +26+p+qnjBer7iy5XO3K7qG21b1BbagxTn1eZqkqyZ+MMe1nFT9SH1QrVm2dv +xEcPznWwe4O5Fs5vcC0Cj9MIMcuGx+dWqv+B1RR23T6eYJt2Wp5lszsyuBXG +RD7KDnC3fxFnJDwiC/KxVrzhh250FZ9lU534shcxT8TMssMrjuDqb814G0zq +WhJZu88tmP71nxVr2zMZx8qYWfaVqbPs+iLWn2fZp6meeodGsXYxi7Vplk26 +fjaT3+MVv7jQ0pgz8lUxy86UKZJJOAIu288JtsM0PinYNu7IeCKfJx6hefYu +DdsgHulUNzm/NqLsv3XW8HyT/gEEbfNj/wAl9n/09zvonxul/7/sSuwj1MYq +My1cxwpbDFwfgKsxCtd5vtqai1tqiVVfOhvrSWdjyvQ6prg+30RDcCR1WnRI +bHptRy+BKMROrwUwSWVt6c3fe72OaKmw7XC/P722BYfU+u1FUuP0eqO4zpLm +6DUUHWKsOy6O2kUKNZGNBRFno+eLp3Q18GdwewdPrw0pgU0HKMlNr9thdReM +WurqV7gmikJuKDoR43+AfOAMDPkOsLQvWX+YWldyGN66Q8gpaKf2zaus9uul +ev+95+hluoHa3bup+vHqC/Uy3VrtaNpRfduwl/qmzo3q61q3q61Vh6nNlcep +Lyveg6M/EDbB88tuyHzrhlyENynwHAJtgBgJIq7fE6psiD2HIEeMGwmn2ed9 +a1vkHxCR1lwCZA9oUijCMSNhW2KKGls2JdpQxvHOQG9pgiSnFrIfl13imfWF +zTzIXuUge+lfCtmNKKu1bJDNaVOy2CLXFVsUcbFFBQ3ZJ1mw4GKLsAHufspk +tWF8BNkv0w5gGy02ymgRVyFeTP24UddjHpcjGlkgux4tZItyxL0A2dxIQAIR +B9lXaMgeQlmoEaFIhUxq7PkiveldSm5ykI3ux4qr1NJKolKcI0bgsvAcZyJn +9yPr7lyMtdNM2VA+AdlsCJ5wmTMEjw5jrEuB7NQ68bv818KD7Lt/9EzBMbvb +XwPZLxNkC9ntStmOKN3A7nYHllED2UnXoxe3g+NJc7NjD52alvyoHW5EPJ1K +Xk7EmJ0BNIYcWsIKbIyrZrejcSKsx0MmQPZrJA5ZuFGqr8tj6B5ADjzycKaE +Sxu4sMG8ySUnI7DAY40uRzgvasD+Y3Z1o76eUlv9PLKB2jcwR+3u2Uz9eNVF +aucFbdS3OV3VNxqyt9a4S31R5R61IftxHIdAVxFUeC2otQ4fwxcbbcIRB/hg +pukdHB6vSZfvxlEGeKIwxKkHT7X34qiLg/xkFAkaZkeKO2MK8YOpdvvEVNvd +E0NIl42zpbZFOabIBenlh9C0KDpmvTGWU2jnRjR2OSKdqXGiAWCsXUJ9VyRP +tdOLYsI68ivo0YGRVztbR56m0K5mO7R6w6NULCOvzVQbKuFH07kNy2JK/LKY +NGfkK4EzMikcMWlOeYFwRFaTf0qwnRZ9bcUjXj15PMTvB4y/drDdse5paZA9 +T3/nV4ZsM93GWJHN+vv5GrJz+/btW1qsCMD1+iqPB90u6RbGpCTEQPUq9Ndk +gGrZ8VIjaWOEO0v2uYc2RujzwKl1ky2ejVHm8SWn1hQZAl4cm169t3SldaI5 +fK9tDr+FdwMR0VpqJl/Q9ZIYvdhMPleyeuWsrWIq+YUDJjJCn/dGkTBCB43h +qBNbbNvCnT8nvN98kvpd/Kl1fWtjHIaXVuHUGnYCjAuxSus2qP0CGoJXF87H +3Yuq69f0NHVT8UlqiABrGJ+8pl+3RXo5zys5XG3Qrwq8Gt99dJIxyrxYRe1/ +oqZeos9RPw8B/V4T9VPH89T3l1yqvmtxldqR011tr99XbTv7ZvVVjTuNGrvS +BCQSGPmBXJVTseGZBeoA4sBcP7I9QgsjPINcxwzPHef5+ZZHMcXm9OsLXcsi +ttC33mOyFMLk6zCsjEjiZh7XpVgdbQ25yO/z4PoBCdfb/t/BdTiGicN1fQHX +0YrldX6bomyNc34d0RqHO4G5Fu+hwfo6Kj64RdQrjyHZIKz+DwhPPHtzuFqZ +6+LepzvMJSQRlM3jJQFcm5X9aBcpIpsUQQL4kWxShNVbdOUSXLP6GoKj9j9z +uoHreoPRvRuTinxawaiwHVxLFfZ8vEj04dq3On5SUVod1wmrY4kXZ/kqmRFg +hsGmFpPfZ16DJ0TxLKim8FW4SMP1xQKuL0/m96W1KmZST/UJLgATcB1J3Eks +o/8TuH6altHHAmIycC1z+wxcu9pxTn93joRrROW4zOy7hOgokIgUmZ4ksDf2 +0u9CP2pPxPzKEqm8PhLp5wl6/l8w7gOAa5guvo/nykOdPATTrM01vUmyPgbB +BaaDMBWEaSCACTzSeBkDqus51dQfj9dUvz1wtvp1Qj0zve6fq3Z1baF+aAP3 +j23N/WPt/uqrmoPVl1Un4qNdpEljjX50l1dcohZXX42P5Gt1P1MvNN6MgA2j +Mgj/uu+Sn9SkVrtRlIeyEf1I3dXdCPF4ms02SFhVrxsUTLM5KH0CNa1ZG6RY +SQMvixtlQXiA3xfRhDqIG2Ij43ISYsKOa9Kxc7wa85cTFsgc2ynjXLFJI0uy +U8adyfp702xpgbws0Snjptnt7TS7iZhm18ZpdlfbXO+m2f304+RNs0vcNHuC +frTg8XK9MkfZXpnQBvlyig3Sl444yGbpSDFJRxiyPys+3KRkp0F2YqJtAJsr +zb2OGViiF2q4rpeE69LSrk874khVUsUELJQl7CPNjwjpTy612gR+LOFgvSDw +Y0Gg72DxdMyTGI6gZ2MD3jfeCNrrDxcj6HtYQB0bQUcC9lhAPTglYC+tQzzu +S5Q1YLtSNB5xN/sVczYnku3T7MuNF662pQaySTWXNB5N6L3N9RIe+AZqerDE +jyUV4F2q/tpBeBDmC3szN+mJF5OghjWXkpfjhSQcdlHjUXQ2iaiBlo9XQ/X7 +BvgyvcQcVOFwCu8KJC8UA4Lo5x2e7R8WnmKGG89XVfsfq2WGGnc1VHuvb6J2 +dT4XpXk7W16hdjTprL5t2BsHGxAUsrX6ULW5yhj1pdB+bMiWHkah/cheopZV +XK6WVlqNzyOnkr1zNjUu0jwOBdYimM9F/e7EAcn9F7lQPhkQIhHBM2kxHpTi +W7xG+BbNjSURs527SWLeXiZilsOUv4SY74sScx1JBzbKNdKmSPfbUkQaJ+b6 +QhFYFUNBemha5tAxJubhJb6YFIIQvBA+IuZ5NCN529aCcbTvP0WTYkRUTaEg +W9e4yjgpqv7O64UxF4uJAD5NzPs1Mf8GJQWamH+64Qr1NRLzRNJ9hMRsxNXr +M/gW8wPfIg8v2B6OxFxJEHNNGQ6ywfpWXhE5OfwKsPxJZuXImz4OB2Fi9sL4 +IonXg8UQIhMxgxSq1/9XxPxGSn6SHDxwOcg9EWIejH7F+okmxet8owGmXAei +aqt/ytHLrHkX4LbvGo04vfS7AHgDYZS3kVdxlOiC8UbRNCN83RAzyJ0gdM9p +PTSlAJ2QPTdNTL373QpW6/Hb3Krq96dqqN8fORNX7V9G11f7bmus9lzXRP3U +QRNzq0vUznOvVN827q6+qdMfbTGbqo1Tn1V8UJVkz8J8m9XZC9THlZeh7eWd +M9cjAcAj6FGz3s3v1tQ8/oo9uHPDxR9qQHoa+wrMs+BRGnCzS8nuzYLr4Tya +3huMpllFRy5wuwP7q+klLydtKlzCyRfAbjTtWtZylvp+RqsBWTFLxG7Jxyal +w5yW03pRP2Mf389YwH5GOZoWnTLeaBqWVjGaRoVmNcx07FF0iibn41UfqLIv +NoLr28RoehQdymCp9UfTEU/j+vRGRh5NuwARl4NakCG4zw4wOLhPpmQHvkYY +aLikbL1Ei/F0h4Mk5uIqc1K6XeKkvFraDENSriiU0EDKVaVYo9iV4J5NHS+B +WMNZDU3ygux5KT0ez7UiTrZq6IgFvX2y68WbK4uL9zS7YVpEnrMbuuW9gxeJ +JgQbM75x3uOE3ZC6Xl7ZKCLyiuwSz5qtRouk3VB2QAVR1FavJRI8sPrLJXjU +WwMJHoMTCR6mCbEHvo+tCtrT7eJlZHhohoMPOKnCEt6n6F9qYPEJeCKFZXta +icEVOGnCGv2JfkcKAUPWHYGDOniOf3r/VAyV/PXZamr/zDPU/sl1DDH318Tc +paVedy9UO8+7XH3XrINee3uo7XX7qW1nDTRz5ioQrzceh3OfUax1SSLW+n0j +5Mj+BIducOeBqR80Z4ZTGzyDPGd+Xq/XoMBnXHhMoIKN1ZPFGOS/snm9ofdK +KOvt/UTEjugTs3h2go4Yz3NlnxuOVvTbNIGYW779XxKzs6176YpIzMZT00Dc +PoLM70CIuXWCmC8QVWE8DIHbxpqaFKpYYu5D+atACoOpWHdsQMyPiIKDZ+2M +jaMNqGSZbhPjxGyGG74NkbKdVjgfTLJJ0UVWS4X0L6SQhjLc3+YwMWuMqDhB +bUIRR6CUrhBXSvt2RKmUpmbFCkEXeUVyfVcvzGhH5IotP75axumJRHdBzBMz +EPPwAyHmA0l15wCcv4SYpQT27Yh7O0bMD/qZabZKb6glZtk97t+5QD5xRCGN +mMOnR45wP8vGVffWaAM+MmlBHEvesftNFww+/7M3cG4eIgsQ8wKqRFpOmiW4 +OId5nul/MTolSIrcLoQbcG0CB0A4+GEr3TPV1O+P1VS/TTtb/Tqprvp5WEO1 +7+YctbtHU/XjlS3V95deqna2vMoS89dnDFKbq41RX1S8T23QKzWs0muz31Ir +Kn6EOiOQ1sEjCb6V53O24I7P1AzZXOBPgZ0cqbmjOYxhSAD5UaSgA1dXfoxY +Sglx1xxcKx4fXlVNYK3olRHGf7eiFlubIpZCkKCjkbUpLnI3vDZy7xUUdORi +p4y0KUrtT5DCaA9cpoyTmxg5JIY1QL4ujhNKOyc6Za6UnTJWH8dJpW7eDLog +TivtiY7v4+28+RaK3ZPqaTtvLvF7ZR4jUcdsIep4OUPcNYs6uNQ2TAMp2eAn +gnjRewUpog4k5+MTiSAcv9eh/sERc1GV5zIEcsgmRFnW4isw/Jy7ArUYSTko +bDkzHsoR9Q0yKTeVWXekvTvXV2CACyERzAFhTcLs4s2VNSmP6BIvbpGBCxzc +xN5BLspNy7tLeAcpDpMtxNZlHgsQjnjDnOjKj6NvTN5BE0cvrcQu7w5Otrnk +bmhiIzGlCsOIrernuTBpr7SlICxt6YzuhSs02hgd3vmkwauP71lP/Y5dV3QS +Lt1g8AJafpC0pi/qUybcxyzR78YajSAwxdi89ii03sJpb7fGCkCJX+dUV/sf +OVP9ejcR8w10t3f5+Wrn+Zep75pfTcqMPuqbcwaQ9Hmo2lJxjOcxBLxIZuWZ +MA/TgrEGa+bg2Xw/TfqskcF2k4f+Qry/oEAwWSXHiQVB8bKVPYely/IKWgR4 +dB4lMhMDNLg6NFA9tdXa0G2r5l9BzE+ROj5GzLLFYCgRM83TsMEgjZilTq+N +CCqQRS+NXNGLNcKcigOQvpqYbxLVYEDMXGxxHwUWcCUYa/F42PEGEfMHNOT4 +mIwu3D1eTAaXT0uYmHlZPiYgZkpBzSR39jyFlYiYK6vfZgMxtwmI+Z6UPvIn +RMeWkT1n9hYGXeQV10aJ+e1IgMeL7C1kAVyLIMCDoyIDYp4QEnMH8TqUoQfp +hkgPuSRmd5Dc/RcT88cZiDlMZ3giQsyMPGNVXcrEA2LmVAbTPR7xFHrFR62t +/F++C11Q7nyGVSddq5HmepL9D6ZcyPGkSnqw5CgOm8ZWl5doyKefeyDmhRQF +ifPlDYZIPC8hzJeXn4A327BKg9AIwzqEl/CPp6ur32ecoX6bWlv9Orae+hkU +GbBqd2umfmxzrvr+4sswE29H42vUN3WvV1+feTtm431ZcTIq+uFxhkcYTFMQ +s/RRtTX60SxCfeY8Tc2wCjM1w1zsvot+wt19fJs9aDQZ2VHIoHtTuEd/5+Bm +z2FvfpT06tqFV9eJzrUdlsTYyCzpOZRtjDI/j3fkD11BTCNWaSzxO8xz6YrC +7cpPBYMIV8iZVlRrrirMMIJvgF28olle+QDm55gHBTE2erQpXV80EPPmmjhv +7l6UjTlJIPzpU+zmzU4KfYw3b4bSTlkSE/oOn9vgfIdhl3kY8rEsUGqsozyl +Ek+pIUKrMeQjJOfjUsgZvIcnq/b1Kxw4MR95pCqs8iKlayQ7w5O1hktxVgfP +9zIiZYyvq+QrMEpP2DDiOVZgvIwKjE2pJkBfr7zTLtsPBIW4XsoG6ZXHlDJX +NrPAfX7yr1jKw5rD0AjYZYRUYVBhU5gOXWrcqXsvL7VxZcVeXFkOnWj9dOhF +LpNpKXuB+b101St4ne7F2E3C4Pi6GnPq6XexHsbY3aqX85s8FYZJ2ugo0qEv +oSi7JkTLtdBi0LfoFL1sH4+0PAFp+Sh8V57X7wjcw4B2edWG8qqIcklhPYYT +HwzkACnQNfX06Wr/Q2fhtOLflpibqx8vP099fwFpmZt0xeg7SJbeduYt6qvq +g9WWylLPPB2Hcq4+cb6YMy/GUx7chSyh6kSMvzurhASd5mr6pUabKE5XmgWd +QwpRgRM5ZCd5qGXuwcLNuFGQ8YDvKbqzlnlUaG/5UVS2/MXEzGEtr5Cd/OCJ +uYEgZudu6RUYolw1Imc1cQ2crGrhGFS4xoCMpl4w+BDEfBct3eNJv8lVcDPE +FeFz6w/3uuDejRDzmggxyytAuP77yhoEj7diOUfMLkHa1LQYN9XPVsNcCRUZ +v79YWf0OxNxfE3PdO/CaZFP2pICYXexdmlEwrmUmo6DtIl9NxJxv0jiouUiK +4jCQpr5L44ChhTMKJonZj7xj4dsej5i9NOnAc51GzKlNRiP2eeH7pRJzMCgM +ifmCP4WY5fW6qEaMErOIusPucRgO8gmSa1o6UaRCW6thvhpPj0bD3Bk1zLVV +N1xyzXsA6dE3apS5jYSmo8nFdR8ZZB+jZ38uVRS9abT7QMyQ8LiMbsAhNXoD +GQOtfnkl6Zex/vBfard+pGGlhoPffpgvP1tV/fEEpG+cqfbfU0f9Mqq++veg +xmpvP71qd9bEfLkm5guZmLub0q0zb1Vbqw9HERI83iA2grkGPL6g7/y4ygr1 +4en5SAwgr4crD3gMDTXvwOBamDVPvmyXpmYzp4Bd3UbiSW3zjWY2AQEvuMoO +diqNzsJAiHrJKX7WUdJAKHKwXvZ3Zxcyu9YkdViVxkc2MTHXW1pdUkcTodJg +/3WOVGmsptTpPJk67ebNVqWRH6o0hIHQHsRcxYtbasOKl0aiw5zTRZ2+ubde +ct28+dhEWscEWn7NvPlIXIIfEfPmpzeYhtpY+vTbqYkdTqnBAf/FMaVG4REZ +Zs4yIs+ldrRvcHDEvK7KvFKjMuIKjDxfgcFaZepP4WX5HVRgmL6LmAIj6ewz +fm5vrgyBz+cFc+WLf/S83Vav3DoS+ByI6lhneicH+fYJ3H0yky5DUaFru6Wi +wogK4+pAhXEVFhWSuy+hwkimwPOSjqdYfB/D5Bx/Sc9l8Sm+i3zvM43S3/3Y +DLjvqZ9PmmVayllcB1jDwjpDyxdjAYDJOqinEaaWfo8q6dPnSUTLxyKywHUg +DPaeQ6efSeBdgWn++hkvPFxtXnM0zpfhXmQXKTJ+f1GjxFOamKdR+tGQhm5a +0RrWXtAyt1U7mnZW3zbqiROLbWfdrL6ucYfaWnW4idjQuAHX2WFodIEtP1yI +kS/w/C6tlKcWV3Vz5rfPWY/DNlDRQRtAzAHIjcpwSrtXFmO2DjLs+ERmh2r7 +ElpmxoM+IlojIzHfGyHmYJh2efAceS5ROnH918Q8wyNmFvUAMddNJeaUcsNS +iNk5nera60Jo6DL+7+OxFdfk1h1rowQmBMQ8U1wN+rl1ZnleuCEWqQHiOSgS +pxblItG6JYsNg2Do7xe5zpXdwvVnm2zhGoUUGb+/oJHjaSbmQWpzxXGamI2W +Wbr/TNesC4o2qUeh+092sDj3HxPzJ7JYXEZrgCDp7A1esfg8jtZgYhbRoJxf +90hIzJB9EMj6Y/0rHjGXIW1GZut39ixcYS3RX0XMCw+QmMPeFb3ErjHDwXpr +77Amaj9Sw7wPYedKW69EnONljDrJYEw2Xpn3LTpBnxqPM4oMUiVNFnl17Phz +adCIJEDMS2mGBxSC+uUSI9eH2z/WL3+L8+WTMfTIZtXpx/i3F6roA1919ftj +tdRvD5IiY3gDte9Wo2He1bGZ+uEyvWpfQMSc090mj249/S583D/PnoqzDTgA +2jlzxaWaJvJwVYZ0Ubj6gPkFPIpQAwTzMnj0DDXvVhNg1hxQc5hvJ7XNuNLy +nIsb0MYFjxOrNOwtcKjS+NzGbsQazxot1I9RYqall9clsWqrUKXxaKDSuBeX +WCfyiYdJS/GbK0bkpbYbqTQ4i9+5Aq8i24hfSM7z5jrUKQvz5qoUGXqKid7Q +y29i3lwcmTcLOwk7A3HevMHMm2Oh0lbjLJQaywKlBmucizFe9J8ufsO6A4/U +S7bROGdyB159kMScX+XVRCXK6rASBUk5SG0mBQY3DUpSfi9QYLxe1139vRI6 ++5qYufKzPFfWpPxUy8x13qxX5rqsRHpzpNIbKCYZMOcn8nqtg2IO6EWQMtmM +8FUYHYQKw8v6z6DCaG2zMOQ76BKccxfwcr46UGHAci4C3S3ipGRhrOLrQr7n +cXYUjB8tcJplc03INpQrRYpzS1q666D1BGi5X/Ep+N7cReHr8I6A9h80//Mp +jOBjjR75dCKECQYM6ECLD46/PaTI+ON5jRAwsQDH9XhyXFtibqmJ+SK189wr +1HcQSNf4GpOZwcqMauwAnITr8KcVHtZ48bjNzVhHuRmwJq/MptyMSqv0s7vW +1aycVYLoAM/oKzaUDoZsxmkKzyIP2MBdep+sagsD6UpLfb7J3RlG8zL+NGJe +r4nZKXr+NGKeXgoxB61s+bKVTRJzsvr4Kq/6WCQ9F55pO217YlOhXrKLwhC6 +oymE7ig8tLkQuiNxifZD6Awxf0gVbcvoetpVgcuu2iOCKvDj0ol5oVNk7Hmn +QqqGmYl5V//Walvd2zVCjFWbs52WGR7jzzKkPptqlXT3n8kDpbyM7EheRk2X +l/FmkJfxkoiMMXkZRMzCBBsmPrNlJFGr0jGtsfAAiFkOJhJtQ0TM1sL1ZxPz +e6UQ8+MRYr6bIny593kIEjMHHsicjAu4uVOEMhrhm3wXWMPMjZ3VcOjXi6rm +OCNjBIUwTqFrca5QeY5sJK9ztPPG8kDMnxCBcH3KZ3a+fLTLx1hihEYw1zD5 +GFSf8lxV9fusGqjI2D/1HMzI+HloQxNA17up+ql9c/XDpUTMzYGYu6nt9fqY +lKPTB6vNlUbrg+EUVGbAI23mzG/jfA4OefCowiP69jkb8SAHqzE4S+AxRGpG +XfNPOGtGaoagOq5f6e7EP6yFi2mb+Xa4E2qbpUrjexcgzh7roOUwLUuDd+yG +H0KpmVNp2B3bVrC8QRUsbCSlXXs5qzSgoechEvr4ydAuQJzl8YOiKo1Ey6E3 +b75KxBORSmNdsobFzZvPEPpmM2+GJZjToW8hGf0QMW8eTwK5eymyJX3e7CdE +vyHmzck6ljC4LlBqUA0QkzPPm7liXLoDYebcruGBE/OpRx6l1lZ5Qy+37+vl +VpJyWlockXIV0cmdUYEBFEIKDBLKsb3ExBgJUm7+dTwxDosEZQ7GLpeDERQJ +jr0qmRpnu048d5+bK98qUuMSccxBmWBShSGyMO4RqijbZRGoMGAZn03tolwa +9zL1nbzGbtxCd2KNqjBkUKTsO3k2ENeFy/g46jsZGk2O445u3+HXirJpWpC7 +tq5esmvgewMm7Zv1OzOEBKT3keZ/Ni3REAz6EaoxDlVFMKwrPBzXY3CxQrAA +2KOgmQ0Gb/95rgp6SGD9/WWsJuY7OaMIiLmFJuYLNTG3Me4/0DI3uE59U/tG +9fUZt6mt0JcCSXMVJ5ADcBqWI8M1NtikQPAJdyh5+kQIAzh4rj+puAK9JoAQ +2PvNDkBOmsNBG9mfxLU0nNxYxDnFDtdIwCna1zh7JRMx27yM4AraTT9cwr8l +5hANbL5K8q7iLyfme+nacIJI+maxHVhUBkaIuadn6vYLCGHpvrAUYq6IxbTX +ITEfK4jZhfhjslyJTJYzxCyT5WxORkDMsV5v7kXxXH9giUJiPsl3/YGGmRQZ +e1JS5eA6BQ6If8zSxHw9E/MYTcwxZUZahPNcqqxyWuY1wv3HPd/LK0hiXotL +9sLT13nEzEI5mzBHPd/mys8lzElifoiWYxbEWZN1pG4qYa4uAzGHNhEm5k5l +JuaviJg3e4K3gyfm1zMQs3gnBDHX84h5UEDM/QJiBtdfB+H6CyObG4lUuaqk +TDoO34FbCVVGJRQZR4SOP7b7ATEvx6hmEiCB+Age8/yj8BEPu1Awqlk4/qBw +8PcnaqrfHqKMjLH11b+HNFL7bspVu3tpYr5aE/MlmpjPZ2LuqrbXv87UyNa4 +Q22pPALnG7BSb8h+Ag+AcPCDAx/cAn5ceZVadHoB5gTAgQ6cgEALcICDR3Em +zZoxQ+Oy3TizwMSiDpRW1N3P0ZDUbPtUghkF7OT2hph2cV8DR8lEIkvDtpVh +n0qJ5823WRqLA5XGUr/X0vSpvCD6VMJucL4djneD1wFqXitcgRTz3CwR8+xS +6FqJxHxYetviAS05b/Y7Vcy8GST0rsDwxCBP41g7b4aKnrGUID6ZrCUwb35I +zJufIlPqcxv8uOcwUyNUaiyn5TpUaiA5W7XGYRncgWbmfLDEnFfl7YRW2Sfl +VYlcZatVruGnxbECw86VpQKDnX2RxLhZVF0FwZ+pORgXuXxlu0S3LqW4pJtx +Yd0lU+Oku+8GP/UrbAa8NmwG5KUbVRicvO/yDOLlJV85RdQcV15yKXsJ5tP7 +ZlVRBZHykiVCFUXvG3kJcuGUiubtcOghNcvCuI20fKvGGefwY0EdG7b5atBY +T5iW6+A7g0oMcvkNJnM2nCQfIdEcnBjhef+Q1BgF4Paj6HycL+u1GLJdYB3+ +9+tGFffHHL0Gz6il9t+r198xev29o5HJygBibqOJ+SLIy2it19/2akduN7W9 +4bVOy4zZzMPV5krj0FMiHYAwkIMJRoF1AH5ADsDlqCqC8p0PYw7ASDYzZ2ZM +v8DZnu4m3bxNmeMKbxEQwBp5KdxMtDvIeveRYSfWT1YP/z8j5mQtliVmK4e/ +1wntBDHXJWK2bYKlEHObkJi9QUcDW1Fsik6ykZj7UM8smLc5g3asSOLnLObH +bLKWuQKcR9d/b3NOBtmfltPADXMy2JRdYgZvX4jl1jUJGhuJTdQn199PRMwy +h9lqmEGqT/PlP+ZWUX88VcMQc73bNDGPTlFmMDHPxGuTpJbZRBvlWy2zc/8Z +Yib3X7S+u8R3/4mEuRcpYY4LNmfZhLkdNjbGZjKzKE72/1wVRN2XlZiDVsEe +gSG2U6KQNSRmeZW3VbVJ1MUdDDG7wINk9JAkZlF0Iok5n/uibjUnSJss53Iy +XG6McP0VsgOW1UkmkxwGfT31O3AtpCsWUcEJ1XRPppIfVmQ8QzX13M9Ndj8g +ZtCG2vZAcpeYRDkTmghXJ9bxx4oMfejD6xFUZEBGxlmYbPTLmAZmxjFAE3NP +TcztNDFfTMQM7pNcTcwNrlXbalNVVZVhxnlScSqKjuCRBv0cPMIw01hWcZla +UtWoM949cz0e6IAgYEWG1fhJouZpgpohHZ9X4AQ1C0fgDZzbLFoIuw33Hy9X ++Z3UNrcV2ubWc13lN3f8OudRvl5x9S6+MEigs86jd+nRes0+WlZPGa38vt9T +adh4ojXxym8/S4Ov94zJlEVAfMVnjNehKzAsSBHzZtI3mzhwKHc9EW0lNwbz +5uH/l7v3itKqyr63b77R2rZtQG0TVUU0k1MBApKDmEgqQZBgwIAoShZRCQKK +oig0wYCAiI0JBEWi5KJyoa0t5gCKYqstenW+s9Lea++zz1sFhu7f/4IxvHe/ +q+ZZa85nFp9gSlImGxLdXzGULSUpmAwsO5bPgOXXf4eYGruYjkgc56MMx/nt +RDpQcZzzTogub5SimP8/+O9jPJ28vdprVh9jm5RLUyaHch47lG33yBozbEsM +0tOQL5ionPBdxMPX3SZbTlyif8QMYypjc6jKQr+4RNnl9GC+yu0gEZiR00iV +gax87YiA9yJIwJBeiX1uBazixV2mSbkepaZdgBcn36TIi1uvnMobdd72BUOp +MU7lbb6QEacyaeQ6KtcHA9vGtC0FozMLFzgGokYuaMGHwDqM/sxGbtzNxSeh +Rp7AwmQWH/4Wl9LRD74FN5eRN64UvvHiKQz4WvDEAdEFBAVe9wBLu7ha9PPC +mtFPj0pypAElR64L6eRuNHUh84cO5luij86+I/qg+uhYJwuR+UEMSmk/Rr7x +Y3DuD6uJd+C7frNGgZP7M34MvnwIKUN3mKCDGa6BHTy2XLdQ5u+7YOYvjSsX +1MkPaJ3sJlLMlVB9f/0mOnlJuk7eoRutkjq5nqeTWwSJsz3VeqOL2aZ1SxCY +a2OslKgAlaMB6NokjTCMrXNjlU6extWwwpPDrF+ZoECPcXhy67hyeCsf+PJF +J5dTBvjxFoJtoU7mrTJYi+BZf6N08r9fpgO2dS5XCepkKJJHnZx9HxYEvst+ +jH+yg9nqZE1jDmf+dgbLAXe4OvmsIi/zJ1a5d9G8b7hy6vnPU9B7U+MT0smX +2JWFwSxe7XeXqGi1WlMcmU72xczHSZ387G+lk9W1ZWsmnSxHvNFR7d13ml5V +d+xe69FipAxQ+kok6yffi7U485rD34qVopuK6LICgmQil2HO5APffH734sNY +xd+Fb5FO3sl5EtjEYU9Jge4p0Ry5M/BIAgcS8GHgUQTIGE+wc3lyXZrYd8QT ++8Zc5MkldfJVJqWNt8DqI6P3q9wTT+sH0G4EGw0w5JOL+bVoa9b6aFPOVlQc +MJlBXUAkFeKooCDg0w0UAyAOH2ZnnGyY79FauTc9OYmY+lrZzwEmiwS/or/s +gSJBZ+o6RYKlDncOU/rclWBGrmoWM4cL5c1oKj0nOHZ1z8nM+F9azwkFR+pp +b0Z+ujcDIqYSICEQvvRQdmL4N7HnwDxvu05obeG6mlWhYJEtFLyNLUKjnC0z +WeWmcx5wFkeyDX+ujFYasmUO+TNky+ySNI5SzmbWyx6DzmQC43eONI3432Ws +k48x2rhim+Vt1d5QDmVPKbPvYl11AhKZlr5zXIeyJV9w90g8epc39HwXCCr6 +0Nsmk1IGAsHj6tAHvwO/rW8Sj+VQDTaMZp8Vhy5lv7HP3yarI2CiZkoUjAbn +J7wXMrK5h0Q7S41T+V+GF9fJ4cWVKqey0Gl2Wt+T0yEU/7Y2ZRrdiq4MVJqd +pJbrOVLm9gAFo79q7uuGniajlguVWo5/H/3i38a18e9iaKyWR/A34xQOVv2d +iTEvsEUOriY7YsVcXEZcT5AcZqsMHDnIW0NXCciIZ6pz1vp8nL9QxPrv4ZC1 +9hVzF7rsNY3nb6P+0Sf1rkM/3Efn3I4OZpAdkL12SRkL8dInfgzCCKzlYodt +5Meonu/5MUg6PMdwLb/DRNhySGPm1r97L/7WoTGbeQ2KuT8r5sEplIyAPOil +r4NT9J4jw+x+xto0/3DFfLcKotzh0ACahVr+UlvNQgTmWvj8gCfXL1bM17Ji +HlpEMP27eCRPZPrsdHZvOnQMHsECMjI8OU8xu/XZFpBfEefyfoe+XFn1lWRj +SAptn0DGABgX4gXOjr6JFfMn9YbFT3d8/MF3T6yafcUcpjHb/pKlxsEcyvxt +rawyf1CnzbFspGScU4wfiZqSAXhPoWTI8U8WG/PUccUhMQuc6HAVc0qdj1+l +HVbMHhBM/yyesIrZQMEEb6DhQ3+oYh7ONVA3OTw5UsxXM4Som9NX0g2xnS3M +l6NUZ9MYPpXa/YqEJUfO/Wkl9Palq+RZlh1MxojWl+FbB8Wchx+HqjJ7t1uZ +bX0YRMb4YQXV7fwCz3dBTWLJPUhZvx/G8Y7jBlbMl3uKGRun4old5zrLNap6 +d/RezmR84vC04QZYgNvll/HODbdtOIi8WSMfP+5gHwc3v+eYmvEkT2S4P8PH +2zRUzdS/KqpZ+k2saj5oJrB8oDmqebTqWpVn5uUAr0BaM6X2tWruskQczZY7 +R5O3gDNIO8PcOb0HY29GruHOPeVx5x5VjuYHDHfO7zmprUawHDMEykJZwGsC +DYHw/HRDYLjrxNkyozWoBoOLzmSb3Mm4ZfZdzXrLLH0nMxj96eYB/xrMA4Y6 +T8SfsUn5M4IMOlHOXMktNI3LGh+ZF2NrtXUpWT72XSiHsuu7KHV9F4E8n/Zd +VGiv7LX1GVYc0y+EFZfYK3veC2HFUbjkoOO98PfKvmXO6bcWKq7uK3YIGF+4 +3gt2KtPFxgucLBXvRRm39vlfop5TWVXaN9lke4NyjZQJ0ZWnm4h28hhIzX3k +axpomvsgBeCoZbPcEP8FLTYGxb+HG+Pfwh0sUSazH2kO5vvo0P0qQ7qMB6OE +thcwi9G1vOFvOIch54fpEWxX5az1Q5K1bkhZ68HQW8LuZU8xf4aKeQj64fC6 +V+OuWHaM4yXdA7icexsXc/MzEJm5+Y9JGa+dS/IBrZyqK1s6TEQyYOsf3z7Q +uolsuW9RKtx9ucr8aQez1/in7Zp40/DkgdPoOjmpmKHV9XKn1dVVzB1+S8Ws +lx2PKcX8QEAxK+BRfibFrC10mRRzvfj5acUM5Nm/oVq4ie/QI/k53su3aMCA +PsJ5J/iQS9IxaN+GdAw4hTh0DKWYeSnxntRJsWL+WClmv6/E0pch65eFivkH +UczMksP9cqyYD/39nOjAkFgx1x+GWMS9ORNixZziYDY0Zj/zt9SjMXuZv8oq +85ejKBk1LSXDZP7quZQMq5g/NIpZzixudwmdWmREh0jM+FPoE1DM14UVcxqc +3IDAtEVJK+bHFIToD1HMcw5TMVNPfEtlf2uf+HrszAfxi5y+EnLwV4+uKcpC +4P31LEm0c3kGn/vmcohkCRdFvMpnv41l+NZBMUMCu5j3bw55WTX7GTLGy9a5 +DDmTn+fHivkxyfrFE3tsQ9pxXN80+qZvc6WYO0ZftLwsnti9kGv0KUzs828m +B3P1sUwCnYHPG2xGsmeGvQYY8OFjb0PVXdEbZxVghwMoDVAYkv+DZzmXMyWk +mg/wrtn6MsaqXfOIa+0UFh4+njXUBKZ4qc2P+DnAbioHiKp5vtqJLfYczS+V +RC1fKSLVbK7H29lh6XKurMNStwM+63Ln+C99E1PDPk0hDG3PSe3dAYJG/o28 +b3a9GURslieoGwKT+2bbdaK6tYvOw9OHUDRk3zwY7XLp++Y0/pxEtDPlAcWf +4ZM0LINOnM1/YuV8lENvJprGMdGlR6CYTz/uuOit6htJKes+62qhPuti48Bw +ukfEgdFAE5Xfx8Y07cCAr8IFLT528nwwfoN7ZaBfxGNYn/tCLmXcK18Z6CDR +e2VhxWnkZzlk5av9TJ9ebIB6ga5iIRawbY5+Qx8FWvvesdRzp+Pa5voartUk +mg2Z6cpbdK5Px7MFWSAShmrXDAUDqDO7XbXcCdXyFSpk0kbtluvi7YUqpU6N +biw+Cb8Yx7KL/6ESet9Pcb7vZZQhdCfBJAmnSMArJPtlmMOYt4atBdOJfloY +z9/Hef7ey1nrW5tE3w5qSjzPoGKG+cuUjHNvYxrzWDxtv5c9lRzM8Ry2Dual +OIup4GENQ7eYlFEtL37nBbh08x3MS9MczG21g/kbC9S6PC3zRxsOLRESXLkU +xdyTv9DwzZleqsNVzAWxYt595Ip5nlLMD3tLjokBxTwsVszCz9KKuZ+BHNl8 +0yUWcBRUzBdgxJTscmegWrguVss3F9ECY1SxJTBPZffmLFbM85ippbN+r/AZ +BBTzBnyuRzEdg855JeJclk5snfUTxQwYz02nsHP5tBTncpZLX9bOZQhMzRXF +fCs+XePMUA7md7Me5I+/RwOZP0tj1v0lu7zGP+rIpgAKUTKkGt7N/PlcucUe +iVm4ck8EuXJKMatS1XHyU/DA5HdUQDFfGzLFTdAy5n9FMce/iW06lCWKmQjM +tVm6iEtJ8+TacbyalnzU30MnPmn4k+6eOgy8rxpLkjMR43kjS5FR3Akv7X7G +uVyqu0rIuQywou2kmPPj/yzhD8N3C7gLGxwZ8ccgfAhCvsRxLr9AJ5Jf4Dwy +76zoEHjoptemiQ07jtuaKMXcgvJ+wM5HEqjmGt0UfQhXwRqjmQT6AH4Ukofu +aXzOu3HP/Do+300523CnAVdA4Myhk1nl/xbwVAYqwEOgmjt8bblFWjWrLIlW +zeKPG6zpnoqeYfZk2gTk5QCN7/IZn9ZsHc0Xxn/tm8Z/7S2tWdoBN/BubI2X +4rdm+WQ74Gznr366S2OEIWg0TBA0fGKzuDTchkBTwFoY6jpR3dpF52Aku09R +Do5pcjWfxK5mghndzh947r7ZOjVmKqeGzgM+E8gDilMDnc17LEnDMuiOMs7m +3Z5Tg2gaR0eXNjkyxbyp+luxUt7l9I5Qn3WYEWeJytqB4eb5nk3pH8mc5yMF +IkpZs+Icv3KAFTdSseISmT5p7FMta2KYCxEwUC2PV+P5Putq6g6/GZ8Xp10Y +hq7spgHwSp7wLOfHo9rSziHXB6O6ifPVSbcaU8r6lqeWt9tcX8Md8puhkmLp +uW7o9FwLYbm3WWwYtcyQ/F5MwoDfQD9o8Cs+Dd1JUBAxltswZ/DZeyEvMsAo +B+92I5+34W1Cox847HG/vOUku1+GGcyuuEOxfPgpnr/oYZ6mstY3x4p5YLPo +QC/oLWnpKeYr4/l7DTX+ISVjGJKKPqiiM38PKrbck8yWW87wLd2VvQ23GG8a +tlxpgC23Fxdt8LUHRPDZrT93vczgrVcIWnif0tyKivmasGLWd8FrFd37alTM +38WK+aAqafidFPOGw1bMYvxJU8xglUcjXb5LnHVbzVwigGn5K9QEZtVZggGT +HIxlQ7jkOo5ki2K2PLnjTUHrE+zlFMX8vKFj0IV6LZ/0RDHv5jOek/VjOsbe +YNaPFDOEor5aqzzMK89Ujows68gQD/OiahRvnXMuKeYGt2LFOzozsu+JFbN1 +ZkhPNilm7WV+SjkzlqFNP5T526Y7sjGyvdvJ/MESRFMyVtS30ewlTMlwuHKi +mDn2qhXzZB0v0Vw51V2SAJNnUsz6J5FJMataqP++Yp6qwlhaMQOM6BbVEz8w +oZgFdq8b/iyJvA7fWarEUuSMaHDxKXj2BgkyhgFFU0tdD/MzpX/xWXIoJXaS +Yi6IFXMpV7+7HmbuKgHFvIbb/V7Moo++JVWjX+KPvZ/nnh0dmnU+TuygYr6C +FXPbDkQCbd6DOlodrtHI+EMRfHSTOHnyOHvoZM+8Ep8wPF9g5uOEPqeYfHP1 +aJ+BnrnmHzJr7jOeynL5+5YaAX1fs2oFTKhm5hUJE/8q7tN2d83JZkBSzSoH +6Hmb2/id2obWHOjr3aA7tRWURbzN7NLI3Uq7siZpLo28ZM+JhhaFXRp+Q+Dl +XkMgmIVC7DndrS2FrEJt/htRm7lmytk3cxLqPp0HZJ+nkwfMQG4WpwZ6nPf8 +GRvSNuz5s2LQHeXQm4uQpkHK+ZIjVMwbam6N1lUphxF3AXmVyyUqew4M2it/ +ovpH3GSf7JV9B8b9Aaoy7pW7BzpIHFacjV9rF8ZQL903WJOV70q6MPT5r6ff +QwIxE93ap/2kFeq4jsc0N2o2fJOoM+RZXu/RlV30Z1MPZNR4e/gUWI+rperL +mE4QlnV7n6uWhRtHC42c6NpYotxQfDIaRkfzV+F0BnbN53P3P+J/q7gAAhiJ ++dwbBXIDmZ7biLoM0gLO1rCAoxtfTvTz09WiQ/H8/emRC6IfIWt9d30kMAOd +6NsBsWLuyYq5ra+Y+xnF/PF5w5CS8UHVMWgGhZ5skBrvVJ7FDjnfy/waseWy +NhFbrsoulBHiZX6FZ/Jyz8u8gJ1z8LWH0ad2lsasFXMi/WewAAeNj96JOvmK +eQwr5gmuYu6RUTF7La78hRZWzNt+C8XcUClmC9S/y0ZPAoq5baIHOJNibqgU +cw0EGQEZYGCsFuAibXhyrJgNT86jYzzNH3XLWT2s5I+7N3khscV0Yv+JKqSk +eBVTf8fa1B/2lbgNfxBiFUeG9TDbPuwftGIGRwaYQEExA2LgCVHMt+DTJcU8 +IVbM2pnhe5mpv8Sm/xY7NOZg+i9Lpf9MR3aB05H9CpuRTPrPoWRYrtzfDVfu +CxvMNtVR3xCJ2VPM40KKGX4OHCtBzKKujL8t8BGZppjFrPRQQDHPz6SYXQDY +4SlmZYjblqyFchXzSGMqlXIJITBLhESgRKFObPodcOoP7yw5sQQ5PRoS/wZu +4vc/lgH4Ql82HuZS1e63h8bzFlq6gWLGdj+u5YGPwg92nWg9zCr1J/RlDK7C +832KFfMjrJgnxop5dEAxd9SKuTuSmD9rAFyj66OPzweu0Z34oQgll0QCnYV8 +OXjWBbhnfom5+RswcQLBVfTOnUf5bGyYip8pPFGkZrT8hCezIn52/tZhF/kO +jdvVR1varvlqbaH3mHOhSnaHg+XxiiytGbzNeVFD8GGuDdCaNfwb92XgxVzK +tGbhznntgNuVUW4Xl6+qnpM6+AzdnhMxzMlzbOO5NJINgarrpNBnz0kqUPbN +1XnJQRSNa9HffJKiaNh9s/Sd3J+ybw41BWqnxosM1heSxht7wBv65wSDznqc +STl3zT18xXzaccdH687ejv0NlhEno5RPdrVsHZTvwEClrPbKMF6fRqVMyb75 +womDpr7Wyb2ytPU5HAxu6yuv21pYcXfxXlkWF7ddZxv7bk4hK6NnWVwYPJKv +CrgwegoLQ3cTgwsjxbPcddF76Z7llfKFGY/oWLk00mTzDTbhl6tGdO5b2s0U +iprQiNblxPU0YdkBGOmYyRX2BFjoq+VzGVz0N6xTGwanPw5jIxejhM7dUDa8 +nE1ywI9Driek/XhzAVIDnHFmawE5EnTF0cYCF25PVqfU9UO1bIYEeHI3xoq5 +f6yYe8SKuXMGxVznRp6/sWKuNjraW2UCbi0w/ceKmWjMi/je9yJvL6j1jxTz +TlTM1PpnvcwkHdyebJ3+m8m97FN17CmkmPvZ1lZhgmqunHjoNRcUk6Yqf9JT +FwEnFPMHScX8vL1ptORl2u+smOvwQkMr5qZOq9kAGzfJd1v+LpWeBhzDFyqQ +EROYUTFnoWIeFKuFG3jsjuCRKwTmaTxqiZNxLJ5AnmHMITzVlzzFrDuxwfBW +AkhaxclIa/j7NENfyUGHvpyl6MukmPGsDYr58fNIMTe8Bcsq0cuMNGbtzNA0 +5lgxZ+n0n/Yyp6f/tmB/ierIrrIbxz3yMs4pVly5PWYRIoakxUoxw4nl71wT +73DllGK+XynmCb5i7u2alPyfw9DDVcyTMyjmuZkUc9lvq5i3a6eSGsfc8leb +XUpWMetTnyCKfMWsORluw9+A+DdwXfHJpq9kHC/pprF/X/qwAVv0AruQXmf5 +sJVuKaCY8QpYcgzTl48P05dX07kEEyfxE/4JDEXCkwPF/EAGxdyJ+1mBnc+E +o8+EcHQBE47iiQ1nFbgKQk3PHuTLyZ75BZ7UaykFmLM9Wled3BnwZAGDCE8V +FMgiTprQPoOpn7GywF0G7N60X+7KJO0zoZqHp7RJcdFkkjn3qZvFNgmm9yw9 +dvkeO5FfLcJkSe7qPJX1t1dmIWNZWjNz594SpOHT5tKcu023Az7ISSbK/cMO +rb5xaYz0ek64Vzs/3Kttx7S4NGRUV4Q9Z/fNvYuqxs+2smoJJHi4+JtNvzaP +cafvJJAMFKi+7JvFqZHoPEGnBinnsFPjqOji3KwjUsxrz9+RcGAY7BCc6+K3 +CfwAcWA8Lw4M7rRenOLASPSPxCpjVtt9JjIy3W/rgztKgIOhu62Rbqupcf3t +u3fSfXzqc4D4wy1ZOenCOOipZYsvktY+lxznuzAClVL/KFVcRq+LZJ24mCjh +11gSfg6XUWFwQS0HFhpCj5MS1jq773D6SHy13CnfquVLUS23NaMZTaMMxh8Q +fxkOKT4JZclINspN5fc7p5S+9JZxCPt1NsjBFxxsLvYoVhFuLSRHsgaIcmei +ufPHZVWiQ7BsW8AZEnDE3ccNq5ghgdR1PHu7t4gVcytq+kO6nK+YbyDFjPN3 +lLnzkWJ+BM2fVjE/x7LCpzHvwMZK05N9QZntydbpvwtpkwH3P+kvgZZWcwNU +y7UELyOTYuZ3+V9VzGt+I8WsSFoVVswXK+/mRQ6B+UocvediuxmMXegDHhSr +BVhUDGMI+BgGgU8yrIC/MieDFPMiVszCyVjF57t1rJh16q9UFLOk/piT8UFq +6u80Ol+zYk54mL12P/Aw//J0NcJzzY4V82BQzDejdPgAvMxAY87KQGPOCnmZ +w+m/7aHGvyzdkV0U5GW8wLyMpY3lY1EIc75iZsIc/wRk4TGxi+Vl6O6Siijm +UNtf3xDc0w/EZlDMqebSiijmjeUp5liubH+UT+NSpmp7sWuzYjbQ+90ugVkr +5q6mVLWDc/KzirkG/gYgUnJ9/Bu4ld//eI6VzODbylyWFEs0fZllwza6pYBi +LmHfXCj1B1Bx3VcCuJf/xBP7p2flPMIE5qm1sWWKFLNMbeotwandrr2a2pQ+ ++aTeYJPX/rD6SDWxZzATdB5ntWXPvBqf8VvZW7A3Gz72Vos7gz3NS5vYJCBR +52yHNqqLLvF0liepuk0SacAbPe6nv8cYr6ey6vTVcMMnkrU51AxIH2xtTSIQ +pnI+8WTfsJ0LIVqzu0Pji7PxZ8qpQ/ZoM9W5w7o04DlSESu5NOoLSyM/xNLQ +qcArUTWIS0NSgZdKKrAgTKG7UvbNhdbfbFsCiadxI1dPDVd9J+N5N+eQ6AJO +jYWlbjIwjanxOkMVAROzyVPOnZsemWJ+vdauhFK2DIx3aPFQ3+0eCbGV0YHB +oWpnr9zG8yubZJ/eK39r+MrOXtnnYKjTnkRIbteNfWr8prowNAtjnHJhTAyQ +49gk1924MAId10/TSJaEX8KzzF0kjVEt8+9i/eaA51/zMLzRrORKaJlhsV7D +Amr5mgxqWfqu6+NXIUVLTo/f8yn4lu9UFLmZ/F6fZAnyIhtCN8T/tsXvEW4d +4Iz7p8zg7SdiRAr3y2tPI04Rp67/s7RqdOhppsqBI+6BOtEPEzn1N6wJkuVw +W9EtVsydLqJtRXmKuboo5vtt41/lx9H4KbwMSf/t0Ok/VMy70buf6C9paNN/ +T6r032Mq/WcUM0uFu6+wc3m0lAIPUG2tIffc76yYM7oy1iZCplYx81hGxSxI +FlHM/gXaV8w3qQWG14udLy1/7iXaKmZRCqyYMYgN4/aUaHD8ITdU1UqNZR/n +ZDYMacWMnAxOQAkn4zUOWK/nk53txCbFbDqxGXQf7is5BZ/1Pkz9nZ5I/cEZ +xaHKgWIGkO2iWDHDWRs/FM+LvhHFHD9dUszsZWbK3HvoZX7QcJnB7LnH4TLr +9N8LJv3nNP5liZfZV8yWl2EUMy9GLGHOBl8XqMLL2eZUSGdCIczdr34GKE/k +3OLVxY+ogGJOFmBWUDE//hso5g2+YtYAg3TF3PCwFbM4lLoxEJcUc7eC1uZ3 +QOlX6cQ+A1kxN8S/AfhiHMnjeRIWQnDqj0/XS1Xqby0bO7fTlyEoZukrwd0G +ZLOdvhLiZMBF0ExsUMyLqlkW88MXRD8CPT+kmLuTl25/+3bRl627EGGumeul +++g8Tp9UHRu9n3MvJwAf5h0H7ZkLkKJPrWrYAJi9DZ+vcWfUorPI840oCQgf +dzZtIjsNuAIeIN8cP8txmVRzgJYv/Sb04aY8m5OSfrkrUifzuw5Hw1jpV9IT +NE1l61T+n2lZuaZT+0VuYpBObdfbTKo51A6YdGmkszQ0u1ntm1UqsKufCjQN +gWldJ66/WfObxd88DPfN9KTHluh98/GGRJfWFPiM59R4wXNqGBodc2/F49zp +CBTzqccfH62uk2cWDeJV1gwM2ivDCH0Pv+ZgrwyRkEXNbFNfucS4dinEuM6B +vXKo29rbK4tfOchYvsXdKxsWhqjl0SkuDDz1aRdG5o5rPY6NZ3k5eZbt7cW2 +kjSIfw8N/KbMDW5TZmK5t1VLlZkOa5n8/WPpFJ53R7BKSoyjLr6oE1aquWr5 +/KhPYTV8ywP5DY/ALz4ax/CVN5sNcnDu+wcu645Bn724MUrKSGpQ2o9vfJtU +X9RK5uBDhmRxtegQMDKYg/+fKXXRw/w9pP5uaYJkuW96w30vnrugmNuo+x5s +K8pVzEyYiyVGWdY8xnEJL+MVPluvo3tfLCXW59CpGu4smP6DE3X9ZJOJxJ+E +l/EQA2kh9nRv54OWMNddVZz1cwlzt+ptxq2uYpa2v99KMV90uIrZDZpaxfx3 +7xlODyjmUayYhycUs+vbvIo/3qxKsIq5lSFq9RIWc9E5PGohgH0ynj4kQgK1 +7OPYxzmFx+ojPFKFk/Eso1z+oTkZJvXndmJrxex3YoNiBkDiZ6yYv+TUH3GY +CVyrPczfK6occpiZKvfLk9UJz/Xo+aSYG92ElQ7Gy5wzAc2dUB78nkr/oZc5 +azYbjeZ5Xub09N/WrA0m/Qc4pPU5eZaXYTqyxXhni6ckxO0zmedycDvIZJYz +YYpiHqWZzIPUB+SN6YpZF2BeWZ5ifjSgmJ/+LRXzkqBitr8JXzEDHPd2RzFD +m4/LjenlkeXacz98c17Y1aVlRiF8NVLyFbBFw9ksN4HfvijmeayYnzPNJTSm +N3Mou5AUM5xSwKoP09pJ/QknYw1ltI1ifk5dBR3FXN9VzND0B166i+PJ3SFW +zG06I2Hui2Y9Of0nXmbVPQVtJlncPcWEI7tnfhE/ACUFCAFWYWfIpIbdxjJM +Ar6PSUBUzXAJbBOrZk6bTOlo4YfOFTDTrvnWpGruzapZpnOPSZLLdpnNRi0E +Ptwo3VQaK4VipmYVRM1QNRM1y3A01m1U3uYkt1meY1NdPGnaAR9W2HxNoLsb +2wHpIq1ZGgQDtfvmwWrf3DdqX9Cb2c162XGJ69Io0KlA2jf3Mvvm83mU10BW +LYxzu28+0d03l0Bqika7NJ9MUU4Nf988v+zYAFPjmCRTAwLfjOGHk0vHptlH +pJhX1st3aHFwmluBSvmf1oHR2HVgaLYyJvt4lM4RYpxxYMheWXr7vnH8ypqD +AXtlDfEcc6XtGHa6+679LpjuCzKWYa88wmskGUuKJJ0cx8pEe5ZVx/WlC99H +brl4ljMl/IhdvsvwMKxafsPyMGKZ0pRlCnX4WR6Gz1rWZ796qpmkvunxu8G8 +dRzJBVcn1TIWq8HXYC6nXM9n0GflaHD8hoeyu2gcv9UZMoq5CmI5S483ytQY +xrgU3/iYJkf+Zc6QvMZEuX8QB//QM9WjQ/PPwtP0Tw/Win68vx55mEfEcxdS +fwOZxXx5PHc7to4Vc4cMivmWWDHfjrJjb5XxuKAjxTyTOrKNYl7CRcLS/fcm +d/9tjzZk0+LtdeZlIG5L8zI4lS0bDEn/IS+jw9ccezqYmTA3MDmTb7g10Ps3 +JsnR7znVEkH/SMXsgAyTitmtlMysmNtUWDE3U8DPWlg1CbZ6UAv9AVdUTB90 +w9kwNJ4V81Qep7N4lBpOBitmIcsJJyPRib2HUPepHubtJ7FiVqm/deJhJsV8 +cOWZysOcjR5mfO7KkfHLwhqI54LTyoFBoJiHRh/WuIudGYrLjIrZ9zInuczF +XvpvN6f/dkj6L0ul/wAgmiPdf4WmI3vl+ZaXEVLMQcJca0uY00zme1VVvN9i +4ijmgeUoZpYp/dTYDipmWfA9HFDM5ZQYy4Kv0eu/h2Iew3USMJ5vNZACv++v +U0Ixt1OKuZFSzPDVSBURQxldNNp0/VHP5eMsH6jrj+TCa4zIZ04G8GBcxUxP +HEKtrmJmTsZLbC4qRzH/+zZqMMH0CRCOLoHJ3TZWzJ2QMIe8jNzetq31AiHp +x1O7qkztadQ9lTVH7ZmX847jdUoBZm9FdwbRjegiSKkT8jSb3Qb652ziBD/q +uEPbeOcS+ezvKA3oUfO1au43Sk9ovWv+ym1oeJQu0pfN/cghaCETYIlWzcya +fbWAUk5r8tweM9MRSIpBe5ubKm6zkwjU6HzDndUuDbcnEJ6oZmkke7UltN0H +e7VtV6BNBdIeTvbNmbq1/X3zabgIoX0zYfbNvjke7YCCuZuTgWkkOkkGLuCR +v6i0HKYGK+f2aYo50Y39t1gnv9KwEMtRAVwP2zTobHDyfJ7vAvN8fJyTbfKc +ivSPqLY+Z5sc6Lb2M313qkzf7ZqsfGPYezEo0ENytSbcGl7cAduCOW1/khfn +O5UXhpzKmq5M5Jjm+OKFgmGb+xo5tjj5TnzBMuMcJ78QlqkR0xnCeTSEbRMm +iRJHI+cHNHKBaGSKXgPitk9hDaxQG4gamUjLYAmV6qhZgvXklwfDdw1fNbZz +3rSUkQKwivtwp6bfy1aZWZ6xcADRcAi6SoCM4ZGJsC/qRqZjXBlP28taxdO2 +TTxtO1LWWnRyI2HKhXUy4AWQxBwLC8hdS0e2cOVkVwHFDrhyy4Z1m4C26MoH +azahZDybQskQrhzq5E7l6ORrw90luqjB6Zu6+6CbO50mU5h0siHn/846eevh +6OQRjk62oHyv5S/fHvVcx2ZLpZPrkWvT6GQYqJVQJ9/MEetROETpqT4Q0MlC +x3iet2yv8nrBZv3cTuxMOjnUV7JfZ/1W2ayf0Jcd5/IixgvEQgNa/gAKQzr5 +RoSIg/n+A01jzvYdzA8rnSwOZtDJur9kBWMTvcY/nfnL2RWtq7o72JFNXLl3 +UrhyTMnQOrkNrUEksg3j3dHJbEuSn8Io1V3iV/lk6sdO6uRvTH1Udx3FLhfy +ReuM318n3210ch2lk5t5OrmDY5HTOtknMJ8bj2n4DfwND39DmacIY/pefvuG +jlEmDX/HIBh/dZnQMY5Gl34xETJMw1++NPy5PDk046+sXL5Onsg6ebg3uS+F +m2CbaF9bmdzdKfPn8PPJj7G32hiT+6OpPVvx8ylzAp9+lP3bhGTm9UDMqAFt +U5YzJ3dAaQKcx+lsYc3RDfAb3NChVg6yjL6r8IaZurSTrYA9HK3sbtgSz3F5 +mdHK0jAlWlmaARuuZ+WwPqSVidac6+QACXeYqzq1DQZ0x2S1abPtgJm9GToL +mGwIhC1zVy8LeEVhcstMq486bC2CLXN1djWfwRQNoTbLlvkEtNuNUltmnz8X +ygM+yaM/jaQhzuZ2zUgnH043NijmlxoVke8iVsovICNOoEK8TVa+i0Ser2U8 +Plt9jrlUp3+krVCVv7b9IxrTKVRlv4PkKq+DxGyTubFviPVeyDbZrCRk1IZ6 +SORbUKtlFbOmd66c+6lO5X9FXZgWo5swE7k+bu5zKBiKGON6kcTB/7T5NrSE +5YeixjumxW+dKtWk67qOc+S7iW1xIE0GWBKG51ZGtVxg1bKAivph7PoU/K67 +Ta0r4E0+UkL5PnEsr+DA1DoewXl8wLY+OLrqwfrtC00mMqmRHCpuQB/GuTh3 +/yP7iVGwn+Cc9TXMk7v0omh/h7bRl607RV+2ZDpRbmbF/H5QMT9p9hTClXM6 +srOJKweWzsPpyJ4pHdlaMfNK7VcpZk3NDynmR5nQ4uNmf0/F/LinmNWRQylm +AX/rlj+rmCVA0sNTCb5ibsSK+XxeQ8BYPRUV83WGJ3ciHuwmxP8MT65E8eT4 +OLeEjyEv8mphTZnN+m1lFZGP4PCjbdYv0YlNihlKHT7fLM5let5fxR+EjnOZ +fRg/vJCjWHJVqA9bfBiIUaxlFTPYOMHBDH6MKsyWw9a/yVxcOYMVs6Yxl5/5 +8xv/wHZkFLN0ZCvFDIsToWTgnwD+WHyGLXcLOJjikphdxSwHlnuOUDFfH1DM +fRzFfJDB+CRRuk/3yyQ+sUfw300xKzxBQjHfm1DMDaQXe7fuxe6rFPPljPek +3Gt3pZivks6SQgB0geXzRGOVGxtQzAtZMS9nxSzLja04ro8GNAYoZvwwLHQV +82ebbdYEn7RWzGAneiZFMetdx7Xx5L7qwujry1pGX3WOFXO7DsncSQN7E/xI +ktrZ49lFNx1tR2Q50tvll03jFFTxbMzeaUig4CR9hdn54BolJzNPa3MH5KxJ +e36msmEOqGYzqa/zVPNtIV9GBtccTmqPPMt3aXySi99RzLkSp09bqLOgmsXB +2dhxcK7KTGve4tKabQ5QtQPumuhx5zxvxm7rzSDVrLwZMMoLLHuuC2cBL3Ua +Al32HJnxASoe2jIDReNU2jIXn4TYUHI1n0AUDbNltnnAKZIHLE0hN/OWOc2f +0bbZ4Xsx/nb8CdE/mhQborKf5wsSldVe2fSP8MIhfa98MLNLOa2DREaryvTd +Gsj0Bb0Xo9RyIkHAcHlxPRyn8idJuvJTyqm8RL3zQBeJoWA4PdchKrlPiRHC +slXL5D0CruK9XjlE0n9hD3yh3bJURwkIn9QytPgNLDo9fp82QCJ2OMn3LeQ3 +9wK/s7VlFJTaIUQMJC7/JZYXxJCDjhJKjZxm2lW/g7kLh+mlLB2gK+qxc8mH +ASy58Q3IuQwNf5j149RIV62Y5aJ39a9QzEJiXkUpElTMW4OKGS98TMlYrGdw +BsV8b1fLlUtVzEIw4rY/uYnIPeT3Ucy6G/tXKGa/VNI6NQlaNMJEr9MUMxIB +8nsg3lO3/HVjxaw7S0gx10QCcz9cQlQyncDIk+NgyCS2ts3kprO5rByeKROe +3DHMk6ND3DrO+m1jxVzAijmTczmZ9TuVs35nUF/JKt1Xko3VaJol9zOz5PDp +QxX8zFgxD7w4+rTxDbFiHhF9WP2uWDGPRracVcxhGnPZYWT+pPHPZP5ydkbr +q7qZv1Uq81euYnYyf/sMWpEoGVw11VWRmH3F3K98xRzqxxaQkbWNZlDMGon7 +RyrmnRkUM99cylfMbZViboiZV1jI9S3MprwrA7lGcPGDnAINT67MjutX+L1v +YDrGbqqUchTz7uNcnhzuOSqimM+PfpSUNijmO8RFFyvmq4kr91WX1kzJkMxf +r+gzcTCjH+NmImWAFQmePeb+pnJVz2zlYpY980qT/duMZOZd0doa+WjCX2mc +zDyx5SrY4mObN2HVjB93nb9xKaC9fNWcedesnXNXjfNr2/W0VtdqpSgMqZmZ +c+0lof1iMfZpi7HedDV49Axiarl9DaYL2KM122XHw0pVTHG4c+TsHMX7Zuk5 +odOI1O343gxzMkx4MyQL2JHBR743o5G3bz7bUJvF1TwgHvWDiyshPpT4cydQ +3wnvm23fSTIP6JCbZd9c+pcESaN1s5zDVsynxIp5edMSVsq0V17MeT7Im4Jt +LZjna/V5NNvfK0Ovdcpe+V6fqiy2NgmDpGb6DjqNfeWSlQM9JL281j7wGvVk +F4bhxT2sqTDqjrKAvwj9LhJUyyUOgSAXgyOKghHE3FpmXG7CdyQZ17BarqfU +csOAWk5Sln21rEZw0dmxBMnBW8j1RQAoqoQU8bv55vFgia2MetY4lmn8bmQ3 +Rn7ZUVEJlwhjxm+X3VTgyfoNj3xvOJ5EvjeOjPvqRT+MJfoyNvwNkqxfPHMv +vijahznrzrFivsx44D5r1B+bVrG3xOT90hTz3Aoq5t0oIVK5cs3cW58EnmZI +25+vmAPdJcOF+cndJdDRXq5ivl8r5pSOqd9IMW/yFXP8Ibd1QUAxT/NMQkQD +qLvbVczNlUJwW/66mxqpy0zGye8sYQIzBkSykScHF+kbNE8ufq73sGKeHlDM +i3i58ALb11ZxVHq9yfodzXSMozCuWl7WL72vxM36mT5srZgBCLOwJkJhBDxu +FPNZd7CDeRT1ZGfrnuypysFsM39liczfc2zOf5HtnuHGP1DMG6DUlcH7uiOb +uHJvWxKzz5VLUDIyK+YEiVktQ4xiVj+H30Qxz9aKea8x0fmKWYJWFMfOK4cp +l6aYH/cU8+RyFXPL+PfQ2ihmcSj5itnvLDkbfwP9Y8U8hINRd7Jivp/JMNBu +OZeRAUvUgkNGdlAxM4F5h0dgZsV8sBzFjBRm8NONJcWMbVPx9D7Qm9lGML3b +AQ00nt4tYHr3pP6Shv3RmfGJcWZw61SVCRhzpZOK3TMXm+vgy5w7WWfIzOur +5kVrhQbKnDnjZOZOE801QmoGq2b0h3a1Hdr4TJXJXlSzZhoFr4LaoZHWpS3q +Yo5mzrmk5vbs72wtcVSPrdVA7+A28MVaq2bJAXJ3Q+5bWjUzrXmbdTT73Dk4 +FYpLI63nJNWlke+7NPx9c5tg1wktRmoxOrQm7puF2gzPfWAxnRWH4qLkBDQj +3cX75vHevnma4s+FnBrP8O5PnBoXHaFiXtasjEcjky88ovIC48Bw98rS1pfY +K3ekvTLu3GSvfEnArxxgxUEHifC3wK883Nsr+2TlIX6/teohSbgwHF6cVcvY +nPao58KYrzp7pHN46dtRx+etS1/fUHJNzJooGEQgByoMyZFcxYwTl77t7ZH7 +yWz1nqeZ8VtP7Zbr4vgdxibRGzw5op0YYVMcftUVnROP36pYGTW4iEyhdzIN +Q8bvo+JdLrXBEamL2mpHr+V45jFDDvfLp0T73yTqMlGJAjP3cSZjTOUtBTvh +vhsqd7145l7mbilw5kLOGlIjjQZEn9QbEivmoRVSzKUoLcDwCafrF5Vi3sCK +eSedqmsWsGIuTSjmRc2IxAxJkjmSvzaszwME1Or6bbi7hE/Rf5hiTjT9ZVbM +G7VilhPfs0oxzw0o5slOeKT2bquYqWByaLLlr+BqExYREL6MVIISaQIz+zeL +qqNxqD97ODWBeSwHQSazYn6YP/T+zsrhWVbMDk+Od26WjvEn4smpvhLbiX2C +R8c4Jfpik+th/nq1eJjPdDzMPyoP8y/PWpYc1qQ9fi4r5i7Rp02ux+frODOE +LefQmB/yaMzpmb88P/NXeYPbkV2FM3+eYn6F66mIK/euiXkvQsVMJxafK+cq +Zmr7EyA5nhIVidkBxvAHpNP292sVs1Mg8WFYMSvjKJroWJJk7i3JpJhnJUY2 +Ljh2ycgezop5KCvmQQnFfHGKYsb6tKJaeLru5ynmu7yRLYrZJTDTe9eKucQq +5nd/rWKWfQcmtXnfMThWzH2Yn98V9h36Qshe5sahC+EolfsLpbWXBhn68AHo +9LSyO0OS2kIDtfx82nNM54jq/V4boFbNUuN+2+BkLNXJAOqUtt+lHSI1z/kY +n6dcr2FyI6nZK6O8yOtvwOmd6NPW5ICXE/s48nouVGTa2Z5Lw+fOjcOPPViA +1MkLuDR2256TJLHZd2lIFpDZc+jSyLxvvtrZN58eP/uTY6Vyktk3i795BDs1 +yuPPzU5xasBPpNURKOaTY8W85MI9YQdGKNl3UayUW7u91khVNm193ybb+lQH +yTifFdfH7yBRjX1D7MnOfOHdYh1Fmqzs9JAIK7EivLigWvY8y8GEXxEl/FbZ +hB/59PXtRNSyZca5KM+njEcf37Hp6tGjdzx99e0WvK3lxrVINPj1UtgBXtwV +6qg1G+KKqsSj94x49P7NKVi15z32LvPXmHiXAUxEMeujo0KISfnZEa8n6sBq +MnZ+/2I2N/tVpcQfxJ4ePS/6aUat6D+TmCU3slH072HsYTYZ6xacHQE6xsWx +Yr48Vsy9iEzUUCnm827FJR0x5cpTzMtYMdPc3Spz11PMtHDLoJhbud0luLHA +7pIM+euAYsaok1Sb/daKecVhKObVXhD1cBVzPGIVEF+iI+UrZllCtHMUMxWt +1ubnWh2DqaCYB3HqCU50dzHEZaLw5Ljp7HE+xz3Fitnnya1lxbyF4fWgmIs8 +xUweZp36q5Se+lvt95Vk276SpTnoYf5F6Mvzz4p+nnNOdGj2udF/HqxNO+ZY +MX8USwZyZoz0FDPRmBPpv6zM6b9w499mtOxjR3aO25GtFbOT/mv8nuLKWcXs +cuXo1D2tvSIxX2xJzAnF3DepmMtr++uj6tZ6+lGraRr/WY5ifu6/rZilw8fS +Yjobxaxa/gpa2N9B0QWsmClucl0RuZLwJMjIzxlmyUHvfmlAMZuzYNnRWjFL +Z8mvVczf30k0UOTn92tOKZRLWkX7OkBuW/hG4mXum3Rm1Lwr2lsNiMyQAJyM +RiTcM2fN4fa/Z50LITxrCLSiOwMwiUjMcDlzxtOMnSYfOddB7aczqjkls317 +Cj8/oZpHK1Itq2aZ3D0CT/RySUjJFVt1BLf3lAalo3a79AzDEvBB+lKzw97m +LT6tmTu1tz2sFIdw5+5h7pz0nNyZdGngaL/ecWm0MS4NqqXqlNoQKPtm6TqB +XV4TPiu6++besVKx++aTcWGS2DcXE39uvMOfOz61KVA7NVo0q3L4ivmEE6JF +Ld8hB4ZHVDZNfdqvrJJ9YarywXQOht9t7XeQKLKydmH46T49ThM9JL5n+T7V +2ofIzn2uC8PxLNuE38VPu57ltOa+XE74wRtuxG842W5p7yW5jstI1DLTX3Y8 +qNKstFuu5+yWb01QlsNquYunlgXlSS1+18RqeVA8ejUXQ5J+D+MX2bGOd1nK +VTfyGTsfiMt8vtZpPyES7RMikeyXkSNHUC1DXn4kPG+/lb4S4Xh2lLQ1z1tx +wolirgWKeRh1YxsK8+ErZli80X2PeqRsdwmdp5eU011iQAHlKObb9VJNKebB +v7difk0U806rmDcHFPOLGRSzrmTPpJiHJRRz64Ri9jtLtGJuYnhypJiroWIe +ECvmwayYh3Oh6jheLkwpJQqtr5gX8/ltBTeerWZ4/UY+lRAn4094Lkkq5uOj +vfGH4IfKw/yZ42E+ze0ryeBh/uWZqo6HGTpL/jOjTnTg2lgx515HkiF+wujM +AC9zDnmZ3zdeZqExU38JlAiXl/7bFWz824qKeWPOrvi55yd4GVBXFeZlfGCC +r/DBaBRza62YFVdOK+ZQd8k10l2SVMxyPgz3YyvFrH8WwZLiWDGHDKMpirnB +mzupoDijYl56mIr5zoyKuaNRzJelKOb6rJhrVlgxu50lpJg3acVcior5Ha/l +r0KKWfeWyAQHGqhKoZidB5KOhAiqvczizBgQfZqY4KPVBFd7ZsPNWIpWfTih +UPPUOts8Vc26Mwxnznia33dy249rfoakUDQ83FPNI7RqviGsmoOkI+3QcLq0 +k62Alzz5L0pKyTWbmXNtVqj9nCZwsffTqOb1KhG46RU1ypeh6sjdolWH0Gp9 +7twUAusrl0Yd3NeRS6Ouw9IYildu69KgqIpUU3Vgl4bfEGhcGgWSCgztm2tj +hOXqgL8ZqM0hf7Psm+9mxKjmz6UlAy9sfmSK+elW7yaIyjrZNzvFgUFtfeJX +Pmj2yqat73KF4Qx1kChq3O3+XtkjKwd7SIIsjO9cctzkADlOqWVAdl5m2C88 +XnXCb5lK+L1ICT+ijOuEX4CHkVDL4sm3SVa3bJjVMruK6nkpv7BavoY7STy1 +XNgBbx/EdWFMV9F5hrQM4PsbFBfjHl7SPVTyV+NdXsRS42Xjxvgzhq0RV6uI +y05uZJPdLyOSFsABsl+O5+0vkvibDSdpcWSopPVQ7YKDdtWWlPpr0zH6whCY +r4wVc99YMdOG4pNaN9l5W80q5ncrqJjpXK0Ucw1XMYN0QENnmmJuc2SK+dYM +irnPb6mYX1aK+XVRzFutYha/ZgUVszmDwIcd9WLX57B1SDHLODVXaAMFhzF6 +qaeYpbNEK+azuXzyTGw4G1IkBGZSzOM5/jGVFfMs5gXM5+JUlyx3TLRmD51K +NinFXMCKuUx5mHXDn1XM4mEmxfzVWvIwH3jtzKCHGarRUDHrPmzxMD8aK+bp +SjGfO5ydGdbL/L5DmRMvs+4vmRtLifn8tG36ryAt/Scd2dnb0bYPdfAIHvc6 +sl/i9B+AFZfx0xewqJxY5hpexpfmo3E6x1dwccLnRU1ilrhKQjFXsB/bFEYE +fhY9ylPMz7z3KxWz341dEcU8WinmW36FYj4fvfy+Yh5ZQcW89ndQzHPOJsU8 +nRXz3ayYh3F3yQD21V3eIlbMraJ9bdtF+zCJwtntpiq7bZgZt3MCcCxb+NWe +2fiZyVe3myf4dkkBZm+NNlZx3RmmO5vNReA3fQo5+rZ5alYbt3nqPtUGOJ7h +4aBW7jKq+buMu2YHhqiv3H7NzswvnNQUKBBz2fYTUy949LnXklftRutsb3Bj +Tkw1Ud7mpsFE4LzIpzU3Fm/zDuXSyJPxPjKqZVTzMDTeJXtOBiZcGh3zrUvj +Yi8VSAkrl0LX03Sd+Ptm8Ddn8b75JN43VzL7ZiHR6b6T8pKBzZpXPWzFfFKs +mJ+86D3HgWGa+sxemZw/M+O3dURtfSG/skn3fec29sleuQIsDNeFwQoDFxDf +Rj0MOe6rsAuDv/CQNTDfV8vyXn0ehm2ybKw6eRI8DMeL/4J5r5b74nVdGzfR +JE6ujo/fqYxbpZZ3u7vldqYmyitWRaeQLVaVXpI+sewYWHRqolh1sq6JKs1c +EwXnayHe/8t0RJ3kkDyxI2ql3S+jdADZoBN/M2oTIwNm7ch41gJV7gbXw7y/ +Y8toX7u2mPpD8j0QPaWzRG56sWI22ZFqo/8wxRxMX2tm0ZEoZjlBCzc8fs+9 +/tuKOc045Cvm0fFIvdPa7KHVzChmafnra3BEoc6S7qyYNYv56sKzlGImtXAz +s2hH8fNFFnMpLRIMWQ5iHvETJrLcXywnY48ly4Gvcxd3YpeAJd9L/e11Un8n +uam/dTr1d2ayrwQ9zFWYw1zN9mGDhxk+GGfFinkaKOYu0We5Q+InfBs7M+60 +PdmomKUnW3OZpb9kDnuZ09N/buPfRlLMWa5ixrCrUsyU/nsnkP5TTOaWnya6 +SxzF3OXbRHdJQjEPUIr5+t9YMT/hxasyKebXWDHjOP99FHNDz9dvFHP8e4DC +YUcxo4QIK+ZrGQJqsEbFNqj9GN9WZHz7inkHK+bSI1TMz3mKGZ7wDCYdoa+O +2/6YMPcN8zL2d4Ip3iba16aTS9THKT5QTfHbOAE4Gqt76EOR9sx7Kts9s7RP +5ZkU4JtoONqc7bszSrC80va1/iu1rxWpc8xqFgu+YF7GiGru59Xt8EfejYqh +4TNCrxrr+ZqNat5nVfNjnyrVbPEuthXwbauaffoc9wc7HI31IY6GXLiX2kUI +FrjO473dbH7GlkDXyBDobKc2tQPeiWHWehjwti6NZsqlEerVDu2bLzX7Zp0K +TOFpIJa/OrYE9ov/HEAQfAD2nVRKkuiKMycDxanR9AgV8/w2/0pP9qkmkhlK +KcPboiaSb2mvDONR+ZWRr5ypjWQAt5FkaOwzLozhngvDY2EEPctTNGf5C6OW +r9B0RFTLe6NLn5RWErmJuF93wsMwvBfufXeTq28qepxlLbslw4y9N/eQpFqm +L7p41Brf8q0eb9nulkEtd0bpcRlLD4HaqnFbaE96/XHcnmROeuOlyS9ogvsL +LuXsSe9oGrdQ8QCztoDTfkCT23oyt5W4+2WIQCEwAPzLz1S3iT9gMD+gMiN3 +8j3vOuYwg4f50njWtqftxJetu1C7qiHLQcvfQGpYvUBm7Z2eYn7gt1PMDa1i +TszbNnbeimKeyJCAcaF5+39BMS8/LMVcrxzF3CqomP32Eko7WRZzXT7L1UTb +PRiJBrJauIXH4mhWzPezYp5ZKpwMMhUtYhv+C3wqQU4Gh1c3x+oBdm55/BEI +z3oPY1+c1B80/CkP8+epzSUq9SceZpAYTJUjD3NNcmSAYoYF3QNKMZ83LPro +HPIym57sKuNj6XAP2/In85N+UHGZbfqvGKVE5vSf25G9K5YXu7G0h3gZxWYx +5yhmjk85vAxHMbu8DPxwxIqIbx0m87gUJrOztFPARb8f24Hf+iZRJ1pFivmK +J5Im0aBifjWkmDf/MYq5IKCYCzukKmY4D1ZEMT/jLDxIMW/+jRTzswRIRJz4 +o6yYJ9e1bX+3c3YbaEd9+FLYpWU8yUExd4y+vKhr9EUL11uHzozaN0Yfmfz2 +KAIsImluCj952TMvwHMKdWavSJniu8md4Xjr3kl46+CSPoc/+oR4ZPLbeu+R +NsV91VxhX7PdNXebabu0DaHLU83OpXuF2t15aqShx9FwuoQhRbV5hXrCz9r9 +3Va4ds/1CHQPRj632SfQJVgaHGxNdWlwr3aYQtdBUeho39zDtJ5ApKUudq1d +XXQuw0apJdDwNLAA9kQMhd/qNZ/oZOAkb9/c5AgUc6UTTozmtt3rOjDUXjmZ +7ONea71X1hwMr9t6dCDdp/3KprsvjbHMb1C7MGzjDrEwenksDFIXAXLcY65a +tq0k7wU6/MqMg0iSU4aO6O+WE3TEUDPJQlsSBZ577HqfYfkuu1zpkXRieGo5 +fn9d4rdHavliTqLqUQt9fhKyzolH7WkYsr65SECex3tJP+KvLI7HLUiMV6TX +r4zO13nsxnApntCoSsu3L4TiafzL0IOdRWZO8C+DifPvZ6OBEyAB/5lSJ/pR +z1nmEiGHGTzMXWHOXkSd2MC/x9RfTybLyT3vesqNiGJGmO0E5uCLC+5XKmZm +4i9t/L7ZUCxIUcyTK6KYB9pZ+5so5jQe869VzKQMXMX8mFHMDWPF3CBWzPVZ +MYPZrRYvH/SzbeH3YqNi1u0lqu8voJgJNwRkudOxE/j6IjrBjWD7/QQeg9NY +Mc9mxMuTpZYs56T+9ggn4yjmZPDZJLXrrxI+cZ3628eKWTzM35jmEoYomtSf +Vcy+h5mKe+pGBwaAYh7Mill5masRl1l6st/LmsRPGs7UM1WTiU3/FQXTf6sw +/bfD6cjeRh3Z2bsJQQ6K2aT/ynAx9yKbQIWXIcFwNO9xxCXJy7CLOqOYFWFu +rE+Y46WJ4JCMYr4lqZj7OYr5O5Yf8U9DFVAJ9gijKRVRzC8pxbzmv6+YYYy7 +hromCUPdkSjmN38/xQw0/f9MqRv9OLF+9AMzmb+7ORd5Gd/0axYdwEnegrzM +2GQCXubLos+b94ifvefMuOAWMidhAnBMrJgnICqGTisPs5852d66C9tbbQoQ +LPr0rOMnfa4i6wNxjjnN5ZH1iTqnqEeJSf5dUjVnKt/JoJoNrUsSVegR3Wv6 +AaUvDVSz7UsrNvS5Zq/tDvhDN+ITbpJgDwhH4znl0khLBDKBbqcoFJ9AJ40n +w9mI5zaehFwa7blX200FXsL7vtC+WacC61EYvMjum/s4++aTMOZyg7dv9pOB +96lkYOMjVMyPt/vAcWDgXrldsolEczBMtONS1dsXaLl2/MoDrAvD2St76T75 +WsvEwrhKuzBAVYBanuyR4+IvuO7Ydh2/x9ncKmw4y3ujrk+6nmXh2Lo8jALi +YeivuYRzaA07h/RolQYe23rdZKuo5ZlKLd/vLOocJ0Z+Ui2T+Y2Atl1981uh +hnjWxoYSkhuglk8xXIwxJW7S73EuSFsU/3ueT9iruebhLXZjFOKohSXcXzjt +JzS5k+lUHdwvZ0eHluZgwvrQApX4m17bueX929zymMOMJM9WfMvj1F+LK4iT +kdvHspjFAScMfFjM4T1vEs9Z4RPZBhNLl/udFLNywv0RivmytJbsw1XMsTLY +ZFHh6YqZziLYkO0o5lGxYh5hU04GFT7EbcguuBIVQqLvr1D6/poyBLEuo+1r +4BPuHyvmQbFivoEV853cd3YPG9Wml9JTns3GoidLXbLcK1yLujZ+zhsdTkZY +MYOM+IAVs3T9GcXsp/6UhzmR+ntWc5jPxprLQ4+ej2DFH6fUI8XcdDDiXj46 +57boI8NljhVz1XG2Mds0mUx3mkz2mCaTp5SXmdJ/eSb9twbrLbdlCS+D27Kz +XV7G6vOKHV4GhF6XG8KcVswfI6oLFfNFrJi54lVOkAgfuPjwFHOoxSTUlN0T +oioS6q6gYu6qfhrtY9kh4MWkYt72X1DM3Vkx+xGUsGKWCMqoP1Axi7duMSNf +wI6Puw9Ko/w4kYn6I5iX4V8LOxNV33iZM/nrTIZ7PD799D3zc/zMX6UuwCxJ +AW5HeKJmZxjinNfgCu0m0gn4KH/4TdP8jIuVsagcj11GX7NSLL0mqpJ37dB4 +RO30dKv2Uxb1IpO93T+Y2cxIcaHPORyN9ZpB4CUC5fq9eYll3DJ231zAhUC3 +I0SgUy6NvBHcp0YYfrqIW5ZGS+XSaMsuDbtv9l0anc3JkfD8Lb2Wbdo3XxXc +N5+O4XDcNxedaJq2TfNJseybbTKwYfNqYcWMjdnHKJ18YqyTH2v/ocnzOdtk +k+cjSxq8HAxyZKJfpGyThRV3eyDTJ9vkEFnZJ2AgilM0svJekEbWvLgvPKey +fX2XLHjfcSqLR0heIH63qRdIFAxy1zdaR6uHRoqwbF/gClzL5W7W7X2uRjYv +bydpZCK4MDcuL7RRHpIoFnaBXGz9NCJDVUPhERsExknR0OJK0XA/38eruHml +x5rhukId8ExIhNCd5MEoZBGhuqFMmypvlf+NW2XuwYZS4HiyHpKcH5CXH6iD +tHucrOLDgJRIf5US6RJP1rbM7xTPW7MrFR0jFhfYWeIlRXLuUTr5YaWTn/J6 +Sw5HJ7+bqpMlMfLf0MmXl6uTS1AnGyKRr5O9fgatk98SnfykFwyBaCrrZPN8 +x2XQyd4nHutk6SzBwVjYno9wLdQT5s6Swuo4BPvHn3qDY518YxGtDO7izoaJ +vCaYwRHox/k5P8WAl2WGjkEdwW+yTrZ0jKOi4vhfWCef6NAx4HPwC3Eur7XO +ZahDQ538ouorMc7lqvj8NX350Kzz8bjy42TRyYPoGXsO5r1VxyqdLDTm6ZbG +XNl3MCcb/3ahiOCO7Kz1JvMHOhkdzKYjmykZF1hKhqOT+Yj9tOHKWZ0s9T0O +V07r5MvL0cmq/DKTTu5TYZ38Ka1EUnQyHA4lkNLSwLoIt5jUyeri4ujkJ+2h +EHtL/hidPPCP0clmmvsZlMUcXXWmecBVd33T6FuV+YP+Ekhuk4PZ9WMQKSMw +zauO5cJLmuZoQaqst8syzRVTP0t4RzvoacfTHGxG2AJYW09zyf/Zaf64n/9j +oLghNHdThGbVRAXNalor+xvmVF+GygD2mOE9X9TKyVbALml0W6VUQCs3gGZA +n9dlcoABeoajVlxac5OQVsb1iOXO0TPX3DnoOXG9GURsJm+GyQKqhsBQFtDf +Mvf0t8zsau7tbZmRolGk+7VPNPy5MWrL3OBC0snHGG1c/mYZFPMjHT9CMBDm ++WKlbOgXHb1tclfV1pfBpTxSZ/oGqMa+IfY7LNTYZwgYdxAtQBMwtPeip1HL +B1xe3HTXe9HN9144XSSeU9l8r5E3SH+vNXK+11zCchOnj8TaPrVapj73R5mE +QXIDrhuGEg7+izw4ZMNVw7qV7SHbquVO+L4ucyH3Tosf9ZLA91b/+P0AO+7G +Ivq+Ch3v0LFcqkH3x5gyVePB4DCUEJcp40cMuc951QZrNtgqf6e2yiAbcLW2 +sEb085yzUSoYv9sE7cNoYq93PZsTiahza3IuX0RZv8+BeC/8TubJ4YyFlZzp +xR7L6NrfQzH/639EMdtu7EyK2bVo2reMPiJSzDRObUTVKubnDcylqWO4V094 +51QVBiHFXNsoZjrK+b3YYiGyLX9dseXvCqOYpbNEFDNEo6vFihl4cqdGQ4pP +cgnMxbQimBr/IzrGXy0do9Ty5F7k3NOaMpv1cxRzIOsX7sSmrN9+L+v3LdKX +bV+J41xexD4MRV+G4wpWwoNi7h8r5maD6BAtDmZYrdVgB3PO+GivoTFPcWnM +qJi1gzmU+bOUjG1IydiIz91m/qQjW3HlanHJpaJkIFeO13FPcjScuHLpillz +5VAxq9Wc7S75LmPb32+umBlx204pZsEtNnQUs4z4iirmmf+vKGZx1X2tXHXf +87M2inm+5FA8rpwkt29sih3Z5k7YtVW0v2Nbx1n3ObT+GT+Gn0Xh9Hb2eEUI +fTB+8nq7/Ax3mbjZv62VtSfDdreuVN2tOsGtmwB1/m+ashXd47HmdBugr5rN +VA+p5pAB3zxh68u4Avchbpf2JV6DmlHNK4oT9Ax6yl4nhMoBanqG0wyocoDY +pMZFluQcdR3NfjtgbeTOjUBHs/VmSO4KvBm250SIzZQFdBsCk96MtowbFfZc +02RLYGDLDK7ma3HLXMlsmYd7W+Z6F6ZsljMo5hNixfxQp09S98oOVTmDSznY +QcKNfcMH2b1ysLFPZfpkRAYJGIYXR96LHgG13M2o5U/Ul5pVFQKxN2r5eTfX +ZygYHAJpuDaNEq67dXQfCYektizw1LJkT6caBz29NfpCC++WB1rAfYGoZbhc +dLWd16rFz1ajVcWOnIFFfzM0DJvvOw4P17O0Y7mUMAIvKw/Glvgf4gPKjmYP +BhGXbcbPMuT28+UONhJ6v/yTFALHcgGrzcCyifM1VszjPR8GzFfsh7qQ52sb +nq/W70YdUfp6R/zOj0zLHylmCkdNR1lBFs95AcWsm/6O3Ivxv6GYieEJ8zQc +ahLFzOzOX6OYpQN4iqeYR8YjE4BDdJDLVYrZ6cU2nSWq2aywnVIJurNEFPOZ +RjE7PLliqtoBxezTMZ4udXlyK7kjeB1m/Swdo4DPJyE6xoc7K5D1e42dy37W +z9CXU5zLoJgn1WfFPBBN+OTHEAdzrJirjSEHMyzasgKZv8rawbxQOZifY2vn +iiQlw3Rke4qZM3+rVOZvBWf+DFcuoJgx89fGIzHDnw4Otxip0S2t7c9TzClt +f1ox9ypPMaso7KUche1qzokVUMxOSev/XcW8InPe722lmD/wFfObnmJekUWK +eQmTQed7zrr72Vk3SvW1DlI8/UtbRvs7wQ6kPfKOXD9G3+izeKJ/6vS23k4/ +Ab4avufvQCqLu852t+ah7eh1ruhhT0bVXYRNZHP+K6Y7+93ouUbUbQwxVuo0 +4f5WUc3KjC9P+e6UXhPcCQ5OllY6qtmLreqeCOPLMM9Y5VOMan7fqma+jHdY +Jqo5zJxriL3D20g1ryPVLMTbRDPgW8+5ZALpH07kAKVTW/bNYYKG483gnhO/ +V5uygLIL1N6MwL7Z6zoJ7ZuvLtLUZtk3n4KuZjD938R5QNk31z1CxTyj86cJ +Tpx2KfttfeWy4vRe2WPFhVwYg3W/tSZgjE53YVi68j6XrvzoZxyRdp3KWi13 +9vp05HoN701yp+KgJwqGvm8owvJG3UfCZ2zT364zpzPNO3MpLeLEGF6OWpaQ +lK+WL+KvLuklOS/qU1idSx4gGFIJ38edXPAg+b6HeRm3gFsil7Fj+TVu85Px +inICiMvFHnF5m9dRgvtlKm7Q++VDi2w65JBJh4QcGfqGB5nqVnTDay3OZabd +m6yf2kgAgfls9rth2cNElBYYjKr8CEqKUodGtDyomKnp739AMY/8IxTzlqRi +XqUCIVoxL8ygmO/jJQOc5kgx11OKuTn3AJuWP0cx25Y/UswXKZVgO0t6F1ZF +YD3Y0q5jxZzgyZUEeHKlBHoRntwq7m9Yz4pZ6BiFqYqZGv78rJ98IDp9Ja9q +53K241wW+jIqZqYv4ykbZQYp5s9BMQNMPJYKuGA72zqYP2DF/H6Wzfy9azJ/ +s1gxzy2n8W8VSgnTkV3Z7ci2ipnxtfz0Ha4cK2aHK9fKUjKIK0eBqan8J0QW +Ldr+OfZKv+0vGZwy5cNeZbxWzL10JdVUpZgf1or5I6uYny5PMe9WivmtDIpZ +ToghxTz9f1YxB5hyb5up7nvsJM7KivkVjrEKSx+MRk4WxbsaYuaPG//Ywfz1 +5S3JwdwOpnonp4UKeludPAomuIcnmEdk3mcXc9YTaNov5s5sOqm8nJzqOTvw +o5DcGUWOO8PQmflDUOf/HmtjqRnIPmKwuKZmjL0yqZrTziWomj3Yy1WOavZ4 +c3ApN55SuZJ/oCb9e55qLkuoGMhbNTL9Jj751qNnODnAxUzPUHu/bU8wAfcR +t1Pb0JrZpZE3Fs+NyXZA23PS3Ns3O8Rm/PNg981dnX2zdGsnu04stfkCWrQ4 +++YzsCQW4uJDity+k9oXVj9sxXx8rJgf6PK56h8Jt/VBaOPugF95dJ9Apm8g +fXH5Lgw/06fJyo4Lg2udzHicYF0YPTy17Ob6PnVI4ERXft+c5S52cn30deYQ +wTNRWtavU8a2lYGbhiaCs1reLr7l6YkztnDjjBMjPwTh6sP97BKQUmq5UGrR +GivSco34jWQzDaOSoWGMNefr46KZJcdxN4k7WqUSaiMu4KifpGTPn4wb4z3l +xqCMn0qGiOPtJXuWhm3Eoaerm23EIThFS85vQgPaRggZYzC3qcJsvbRF9FWn +WDG3a8ez9RLjeqN+qP4qU810DOksyRnPOIEprJhn4dmaAlFPe7nqkGLe/d9V +zBVq+uNZ+hi/8wyKGTYPbiIkVTHnGsX8j4BillPJrAoqZjCxBXqx83XLn+os +4TFoP/4UgbkQlgWnEYE5VsxIYC4hWNDdfDJ5gAFBj3H56UL+EJSs38v8MfhG +mdAxjrZZP8QkBhr+VNbPKmbxMLtZv4NeX8lPy+j5G8W8gLN+cGZhDzOVXMaK ++RpQzNeyYr6FFTN7masxjTk7lPl7yPaXZKVn/nYHOrK3VpaObFLMgBNY6ytm +pGS8Qydsfv6GK3fhRxSUapmimKXtr/NBy5XrpmRGb3uKDKEGymv76wVSYwIv +T0Qx80/j8BVzEY798hXzSxySKk8xTwl2YwOu9r+tmL3ekreL/6z2ICfgHuQz +zdLnPYhVzNl4OkHFzCTmQ7PUHmRiveh7yfxxMsXsQa5oQXuQdq3pctiKmUfO +5dASmeVyiHvmquNUOmW6s2cuxT2zzv69gu6MbUBmFndGFsVa31AtgJYWyn67 ++Gk/Bfk/0wQIZqN9hpoxVc4mnmoek/gABNV8MGjLT0MkBn3Nxl+qklieau7q +pbBkR9JakZoNpQC9zdsDaiaZA8xVHSdUW0VLkybbrLdZ05ozcedqc89JfebO +hXpOLvJdGvmaPSf75oste67QsufS/M1XFZ7H++Yazr5ZqM3XcWHsBUeomCdf +/CUSZO8XTlygrc/4lWWvfHVyr+w09l2XdGH46b7BARcG+uONC8MjYCgXBiX8 +PLoyfI0BsH6u61nuinTld00XiU34pd8yyAHk9ZFscB1ATdmJkRtSywl5YXfL +1DYpTgxB1t7glDy0Nbvlni5OgE2fzkgF0jLCt7LwXQyJ34Us48aYpB+drkPe +ZcAHrGE87RZDxDjaVJ+JjEi43fy56vuXYb+MHDlu9pPE3zjqhfo3Ot7IkXFA +HBkXt4j2d7iI73dd4tkq97sraRvBOZFP6tyoKES8jUBpYV1v7yjXW1Ixv4bY +ADhTAzLAKOaaPoX5n4bC/H9CMTN9qP0LnmJeHVDMG/lMl6KYt4QUs044sWLO +I8VchxUzjMamu2901AG2/OWntPyhYm7Fo48UM9ExQDFnx+OOohzXF1dCM9od +JQQJIp4cwehnMh0DnvaTZUDHoNOJw5NjxbxF9m2omI8OKmbEJToe5lPc1N/r +burve536W2ZTf4a+PNf1MINi/uFeUsyfNb+WDEbn38wnE91fMoY/BKXxz0v/ +QX9J1hxWzNJf4qb/8rgje4d0ZFe2HdlQ9ECUjEI6XZ9bYigZL3L6T3PlnpGf +AEuLOa0+Nz+DmYKy5eXLfVoxBxZzFjOQLB0uXzFzP/akA/bU+CCdGdMVMxVB +yLJEiltxIbdmN4e7f6Vi3hFWzNKN/WuZcr9WMe8uw5sKKuYSVzF/xJfDzzfQ +5fArdTn8d2iyzz3bpWTEk/17Sf8NUy1UvWWyMyW0LfVkW2cGTPa+titbEoDn +qMme4032rLRdiE6orI+f+VsEg8FTSr5xZwhn7h98QlmiPM3J6e6x5gKqeZQ2 +Gg3kXbMHfUmr4nF5cwGjkfCQ9K55/l7nWROpeY+rmo2/dLdNsJgdIF/Mg33a +Vtk0DSYCPZfG9mkmmeVy58Yo7tzt5vyoe07SXRq6IfAKZoF1NTVX6G8uLK/r +xO6bbUvg6bZfO1bN511Y47AV83EnVIru67qP9soXJ9v6YK+MarmX7baWr6qR +/l55kDWlhVwY1wdcGA4Lg89uV45X9wqtlvVe2ST8PiWIkJPw26sSfsqzrJr7 +2piea1HLxMMwfvn11i/v9pFQb44QWcxumTmG4WWc68Sw3LgMajk/oJYLLFTA +nq9pGQf9NwAU0FyMUYyphZE6A8/Wx+ES7kmORS3nBdxqhghsVlKimInLcqb+ +QNJ+HkPO+pf1fpnRs9rtpgn3wOsc0ZjoQ+LIuFo2ETBXwfHGGRHoK7mwh3G8 +oYeZU38f4Vy9nQuFx5Dh0/RDxZICjZ5uTsTe75jdaRTzLquYzSbibVLMgZxI +am+JKOYKEO8rrJgnVUAxG/KQUsxAHWJWJzmNQDFvTyrmNZ5ihgD1Yq6DWpBB +MZMyoAp3UszSiw2KGeIeTmeJUsy25U/z5EAxN2KD0fnmI7Afg4JuKCaT0YgS +Cjzfw4p5eonlyfmKeQWHWVdzAoo4GUdzJ/ZRphP77ZJjrGLOCynmv0VfrD+V +pIRu+MPUX1Y49Qd9JVBu6XmYf4p/CvDx+MPEBrFi7hwr5gEkE+BkorzMpr8E +FHMON/5le+k/VMxPBBv/8lX6b5dRzOtYMW9hxbzL5cqd63Pl7Pl6sZYVyMvw +SMzIlfvafjx2tn9O/O6SkX0CillIzBna/hKKGSuqCCOaAJTHfw4unSclEP+y +ilnMn1oxr3YVs4tCWvmbKeZEN7bXW0KKuU38W1Aj3ustEcVc0aY/OR0KdVwp +Zsly43Tf5ma5jWJ+lTEwL4BizkF7/s/xs8aad00/uk+l/4Y3jg5qL3PPWDFf +wj3Z7Zgth9fDbkwK7c3OjEEqATiMe0xUpttwM2Zi8BUMSSUYevX3zEJm5ume +o9kZ1AKIH4VoPHo3WuaXWbZUSUDhZ7TnDgokNKvTSU93yqehEkN1PP2Cu+YU +1RwosdTnE6ffxHQTF5lUVoJh4KSy1nj9xHofKKpZ0ZrxzwFw5x6xtOYU7hzt +m12XBu6bgywNvW/2XRoue053nVh/s6QCITyeyd9MfSfnHoliPrFSNPHSfU6y +Dx0YIb+yv1fu7+2Vh1gXT5CsfJtL9fZdGFdqF8ZEnxwX8CxrtaxYLEHP8jI/ +4SfUb4p9wFuqjz75rSosLQs41UeySe4Wi1U0SlWhGVlBTgykLHMnex1Wy8Qs +jKVFflItGydGfrIOjbiELVQ8qlYsK87GKrT+RWckuBh3F3PSj7tJjHeZsVu6 +Cmojx6F2I27rT1EZ1J4VKeLyjkrBbAiWNryiWv2Wchnwk8Lq9BhE0IU9qhHl +Q3xHxmUt2ZEhvVCSqhbKvbrd1R6K3VDCItprWERaMT/GmFp3pu7WMzWhmAsz +KuaKdGOb3pLD7cauiGJ+6MgVc+PXd7JifqsiijnXKGb4EJwdVMz1jGK+i+Me +8qxDitn/CJQqd5fAjAFW3KvVYMUMVU4QeK6EIdY7ub5pIn8MyvN+nBUDlFVq +TsarXMHzJu/atnKotSB+5qKYk53YWjFT6s9RzKqv5Dv0MNu+EkNfBsWsPMxo +5UfFXBuXcj/cI4q5P51MtJf5LNfLjCUOqJgDjX+omG36r9hJ/7kd2ds4/UeE +ue0IFJCO7NcZKiAd2aCYVyjFbAlzHzFhzlfMCjIAaK5OBw1hDhVz97S2v4MV +avtz5MUEe37pkWj7+8ws5Ixijn8eUADRaUn5itmeFt/MoJifqrBirpNJMce/ +ic75uumvo1LMftNf5m5sKWt92pS1+mY7Hu8pilntQ/aZfYi16Qd5oZLnNn67 ++tZvp73MV8J0bxF91ZkTKm259a8lODN6Rp831Znu5J55b9XR8U/gbpebYfzM +fq77RYQq7jBkZurN3sgfhyF3xnKn0JLCrfi82XQkwdYHWDU71e/d1aR3VLPd +NVekxDLoa57KaUD5GJQiyzkKMg4ODe43gedtUiyomuUvQKGiJe1ih8YWBIw2 +UkrHV80EGmVv8xZJBGpa82y1H7QEuoYJAt1odGnUdXpObk70nLjE5r4cfbkq +pSFQ8TSMv1lcGrJvVi2Byt8Mf1aAjXD2hTWPSDFPuHx/gq8c3Cv3CXeQaBeG +YSwHXBgOC2OkYmHodF+KC6O7w1n2Pcs0Gv3303nxO4rD4vEwVsml2v3yarje +/fJCKeERDK03XhKlSbUMXexWLXM3jioPpjZJOV2Tm4feSLg8WGRFT4+53Kew +ijF6AhdD915LLOrh0uNQTszHSJQtc3iVAQLQ5mfYGHt0/zW7MTDtZ6XDPsZr +HXjtDDpLv5zl8DHQv8zZEITPzrBON5P4k7vdQG5RhUT1JS2j/R0v4j5s18P8 +maT+UDFfT5R75XaDkge9hfin2UL83cBqfbebsIjA1EmK2c7TVz0W0RJPMYNU +eOyPVMzwxTi9IorZ9ronFLN556SY12vFLNb8F45YMddlxdxYfQiSYr4mYMlP +KuaeprNEK+bKsWI+mRUz7dbuKqEg60TGis9QH4RIlisjwxGcUIiTQYp5HStm +4WQUxoq5BBWz34l9fOZO7EDq7wdRzJz6O+T3lSCHmU7YP02rjT8HsPMf6CeK ++QZ2Ztxi0394OmEvs3CZs8XLPMP2l2Tpxr+nVPrP78j2eRkgI3YqwpynmOGD +kSNShjDXdC8ymV3C3OdMmEsq5ns9JvM4j8k8YkD6Gds3fx6eYlZoLq/8IfFB +6ShmXZVWnmL2+3xo7NOSDaTCGC4RPjzFnN6NnVTMd7NinnH4inmPUsx7fcWM +CRV1QXzJEpBsQuUslVCJJ3zKBfEbc0FkZwZQkNhz90XLgOfOSQDeZs4t1Jd9 +T/zRqFup9E5EXxEp270dkyq+O6Mg6c6o/0/H0+wnAR9l1SxJwMli078k89O+ +nXfN+DE4NPP5JOHQEIaGTP4Hv7AnFHzeNP0v07tmeeJcz+O0S0j+W7ynaz36 +3AZ9Tfc4Gk4z4FOOSyMXlM92zW1OJ9Bpl4ZmaUDsxe6bSTW31i6NQEOg3Td3 +sl0nuG/WXSdNcN/s8JsLxd9cJTq7xdlHoJhPir+S9ifb+nwORqiNxGvsS7gw +VLrPYWHofmvfhXGvT47bR+Q4MKnN1JzlTzzOMnG+xQ8v78ZSC5W7x+NhpN8p +XLVsdstbkmq5Mdc9ydhsKE6MPJvyE0nhGz2tWr46qJZphLby6h3OjdVyNWR2 +g58dvOxg8hzBpEFJ+lnv8rHGu/wCmztXs4x4SwWpS8pU/7XaPqB02KRgAWtU +klr2y6o91TDuhSg3KZCmhj4oaS25gqlyHVpbD7PMU24usXc7f56OYsUMksKS +5SzvXvdirzDdUNvxRL2ZpEM2RaBANmCjqlLMyzIp5rauYr43VsxmqZZBMd/i +KeZBR6qYgZy4cK8nCYgBc8SK+Tk+xUn901wai9seYbzQA2RacwoqRTHrgsoh +BlsfVsy+0Ug9bwRsVscTWj+OawxlxTyyhD4I72XFDPs1h8UcP3FRzC+xYoYP +w/VlmpPxJ2z4Q05GCRVYGsUcP/kPQpyM+ENxP3qYkw1/UANPRk9O/QEmhptL +Ds1VqT/2MKO8YMX8+YXX0CkaFDNa84c5TSZQ5UC1Z/fwCSWQ/jO8DEn/acVs +O7INL8NXzNUyEebeZcIc1T5oXkaaYp6iFLMjK0JM5kyKeXimE3ZFFDP9PC4p +RzE3rbBi5oWJr5i3PcxRKJuIDSvm6z1vP5wTlWIuSFPMNePfQRYjkFzFPMlT +zE8pxWyWIqyY85RiTs10y5Q/XbWYAJM5y5xQDj3DpTxz3J3IDxPjCT+2od2J +6JSK7ESAtN9O7UQuhA5XRUIyCcChaFL6UBpNoC8buRn3sjlpBlr5LT80NOVX +m49EcmdIvhvq4Bk/XrvMVMEvC3maW1IToDEesVX//o6c+gqxmv2Jn2LTD6nm +oEND4WC6KyKYqGbtazaXRuktZtVM1CQvsYV8A1HNAY6GUc3y9Nnb/JbyNm8T +1awJdNOYQDeJVLMm0O0WAp3r0iAgaZLd3FalAjs47OZMFLo0fzPzm3HffFas +mM85IsU8vud+09tn9spet/Vd/e2tweyVve6+oAujAiyMnhO+s+/jfnWPyOTC +UB544WEIZ7mz8SzvUQk/4a7k84Va1LI+w3leePWV1dThrugiNKuW6Z1MoZuE +SvnV9nbLcrJOxKLyfZNnR6+hpJGhyJGcgBFquRh3qKSfPtXNZXPn4jLLXX4t +/rd2jyzdjublw9HoxqA5+leD2NLdfjYTcoZ7r9P+ZZylRJRD8CzmQupTK9Rd +zLgfmmtbS6RBFXr+2ivOPXiYm/cwLaqfNWRqZyj1V0XiUXaWvq1YzMWqFzvP +wAPWRVtQMW/HouA3RTF72wczR5t9YKSCKOaHmdxZUcUMbHLNH7rhliRGq+KK +WZZoe83ZWRRzO62YV6UoZptuEsXcdBPt0RzFvI0V83armBvstL3Y1po/3FS6 +Sy/2Raa9RCrdexgWc1Ix55padyjh6V1EH4T9OaZBLGarmO/jj0J55oYsFz/x +pfxh+DIaj44hslwZcTLIz0mKuZQVMzx5OKn8y3AyTnQ5GRs8xfyaUswvsmJ+ +XoyeVehn8BQ1l1Dq77zop0eIw4ymT6yGV4q57vUEo5Umk7OHY43Dh+JlNor5 +vkD6bzbb9SX9t4ijUJaXkefwMjbQqRrTfzujDTm7449FVsyxjIDnv9IQ5t62 +hDl9tm4eVsxg+Jwu/didDrqSopt7vk4o5hBgIFScBksWVQERUszd8HT9ccUU +s/mgzEtRzOxYkvhKRsU8NaCYb2fFfBMr5sHx2B/gKOYu+JuQCtdYMRdoxXyB +o5ivKzrRnBK1Yp7Fo/6pMr0Y+XOykGrPn0QxS657r6bsq96/r7ViVoQ55IYu +5BpLTP/VMuk/8TIbLvPgZtZ3B5Q5M+XJd/elMDNgyjehfqrwnnkU7ZkDl0Sb +VklLAVp3xoaQOwOJc28zftzzNEu7CatmkwQUJIwYjzI2nByeavYdGibFhX8B +vvRU80dOj5X1owoVLMA5iFVQrqKCEbM5SZ+jj0XaGTZF1axaJ97yvM0mEfiI +2h1aAl0DVM2WQAeqyLI0uPEk37Kbqb9tkPkTQl2Bule7u9k3X+JT6AosT0N3 +a/v85rNanHfYivmvsWIee9X+hF95dMpeWfuVJd13U1rTNbwFxcLom2gkERcG +3yAg+DxFed5T264DnuWUhJ/tXKevq6Zr6J00TKhl/XW1KnCTUGxvp0fyEXuq +dtSyu1tuYM7VSbVMu+VeRi2nQwRitcwnOjpXn4JdkDcVV0IuxmiT9KPa4FmO +d/lY5V0+xqC2tvKJGuUDRKDYjSGUTli0fRraPKxy56hJUT9VXe2Xz3cdbnKv +A/bQDU0xSf2NJKm7xoq500WcC1FdqtIMJS43TP0R595J/VVxXW6Spi4zaerF +LCFIMZs56ilmqAtOU8zPsGI2rPs2cqv77RSzbobSQABHMbMksGdnq5gNDID7 +K+Gm0pxhAKSYtzmK2ZTxkE2/6ablnmKez0sEuTyrEh5PMdczu7SbVHuJ6vtz +Ct6lstJnMZNi7o21lVYxD2HFfDsEWksUi7nUspgNWa6MjEeimJEst0fIckcx +We5PaNcnToab+tu78wTVjn1Kajv2Qa/r70dO/f3MqT/p+nOaS2awtMASn4as +mPvhUi2U/qO64NEsFdjLjE0mXvrPact+hmXDMlbML5mF2w4hzGUpXka25WWs +4XO1yAijmBu6ilkWcA5hToMF/CXcZUoxp8EFDqP378pA/YNRzPxBeUXItLT4 +HQe+KBXDdokSK+Y3t7iIpDTFvOUwFTM0x+/OpJi7lquYIa40RCnm8RhVOR69 +/LPUckQr5jVlFoO0yypmh4TkK+ZMSRV9SQTD0ezzbFJFN5mglzlWzM4lsYV3 +SZQ2k262NVsuifCTwI6qW1Vz9hj2MyuGKOyZjTHJ99+9rBIrG0xBPHwkwlkF +p/25BFR8SXman1NPHS37ng/voZRC+ETAtS/tGkdkwI6HkDDpLSdfubtmOafI +U1doGL9Vu/0L7u5Qs3QdNbQuuTukZm2dCPS8zaia/x7/DJ7gn4Mm0D2g+Afw +JyP+aYhPNY96AusEWBrNM6QCOzCoFPbNnYOpQPgZtY+6wU8p6G+uj3GZs1qc +H1bM2Jh9jKeTx/TeT2194r3oG2DFhVzKsgYIkJWD3gsZctIOGfReuBq5u6eR +L0vpIhGNbHN9NiPagt3ucoHAbymtkTekXSCW82FaNLImqzzmamTB0u50NXI9 +5b+wbuVBZlC6aFp7kLu8QDRyc4+0XBNJy/2LTnUsnSOLBUt7fDStFOycrmOZ +jnN/MeGn9YyjFVQAHKXJg2EP0pDx+2TrySbjZztKzkikQOxWmfmc2FPCtCGV +nZbpiUmQ/qqrpHMLnp4dYp0sLLluxrn8aaYrHWT9IBCVZbN+byd0st9Zwp1Q +ld/C4BPp5AJHJ1MnFOnkxU0CnVCok/cbnXx/J6WTuwV08rVKJ6vcNOrkO5I6 +uacPzpK8dEInewgAv5hhVb6rk9dvdnTyxvJ1cpOgTr5HlVVSKWpDXA+ktPzl +BzpLYp3c3Txz21nSu+hsDGZcg50lZDUCAjMcT4Qndz/r5JlsN/J1ssOTi5/6 +RtQK0on9J4SMlxmdfCweU6QTm3Tyycaw/6XK+h1YY7N+AIaBvhK/4e9nOFb7 +WT/uK0Ej/30A4Ip1ct9YJ7eIPwHrXccO5nDmj3QyCYR/mca/GTbzpzqy5bPQ +cuXcjmy0IGVtsqLB6GS2dSpKRogrBwcWrZPhp0DR1y+jhwRbCz8HAcbo7pIe +ye4Ss3q7zhURftvfNZl08lS3XDihkzPV+nDsm3TyDtbJgU4fRydbZz+tS7zf +BurksYyiZY8S62T5XbgHRa2T28Y6uaWNdaNOPsvRybdwHRW0XVqdfJx3RITv +xHJ18rtKJ/s3xK99rhxQMpaxTn6Kn7ef+bvH34jkRt8IW078GB2ow+TLNp28 +ZiraiNjmP7khetvlHL1dfoh/AnOcrUihqe551diQBKe4MWun7TOJnzzaj2or +J7OyHjlOZs+BN41hMKiV4Zn7vSbyzK+pWEWPj0+8KqSVp+533cyeVsbuiYQy +Eq2c7DdJ3tlVS1uslRszPYPQiqSM7J8J0cpi25vnZblmGm8G0Q/C3Lna3A7o +eDOCxGbKArZj5dQh/0ovC3hZMgvIrubu7GoGR2tP3jLXbHEB6uRjjDauwGa5 +0snR6L77KbbcO9xtjY19XgeJISvrfmuV/dTei76jrVO5V6C1z/hzErw45VQO +NPddbHJ9blOkpmDIOETMJmZDPcLyBulYT6rlJIcw/nbaqjtvHnTVsikKHm3e +gFHLoSKHAr/6TK3bCqSXBIjcLCMAS4vsuDOiQcWnYGfNMLVikHyfIGnnKSun +rNk0P2678ONi2bBHY2hlfm47yaUMKYYcbZWz3V1DYqsMHDlAAUDOr2H0vfa0 +IW0onqM9m5MPo0NLQ8bYBxc6ZMlREiRxoaslDX930LGaG1RdxZyZJ0eArQ0m +CbI+J56fnARZdV4sF2pRcQO2qPp7BkmBqD4oOj4DbehbQxsaGyvm0VdRTpZm +pquYzbz0SoAFnGUU82RSzKb/PaiYVfdTBsXcCIoZRDFLceVrnmIWsDitDJqw +YkZr2g5XMZMqGImKWQIc1M+gN2jcWZLvfxj6irmxwSSCQuhbVAUV87VFJ+H9 +Wcz5Y+JnTgRm+jgExTybDfqAS3yWATAOT44V81ZWzAWsmHXDn1bMaOUExbzZ +U8zsXP6mnIa/nzny+vNcr68EFXNdUsxjQTF3ihVzX7YY3RBLhJu8zB/1l+xN +9Jc8oDJ/uiN7QSolY6fpyLaKeXP89KHYASgZ+PzPKuLMn+bK/ZPWbY61M/4p +tBCMgMeVY8WM8dfOSjFfQTFyITFX5FhdccWsPighDp5QzO9jPVpSMRebhQqE +WRqznTOzYl4SUMyPeIqZfhu1UQ5wDhaKXMtVzJ2UYm7KirkWK+bshGIex/3w +00poSQJ+pIVl9BsQxbyaO+FlQVLIillS3dKPrVLdxnX3RnI/grU8i/mJCzV0 +FlNDp/icfcWWYz/GV5cBW65lrJjbeK47mfZ6u3y9mvbWxWzuiMZ5J1kVm/2T +yGvQk5GlPBk12LTPnLmX6tpK+CUeRvHv7GR+tBxqhj6iJHpNBtm8l/k4zKCa +ab/4nfVlZFDNpt9YNbbJh6L8ZdCcsJbCCVttSc0NElkuUUheDnCTOFQlB+jT +mmejNwMXLTsewva2RqKajVpS7YB5rjfD3TKHCRqZGgIT7LmC1l63dm5Us2Wt +w/dixIp55DX73Uyf6SA56HovPFZcgqx8e5KsLASMq3zvRUAtd68gXZneQeZc +Xyumdgszzjo343Fo3O36LWj+4HKPPyjlDXxp0Gp5h6uWNWU5tFt2lm5GLdtx +ebl3nO7F7Lir8ThdJR6bpyF1W5C0d2K+z+IC7GH6WENbft4rCBYUbZ7vwShU +HgyT8VOXOc3lfIm4nJKZNhJhDi/UHmKb5v3CkeOcH+Smr8u1uWn0YcDWoRWS +McSH8WWLK1zakHEup3jaciaqI7WfnV6E8zPfUcxro21ZGwyOFjcO1QsoBXJe +qcPorLhiPujwOQ9bMXOoKamYpTX1y6jbzC/CCIAMirnZKvkNiBw4DMU8jw9s +trTSZJoyKubkKaVTfsBuZMz5zRmfyZ0leEqBj8PTicDM9+c7WTHfw5aj6eqc +Mo8jrfLkRTGvYcW8SVmPQorZzfpV8rJ+yU7s75yGP/VTEEvSfFbMuq/kgVgx +T6pLJQ9jGynFPBgzf+hgZsXsZv4AMs4G/axk5s/tyA5RMl52KRlZG1kxb48V +8y5DyUDFLPLB2JHeiZY3cBUzUDLCXDlbKCzLt3sViXm8OlQHFfP1mZdvBj8a +/ymRtr8ek0KK+dOMirlDhRSz+n2ghXMFHaJBMb8VUsyShRXo/lheoN3Bihli +3jcYjxJ8SWZSzDbeTejQvqyYB2dQzE8oxfy8p5gFss+KuVQpZuouUYpZc5Di +534wjbO/sCZZjR5VDuaUi+K3eFG80LL2tR+Dc3+fGyLzNei8+1Tz5fx0N++Z +LUFUukzmqZ/AsgCZeYOCkOeZqKsGkL+oYq54XdQ0UVHN3nOXOvh7fOuRb9bX +bYAZds3p5AzbdWy5YayaH1O7xfkfWOM+qCXjVA3QdYO8MNVFgfQMfYV3mwFz +3/J2i1t0J8WjrJhYNWMOcDLzw5LcuTp5I4x6Su858YnNuiHQzwKqrhPYNxdQ +tzYo5xotax+RYr5rwP5kt/Wgg+kujFtSMn0jbDDDIWD4e2V0sB8IujC0U5nU +8oe22wbhQO8FncrJXJ/9ctJvoJHfRwL3hk2aOxjquNFq+eGwWjZ+HNvgp9Vy +C18tGytnMvzUIxB+AivnNULDKJaF24lOvk8cy3PUeVqWbSs5+KHb/AqYH0f7 +ZdeNIdZNf3ZSR0nl6IcV6gz9bBUk2ZsbHciDGbxMmwg5v4a4cXByfsLmhPl5 +cSvuKpH5ealLtG/Mjox612FfSbIHarzxsxk6RpbeOIR4cky1z45nZ4660Z1j +F2wwN5crxZwgDfHMlB4oxM5qxaw8bHZWhhUzhpq8coawYhYWJ39Jmhucq5jb +asUscsAo5k1JxSyKoAKKeaemAJAqqJd3ByrmpPkoU5y1Azk1ubOkl+4s4Zp3 +UMyDWDEPi5+6+4EoJn1SCr5idnhyrJgtTy5++kYx/4U/Fo8zWb+PvKzfvnWn +ctbvDFy6Sdbve6WYhY4B5cEIIZ9/Fn1AgnMZqnseZEkxiX4W34+JFXMfUMx9 +6HziOJiHkTn/rDujDx3FLKeUKeYkTZgAee4280eKmU7Tuz1KxlZNyVCKGaXD +OZorR5SM5Q00V+4D81OY1+JTzvx9YT4gIf6aqphTSczfpXaX+BKiwor58Y+5 +9NUqZmPnVOUOzk/EKObN7u9DK+bNSzj2zWfHED3GnBtDinlIQDETNcbcXQo0 +EKk2KebC7PhPACnmmwWGVEwwJEGHYlhFKeaX2JX05p4/G8VcQIoZ4TCxYv6n +3/ansiqaK+dcFQ1rnx3Mj7HpaBrvSDQLSdqpElmVeOK3bxftU84Myf193pib +/6THxBBErYt5r3IxWybSY+bD0Zr4lzOZeaV5/luMed8iFV83xv0y5u8nncwO +g1+oGaoS/n6tmtOgMAOShn1QzTdm2DWTaj7oOjT42SMV4TBUc+dAl7bupMg1 ++8UdijknZn52NG9Y5anm5w09o6lD252LyonCL5rWPM1wxFzunFRg3WnaAXXP +SZpLw2kI5D2kZs/5WUD4swP75hot6xyRYr5z4FfKr5zcKzsuDMWKM2TlEV4P +yegMvDjdQ4IqgDKf3cSFIWo54MJwXOxLVRukUstETSkwub5G5s6g1LKmdG/i +PpLNpJZzJf+pnRjbnrBn6ZATI4/MnHUw8wn/n10nhjZ0tsPdskYFKLhWgQvX +upLZcYAK6Is1T6dGQ4pPMr3XoxlcL3VnppukjDAB2swJ3dd60SBEDEMWKlAn +ael8Ugy5A2tOdxly3Pt0aHEsEZ6pyv5l2Tao9Ic/OyHnN7AZ9j8d6EldJZiZ +Vjc648hgltynjfpHn+gb3fm3Rh/GcuIDmZ1g8MwSdICbAAH5UJRGGorn5uZs +SxoSqNbK8yUzTVLBsDmbfxgtaGFvc7OUYp6sFPOE8hTz9VYxw4wc/Dsp5gwL +NFLM6/jEsiqDInAVsymv3HWfafmDxQAo5owAmIIkXDxdMZ+nFPNpyJOTOh4w +II1TivlBpZiRJ1cqBGZ7VpEPRcuTg+p3ho0rM5JVzJVo2cYnFkcxr/EUszT8 +Lbd0DPg5SMPfz0/orF9tgsWApACz52itmDnghPCXm7zM30hPMd+nFPODDINJ +Zv4KPUrGLtORzZSMypsRQL4pa6cpD6afgLXyJ7lyVjETiVkp5tZfGmDMAx2+ +trVoAYTA6ACu9nDa/hKKeYrbjy1tf0YxV8i4RB+VbFzKoJiXVkAxT2TFPIoV +821BxQx/5un2YjmLVjE34z8DEOc/G3viIeI9iLOvd3iKeSbfWmD8y7LkJY53 +CwyJjHhWMQdIzMSVOyWZ7sbnnu2ku395qnrSyzw5/iCMn/cPYxpE34/QbDny +4X0tzowuraJ9HWTqd3Fyf3rPDD0mttd1uDUq5TAtI0vtmRGx+IRizC1SpZcv +qwTLOn7+VN2zrhpMf9qXvHZeaaI7G5+92plI/s/Y95VqnuSp5ruF0Hy1Dx// +zo25BlTzgIBDw+k2maQu9L5qfpz6KeQKeYkm7i5Ndmn7ia9GnPgi5lwaQ8zP +AS51yQhbKAcI9T6ZuXP3udw5/Nncxe2Aw4Oq2d83t03smykL2CV139wmqt6q +7mEr5mNjxXzHoK/wr3laB4njwlCNfSEXRm/dQ4Jj7WCQF6c9y6KWrzCe5Y9s +wm+hxxf01LKmpbTQtJQ3dH+6T1jW7X2yW17mOXIWmP/XGdUy+5btV9Ew41tv +7mECyHVzZRBFe4WDonXrzkAtX4MGNqJhDA8k/R42VWe2uEEjAt7cY0sbjGRQ +J2l0smni8mbXzYabhlfPxFozUwIsdCE1N5Fij0zOutRTIo42bvYz9zlwZHTj +HEin1g4ZgyhDkgMhD/MnhjLEralnUWsqljpk69Qf0zHM3NQdUB6BWRRzlfI7 +oJ7lijOJOwUVM5cBayZnSDFrWRBUzMJavOeggwAwilnKf+eqTLS/QFthFXNT +E2uS+D8qZtenmWmHFujF3mU7S8KKeYhq+evjKWbVWVLQJklgLjzXQSYCT26o +KrAcxwTmqcqEZHhypccyT841IknQVfqBQ4pZzJyajvGZpmNI6g+MSStVJ7aX ++vsFz9U1qOjSS/39yKk/qkRrzIq5d6yYB1LFGT/xj41ivsNSMnKYkhErZkj/ +iZc5c0f2svjp+5SMNzj0upkWbaCYcxhCXtNSMl69QCvmf2YgMYu5U5OYvzb4 +gPIUc0XKhBNnavnTcu+3DFYKK2aEKc3/4DAU886wYhbXkrnBZPp9JBVzPb6/ +gGIWOrlOxLp/DsB0qTGioJihoiqHe+KtYoYT40T+LYiffz4vTDQQCRSz7S5B +xYwllwmCqJv1Fq5cMv3HH4dPVyvfyzy8CU1+uSyKMwNIGR1bJ1uqjBevr9tj +4jRV2T3z+2rP/E8DjJlrLP3JFOBqhVbcEv8F2B5/MO4ioqiAyM2V0XLmjCFJ +e/MCSUB69qqy54pwr0lo1+zAYVJUs79r7ul0m3zpqSnFE+Nds9NT4bDErGo2 +LDEmNRvmnKeibCJQ+1mtt7kpgmT8RKCoZtWprbhz9Y23GVTVXarnRLk0FLG5 +Je+bWzv7Zk4F5vupQPiJXWz2zdWOSDGfEo+qr9BfedtglxV3s8eK0/8fK+TC +gCUAtvZ9k3Bh9NCtfVotB7pI8J7gJfzaL0/yMHwidwM/+YlggOTXUS6b02yP +jauWm6So5bq73AY/YQmG1XKfgFoOL9quMou2mmbR5lSdBWSD7iYJeZc3sHdZ +szH2pBCXNSDAzMxVyZl5SFr9FnI5w2OWLvQfuc1halon/pq5GZAuQBiy3U/C +yDCOjEaWJUeOtptjKXEbImodLmeWl5zOEizt04nbnM/lRMXMBObV5+q7HMO0 +eLFmSPaMniUmJ9CFKqiYoQR4sGvW9MsZXMUcywFQzMBZnLbfzkN/gRY6ORsQ +QEgxb9SKWfdiW59mZsVcP0Ux4x5NtfyZp18gnSWXu50lBQETkjqrDICziiEw +k2LWJZYPxc/+Mbbt+4rZ8OTKhCdnzytFIBd8xaxSfw5PzuNkfJvgZORQySWn +/lBGwMnl7yr1N1Mt4O5la//IWDH3jhVzy95sOhps+0uMl1nzMhgJg7wMnf57 +mNJ/WSFexnMYeM03illCr+sMOEAr5iBXDqJQqgqNTtQWSJ7kyn1ljEqTRDGH +ztS9PcWcRmJOKxLmZUyP+1MUc9Dqn4aTKfBxMkoa+CwZ37UU+qKcyBB+UMwj +jGKGs6NVzNcohoy4leR3cRF7+xuZUyOVup6Kvv6h6syoIywY+FYnxhXsTHpj +z59N8jVvD/aWFLFifsf8BQjzMr7y0yuc/vt5SRXD3Ifr4k++lxnTK434upiL +LVXfDiBnxgFwZlzakimilsisE4DY/KcJSY4fbwQHYsd5mW/al7xd2WXMuQ2A +K7E32wZgPXcGhl8tiFwujc8BnTmQBNRNgHBesfyMg8aQNEFZ+PWuOaGab0wi +FR3VPNrbNU9MU82cD5ckmEPhFdWsWwH1pb7IcjScfhNWU+ttIjCpmiURyPvH +LYvUtX6eQ2sW0pjhzhmXxkQy/OWNc3pOXJfGLYRoDLg0WvsujXydCrzcxGjg +p1atVb0jUsy3Xf+1cWHc4qX7NDVuiL9Xhv+HIy1ZWVgYPT0Xhk+O6/GgwmUm +6MrQ9giXZqWWn9XdNb5vvRB5GM3VLQEdOB5h2VHLm7yua1yqVUQtT7aU5bxx +JuFpdsv5tFsWtFarDGr5EqOW2/JCQUefLoh6F9ZELsY1YORUXAyKe9ikny5t +oMjHscq7fEz0+h5YsKk2P1HLsF/msgaSCifYuNMm3jA4NLkz3Y4SdLJVta1+ +xr/MPdhCsB8l+Q+V+NNuto6tHIr9F4qR8XkTt/vJMDnPSfaVOKk/z81W6DWm +GrIccDKAZA8bhur5/z9n7x3lZ3Fkf//O2V0MLMFkMErYJOMFoTgzAgnlAEag +AIgkBAIECDAYCQQKZEQOAtvkIHIGkxVRjqMZjUaAMSCSySCwMeBz3nO+79Pd +VdW3qvv5jtAfs4vZXa+R1E/frrr3c+VbqXqxO+vEtLjYLGNo4DdBMWPvEyel +4duI8aYySRAU83pSzF9VV8weMVsGAiDE7MsJYjYoZmz5a4medYs6BkExUy2P +s+6v+KMoZu4sQcUcjEg25MqK+UCjmPf2ZDlHYHZGpFNXB7KcUwgTcLVCWBhW +zN66X/w8RiBFLrOcSWFXtu8zHqbZpP40J6OQDQtMJ/aMX1W+emVX04kd1tRe +MVPD33+w4e9PwMlwJk+vmDt6OfEdKeZPehwdYk5OMe/PivnMtPGvakf2rYCi +5eFa5GXUCy/jpcjL8B3ZxMvw4IBg5X9tT4xBNWcJc9Pr3suSmKcVivkmUszJ +ivowgC7i0Tghs6KuQmL2kFKQDMN5dZkYl0Ax35Ov9nGQ/h4vNII8YMW8oAql +fEMUM5yPlS4Ve24YpqwMjiU+G7HPZyiUu6JiJvi+V8y7FYrZDU+2LxRzWDVO +EPD+Vt6hdBsp5gdU21+4Bnhosjwo5obiCKxp2iwhMfvH4vztyYxUJf3HN8C9 +1stsuPvju4Z5yWnszKAOk8O6V74Y5AhJvcy8hJv/aMPYaZR/TH6w35i4Ydwr +ePLe9XPm9AZ4k2YmgTZ3P8AWn4YQbFy1+ABsm+WVOQQjV93ZcBM8Cn/880nA +zyrX9wUb/8HfqCy4QsWAjV/++GfKLv0ff1bN0PpmVbO0vZk04FDkJ6Bqdlv7 +h2KVT3/V8taoWt5qnL91BnA0vGrGPm0kjYGy8nNIToTFjhNJhSUEOurUFgLd +ZNNz8keqx9I9J90yxGbl0lBdJ9Gl8euDOm6UYj779C9bbuw7FxjL55e5MMh7 +WZUcRz01Si2/X5WzzF51NztDelyPhIexHPzq1XtrOOXp1LLMli1923NRyHGD +ahkIcpqJUTJbzpo4BxApEHtJOhBaay/iYuziuRhjVm/nQQEBraWTfuJd9hVn +W4B3eTPCarGBbVMarhmpQObN95duK9R6tYYGMEDsKIE6Mz9h2IsmDORfBiqn +EOVU4o8I9ocwmZP3cjr/ERwZOc7QeYozFPdy16tObJ36e4q+lZEsFxTzksoc +b+IsZzGrlr8e4GBjvpBNSiNGK6eYT0sV84njIj7r6IlGDljFbEEAwBDCGjP3 +3eMas1j8uyCvmG33bzUWwJUEoI9Flh2om6HrSm75M50lWcXcV5SBYjE38B// +XxXqYMfij3+ofT8PqnmuJMV8M4EUhSy3JoZd5QgAHoZXLDnFzEO28HAMR0Ep +5tdIMb9I6xZQzD88bjqx7yaIgOJkxNSfe0x+d75TzANIMY+Kilml/2igho1/ +bS81Hdk3kRHpT4qX0SjpP+Zl/FXzMrxiXhDkQlsnF4xi/l25Yn6wqmKOTOYr +BzrsVuwuSRSzGJYyps5q3SVVFPOwn6GYVRnmq6oMM9OE2TJLJvj8U8XMrHKO +tlRXzP0p0sJdPrRy9Io5XAmnF1cCY/hRMd8Kq8bYXRJiLMBkFsUsTOZImHtf +MUVhteLTf61V+u/Hh8zMhJuqruLMd6TMfYs3AHvy4AbwCcCeeAPwnPkEMi2d +GtcvZPEPW8aJZFa6Qh6RXICZSwHWC578Fekz8ceA3BmzlTsjIGPcmgVV80PY +BNiDZyi2P5v/+H+j8uCTQDUznSxY+dcnxqTcEVDIGJ5PXoq+5i/A1/yJdmhY +1TydVXPsN+n7VGbWDPS5zqSahaPh55HY+MbzSEqGLSjxNnvVTAS6xWEu2dUr +LU2gcy6NDismqp6TSKA72w9pwpySe7VPVj0nMm9eNUK6TnjevNtBnRLFvIn7 +68Bm3nx7kM2z6K8vK/77B8XPf4qf50455ZTfFT//3ZLK3m6Hylljv8w6N06F +XcJJoLAtlzl62GG34BrNrvqihDb3sQSg/e+7Uth/N6nAN/wnsT/4nHs91xgU +tvv9J4Xt59Ezl0Ai1P7+vyjM7thmAg6dpBWyZB69PHI02qtkYMk8WhS2+33V +KK5AFNQS42iqewhDuQDsDHUP2yiJcd2aEKjmZhP2Oz8JfueZzXF9F3D3v6g0 +rQFes5cWv0x4zZ/O2RlgArumvdkyk9ZMjX8nM2nqiPIJ69qQsD6WU4JuKlGN +q4GNgCdXPkhIdBdU3uW2E9UKeAvhuTgv8pBha5iuKEej220F0ega4bsKKrvW +NAO2oLIZvcU1aBKJGh1V9tgqKjuREHZNnVPZZWXBbkUtKpt3NXPLVfZjNEjA +9rMylT0JNtXn+k9eWK+NUdQtrbItqhwbT+iR6VHl4ZGJKnucqosPTQ9o+Y8q +e3Oi0YXGB6ey58WZnKjsHI0u6dEWGl04Dl+9vKvp0W4jD88febXNsgNaAX+A +VkAfpXLV8uNZZY+IMAFhbJjEoFfZtIZpW61X+w4ZxpUzNl4zjI0lPjDrVHZ4 +bDZEjjMP5mCd7Y4DrrMTKl3fWAR01cCv0+YTo7LL6tNOzzSfYEOgik0plZ3B +nJPKPgRUdr5TO8qIMKSZqc5I2jdflqZlpxO/QlllM60x5gIYteTMmXmVTZTG +Budu+pW/Fk4rVDbj+6c0Uac2tGR6GOmaeB28slZxnJ3KXtUcbgNnZvobMjYk +MRj7YLWXD8n9u1V+vD+gZMT/fIMh00lTYE1wc9AtEBpQHGfD3QJ9SlKDyCOF +ju3EA21n0zcS2vwvyU2QOjpmqbLMOdQcOGNP3bf9LLGdk/SgR5mXpQfDzeCP +QLWHJittOgItKu0LMq4O2719LfhlKUEYlfa6hMEw6OGc0l6dKG2mlXUy7I2g +tIxPdj4Ty7Bfmbb/C4MfupadHeSH7sp+aPdYJWpd2pIyLuVvmJaUHuLsKJ9R +t+vZOa+y/99/bzpq1KghhYD+/4q/6f76v/zf3vTUMWPGuL8YXvz3fYv/0dbF +z4PFz6fFz7ajR4/+rxb09v8WenvsWV9mfR84ybb0Df87XeL78JPsLKsu1dqH +37kuyY+6d9bBj+S09mpoYNdvrY6znFOa6c5zU7pzQvq276w75Hfeae0uwqy7 +KiE8M7OOp9k1xvvRS7wfI4BJiC3bSHm2C3D3Ud01IXH4BThVSl3ThEURW1bu +b7YQr4AkmNsca9Uc8lYAXsr/sU3i/xBa/ishVBX4ddDF+nAshRBRQRtAj7fl +bPb4yLCLGcNCaw/t7jOGXwzoWfm8T/SAMJXjk27gm5N5xunpPEM4oFdQ7Vps +4V4DG8BVQub4ayRztHo99E2R0EhYdvszkgBoRiAubkNqPuptQN3ahkGnt/9w +ih7buTft6Jb09tWot7lC7f2N0ttdCr3dlfU27rgzenuRbRveML3NfSk9sS8l +U6TpyuaHJUWabIFq42HnJ3ltAbBzcor6pQ4BaRT9eU3geOExmN0cWF6LCXre +AM9OPgoOfI5kjqC3TQs3Pz+BzPE9x24JVPMT5wzL2gWd3r64o68Q+m5cTXEc +Biq97dsGSW+z5el928rdNtfKfQu0ct8NltFHfNBqlSJ0ZJh2hHRkHC4fBV6E +q7xh7Tuhfk0o0CQ2GIpOevsa1tstVLAlentMpkzivFDDhb0pbJWKIuPz8loh +dUzeSo5JdymOIJuoD9y8nrxJU719d5b32BnoNbz1CeeD+4RGk96mRm5o37R6 ++0gumG34TXEuHNJ0R1l0nk9JgitoBDONe1Po7Snjl7WxKmtp0Nv1hd5ezdMX +fHIuoaVO1g1Iz0xi///gsjPTfyMk6B/K2EzjulS+O7um8u1pOjvz5eG02xwQ +sjOf+akLp82HS4fKRzDZ/lCCBedG3GM77Jm9Wu03be4QbwPZcbaeI10qgnfc +fZXygzwvx+Bvpk8lMpswe+g097U+QPCVBAjEJWjp0JkmwpzmZnIw0h1ybYTu +OBzhbw1bUv+R7tS4571Szd1Ptc+hf2BlpXNxm3RCcgc3eM8Fcse8XAbx4TDh +XGBI0YuZecek6NjiHSbcl5ECmyyaO0w88x0r0Reic4hWc+f09i+CYv49ae0g +s8Pfc7r7x+LvHepEt1fWm7j/m02Vnt6xcvrZX8aZNfmnhfk8/ue5QqQQ6loi +2bmWHOOh9iQ7lTjUWtrpAve7OuAJkzgUPkfsmuS9RSe3t+Bk6ZzYK1gDc+u0 +a9JoaU8wjM6QvJY+n5whoT6ipmRu3W+V+107Iildi40pHL9Cqt1vfPwqYPO3 +8+Y5XgVy/OrqNbo15d6kdG0zAeLOB1gBR68SdwiYSD+xe0FTGyGVETyku7Ns +dt0xNqicTYS75Ct6EDH1I7OjJUJoEBjOaHp+HOb5iglsUondgzGF+IQhhHIM +a7GwjmburlPbz5SwoZM2lb5xSiGQgpLGbqWnxxoA7vgW9LTAb1vS09Ew6oQC +R6w6z1weoATFezOrp581tZs5PX094YmuALPUBLUFR72g9bRlQ6Oe7gb+0d+R +nm5d6OmdKqP9RnzbGCloismr65sCDv0vmRXOc4hEJz29JKOn37J6WlKIYYCn +9DRDDF5gWG5r6B5sS92DwO34M8Afndn0KjoeU8hwep7T0wMqnxzk9HQQDFIa +BO3dOo0Y9PQ71N4d0og3RH5HK8vveIT4HXFop+EF82Vw54l3v47Eu5f20Yzo +yO94R+qMA/EuhnOREX2t0dOqVYXX5GIuzetpy4geeX4eb8N62jZ3H55b85Q1 +d1fV0y+ROHiKVp8lrfZOCHgRgDRIrae7taCnw3qTmTa1VEHr3pm7F+cipHPH +rN5e3piT6Gq4dg20qpCeRkb0zGYh3jk9vbLZtM/KNtP4Ba2eTjaZwIn+k6be +ea/gRGeIAqfIKXWVrxN6k04khsnK0MondUdSuuZ4ih6combY69TRmCwVnXGG +7eh3kXsaU4lPQpk9ACD983Jp6Cc0LA8m4Glu9DsQyv0AMDafVMXYSPKGbgnb +U6iOgkXZmDl23mcNTGF2D+Q0ddkc27V8J80rWlN3UbyHBYr30FUSito1UCe+ +3MATrkOvtXhztdc6JeLlG1h4lm3ZHtVY0m17dhklenmTcj39P/6/brJ38a8P +LrT07qNHj36x+Ov3ip+tzjrrrJaH1sUL+Jwvk/rBDcJEX6xNIVpgA/yu+K0d +cmvA4DpxEFDR9GTyxSoYUnwTnk0p8NCHFF8JuGhEeqDArin9LQ7PploeVhtj +SI2fOlwPKwr+baU6QoEcaoF9IJh/uLTdFngP5rRWg8VGlzB0ocTbKYvLOKwI +RSuBb/C/Gfv1phqGtzauAwUgas0h86LnNE7nItNAWbAfAK/prSayQp9VBcWj +oLeEFt1qcFBPsxrE4qqwGvy4dDV4AeCRLtc27Na67rVR1b1CcJEjK/A5de0T +vBL0Irsz4KQLRaGqXgGOdzV9Qi89hCZz1UT2qRmRjcksRCBd+nWgf1UT2Q6J +42IL7uxA2b3DHTkjlRPZNSSyO4vInlNdZEebqe4eup7I+bqAZT84EmmfPVUW +miSCp+E3BMrNERYnTWJiZOOOxVtze4828JSbpngUrqbcrhyF5lRkCxyvWcPx +pKnTwPHeXZaK7E8tHE9QH1Zk5wu+f5TgIqmOS2lzfmEQ2V96kX1U8JtygDGH +/HDvSr+ncdO5tPA7/NHnrfid8Mf/YSWyLSQPt+Me+eGbj1dFSN6+azKQvHfA +i2pEdi8S2YoW9o2mhVnuQU5klxSxIClMZjyX8ZTuczkmw0hNiMi+O/gPSzO+ +UsTCInsRqYZZCRIn9LRRXGHRPYU6uIMmbiSy+Ywsc2eEcTghqhBNVKOh7Dug +cA6x1YX+bNRKcy0/Ph008tRCZLNxislP14Bv8B4f5A2GqWfpLHjPYLO/FpzI +XkGjl7DGNAHGkvrCbzjA6Cq4LFraG0U2IMI+pi6xC3q8tAsx9oYaw+4cYhxB +sDwI5eyXsWTvRpZsuBEEmCfwp/vBNhjj7Ms4z4tmkXZUaegj7XH88hwkEyIv +8j2wZX8E783PaH9ThbCOQvv4cqEtx+EPGaGNhpGL1yf1honQvtXC1fKlHanQ +bkxQEZ0QQwyhRjXmVKHGWHNXtxCVGIfgqkH0Ig4klkRf4O3Z7bOlLadTOC6P +n27Ts+sGDa2DyP4f5wx5q/h7dxQ/9xc/bQux/f9asmE7hX3qH7+UQONJ52n8 +x/ETNP7jKDW6hsIdi/8Ay7VY7UVdm1Dj9Eg6ikHVEnVtHlB+fM2/r6Cu4677 +abFdKytIC7ZrO76OwcZzKJh6hiwfmLXLcoIJSVFd5zHTI2Rut7vfg4906nr1 +DqKueQ/OvlPcg0tDBez+EJ6HdhAFz9sAO4gKOCJAjzrc3Aj7xzszSBDGKHn5 +0CWMsAWiByFHVws7oFflc4+dHlB8Tw8pvqcWpXQCYUEY2W8s2BxxodRX3I3r +oUWK7OdQePCfhmrYemAisO+Uoy3UUkHLP/x+cqprKipsqIQVmJ6Ugq9X2CSu +gpUkl+LrQiPFNUZhw747sHX/Xk4Ko5iCKOw5UWF739zL0FeVUdjuk7eEH59Q +Ck4Ke79CPeR6itIxXTWFjR1F+/j1t3NGjaRtToDpbVO5oMltc0IRsudIAhkn +9BQxTE8nEdgdFeg4m1RWFz8u6PiG73gLNDEh5NBWJ310wrEAmN73HqbXLips +Uwj+owk6osL+9o+Fwh7BCpuakDuywj49iwgJCtsWhDuFzRuciAhZbaB6KwkR +shyhehmFPXN37HyLHlROez1WKOyHSxT2bYXCvoUVdj9S2ANTqN4GKWy76bEK +G3uNXOoHHqKpwn6vhDu5RrymB1C3kd+MisJOoZMhwWUV9p/gFUqrnmX8CkXg +JIaB45qnXGHXgcLe0xOjRjXuUjmluCYEQ00lRm7DyW5B30Tghy+bS8vtDFLY +i7TCluWmCzyuCFbsD6i265PiCHzm6w6htosfl76nKJgE/5OA9cAaMiVYQ/45 +rqu3hqw/HZaaUnRb3Aj9egYctQVFuequ2two2yKpL6ReIx5lXwO3QrSHrFbL +zWcknKPsIW3CgnNOu3qxhzBkT6OpI5U9uKRIZfcsjoMKPzIyJN4U2PyJeGpW +2TyTKdvsWIvIsWIwWK8CkNGWTRaRG8tU9nsl1XgM3GsCY+6qWPbhwVPLCFO9 +0JSJIzpEhyDrKAQZDAUWVc2qDKF7WI1IKhuge06htaeSF42rzuNDXBAyp7CD +Dfu/jirU9Njib3iVvUlU2H8jW7b7+R/3P9sQhX3yuC/V7xcaQ441xhB5IVlj +iJisgzFkmDNZ32rwL3dyteU7KtA4CH4vNQYG1hOvMIUfAYoL/KtJW36suo4V +l7ULAAOzmNU1ryXM7BrU9f5gDonq+rS8upbZNX8umUFqsdSUXmncs5ATAbQX +0CEu1fXLwE5ossHGLeXzmWtM5v63hM2bwYfIsI7SXJ9ZPLVfCbaCJBeFx0vm +12K4xvk1R1tGmYDjQPc9RavdoYBdYpPICZL8cgM9V4Woy8MnwSAvrgWx3GW1 +1CFmQo6tFlbmtXaxlhQlEqcUZbjqwE24ERU2pLn4m5ko7JODwmb5MObnKGxn +uuLISqKwy9srGLUTJg2L+LyIwoYS8VoqeEkV9jQZJnRWJeIXicKORclciXiS +UdjVeo1qpTD56MbfUvbgV8WR2E7hqic0hVCX4KqbtiL4XmBPPmiaQF+GuR0/ +OFFh85GIClujRD55vURhg3cqoETaZVAijkgGD1AKOf7rEmq/mFAo7HNZYR9J +8sGGHc9UYceQ7Y2prlgofh0p7GmksCNSZDVA+KLCjt1wQWEv9FSd163C/i0q +bECK1ERsdQ4pElynhK0uaVeeeCS4Tr2k+LaUQlZWjViqsG8gYlWmC+mQ6XxU +3Jo0cHp7PpcqbE5xdc1UI7LCrgPkTq0KA7PCDq0Gbs+DCrsuq7DDC/RQKBMf +2hCrEUdwNWJju8oJxdk4uVDYgq0mwtRUOBN30QBGQfhon7MwKOzltN305PbV +EHZcngs7sllk18R87ecu0wOIzzEnf7AgPr/V7ExYkZpY9aW2mj0CitWV4/bp +r2B8/8jOsQ1aZA/KAntGJc2x20wV2s4bJvQY2JSpYcTlERYDZWeucCmh+wi8 +U08icKpQ2c6ELcHHnpD9NSrbZhHsWgdn2eea/G+issfpDHAafvxaYUaG21m2 +v01g1SOugr8lxeMYf+NKvRxqpAugJmqqBCBrF0Qzdq2grS2k7xZQaKCyl5MZ +e0WE9MVSGFTZZ4pxxIYgW/eqKVPYTxT/4vuMwnb/S/zz4oYo7M0LhX3S+K/k +92lkKSrkW+UKCd1V+DraENt1/P0T2/XDb0qEUdciloRY0fzjQqxzjaH+9Yiv +VupaoclvB+s1l8dfo63XK6gecUVwhvDv14ar60NJXfc36how1o2BmTCS8uGn +EjYkYfnScIKLX+5L7NebJ/ZrrEp038+3DJXMTym4YnYuE8niLtBPKp6FNfgj +HGIh0x1asK8282sXZPlD1zC/lvKsAyTS6L6ln4vxLlM568PjuQKtPyYFWsGG +Dd9RVQaTK9DiWONC34bhQiyCESm+n0Ioo9B4Gd56GrVfyKCOFXaVQphcheIp +pkIxUdjESJDvIijswXdBhSLIBlbYvPp2U4ZOPJhzCnvubKWw51mFbQphlhh8 +7zLCJNAGXCtsPBox4TuIZnSqEKaB41uQ7vUKO4DJWGHLkWjiI7FVwFs38ZHI +K2wN64OVjlHYbJp6bymsdbIK22FEWul0gqR9SWHfF2ONP0jhOCpseoRe0KVQ +2LVRYcsfeVbYtk6xUNhijuIC8isMTmSaZLlSnAhD+57X0L7W4Sg4Wpln/Lar +98fhNVqNy9DOK+w3AdoXGJaqHcPkuhhzfcWAVGGrXFcOc91CMYwobDJT+UT9 +VCCVicL+UBox9GM0GBH72HUPHZUwkNNVijV4TnzjxfTi516ij2VwO0Zhd5Sa +0dPEWNgbor8hqsDXBid2eCDTXtDvIxt3lVWnx1w3MbRva2k80NC+gHv3V0Rw +TDmFjYF3wYlgATnUKXI5zFfsHTR2bN5s2pvh+5xT5CzGXXdTuOvP3dwlG86x +hQdcEcZwKbgZ2JLdlinwMMduhQC/BxT2eqU6FhzUWUgJBe0jZNiUU9lPZ2zZ +4dHJhTF0JMrwIkzYGZLBXx+vbw4pINlglb2+usrmjSj2J4FKOzSjsqthsHmW +HVS2wYzMyUUewZ5tUdgK6hdQ2DUKha2hfh0Q6rfyAqletCUydSuDa4Tn2a0y +CrslxLVTzKMu+CqWvEwog32gWgaXRxlYzxS9eJeH8lC/FUOKXIv4NLg84MUT +QorLA/AD8dVzCflCZS81r5eVvWi1HJw7Ri3DLHr/FYywPl+ZRmvoZdNdqWVu +jz1KqWWhKPnVNia0CLJHAwY3fHPpbgZ/SFfcal5vb63alXXzxeZJ8wUTxhqq +uT3we+idc4UsmLFL5ctXALGkvoltYaUdqGL5yYOZR48x38UWQ4su+g1FMFyd ++H9kMN2TPdUI3eOhHDvoUqhpmDqkwcV5bULsO4BACLznd3oU+e7qSGO03ibF +bMF7TjH7KUNOMcPA7Y8nfVtaoWiHbUc5xXwJoP4x0j2tpHScZEA/U6Hodjnu +/HScFQZtSjFz6fjzGcV8j5iiulZVzG7V5gYAITUSFPPJUBPHcDG71Y48nCM9 +YIw22417k2LeuXJCoZZZMesVTVDMNzdFHs59zZlHpAeObSYRAz4Wa+hYBBAI +rGnYUCqKeafKp7N3FvpYAO/lFbNvYTYgkB8EBAKmKAKBeMV8Tm3xkOxfHIEj +4sCtU7li9n/0f42KOQME8YqZ2zA0EAQVczgKMyuLWwMQBLrkZgiArykB8Plj +keuT48dkmWI2AL6J1kx6UlzbJIqZH5ZQDHOUkODLFPPHSjFXq1I8iFiuLoLQ +qbhqUsX8SqGYIX+wIJyTqJixGCZVzPuvGEcrzTM9rSCpUhTFDFWKDT0BlLO/ +1IsGxbyj7wk7h9xQU2h1eX2TAfD5nEGoFH0lgnGcYl5aHAtfEeYQUZK42bI4 +CltX1i3ZJgYYlbeajoCkbdrEtA1A+H6cVvzRv6H4o391cTtcHpwf//LOj0zh +wQjGXXcPIcYciM+XkY8obofjFKcyzKXPTv3Vbaf4tlEN45sGvUn3ZR6TvLUk +X6CHgyyKnJzfYF9Sk6G/B38gm6HuL46EX9UcRKua3hxmjEkcxkeV4a91aQzg +rxklVeIAUXxKhcCmjO9UUG03WdX8QcCz3a3xbP524cpFhvO5W0YwyPWU/UWl +tliDQuZE10CoXSSkhA01LkhVcy0pthBdAK+1xyKXAfrGV/YFWAiqZnaB7Nqr +dqMU88gLv4o4j4vAtYFpwzI03vWfxMShLe25M1f0EoxqOnG4JuuJbvHlImUv +UI0oZS8wW16UV8tZ5wbPlm3qUM2WR3sgi1XLsVWZjKBS+sI83k6klgMm73iF +9nCfv1+qUvGp7hNITCTLNHgKvdFr2Rsde+KkKrHMvSFoatzR/cqkD6M/mrlg +P3KoG9fTl3cQN9x35pv4DQe7eVfnE4i94zfRI6rLdnVj9DdRzKIRmxeMoiwX +rEf6UZU3ifWJ5IRrszysrffQqI9nDepjOqA+FDoPGWGHfBNQ1YdnCmFYMVs2 +mFXM7JgSxexcUl9IIUy6mo6l46UVig6dV6aYX41h3fkhYRVWaroQJpjV4uyM +S5XDgz4oZklZrTxNkW/Sx6RVzAeQYu5o+pB2Lh6S21ZObYyFMNyH5BQz0trv +yhIkWTFvSug8DuVaxbwlKOZ4NCQ6QFJBUB9eLoT1i19jP0GF46iY7zSK+Vos +HHdkybDSFsXcExUzPRQF+REei4pr0HZS5d02F1feaR0LyKNiZoSeW1ffq5Af +9QlCjxUzc8OWEau3PijmvbVifiajmB8oFPO9CbL6M0JWU5XiAGoYRcVsmjGS +KMEGVCkeBd1JUTFjMczH+fJxIuP40K5XzECapNCuXPdcpegjVWlit04ldvFl +eaU3c4ZzMqG4VsaJCTAo5lOhZvQYwUuqKsUGrhhlZPU+ngg1srg2PMa9MYbV +BaFHURpB6NGZMMgPp5i5JqwJoKq5NOI/aKbiiDcIgVIJGp9PjxVh3sBk5ina +32eKyIcfWPlysEvQuHlKbygwKJ8zf5SbM+9xPnj8LpEowVsS1r2NYFB3G5PT +UwYINVPT3NtAZxJGCNrDfIU2ks7zJxGCHpaEw3OWL2OEoKxlFEtjyDNtVXPZ +rLk8nfi1xlnzrNMUWge/7buJauai8v6gmnlF445PN3EDGBTInBQFEgtEcMYZ +C2Tqct5pH9mpjtjrWBy5Dly9KCUyebT1rr3qfrZi3mz7nSrHXfRVns1xif51 +joUtRPa0LozE4/yuZwtkaxHh1z2XDPWdVj5Ird00XSVBWF6NWLsAXysboJaX +GwjeSnZiWEbHKWToHGWaK4YrtSwFLr69wkKlGYTXmjgd21GS8JchSUgxkavI +6xyJuluC13nzxOucwPAYTgDRKRyiBTfGjqVpQp4v/6AiU3tmeR1SmHVBF13o +ksyXQ6JQ5ssAmXaOjI/ZkcGdcpAfWWf3bm1w73Zj5c1W08DZdr+0LnPVbMyO +QGmWM3ryvo1R/u0jt+Mx4Hbcy4M0ARR8Ji0WASf6jWRFWA7EcpdMe4Utdzkf +MgZTnGL+OijmqV+oCsUhVjFXoX5hhWLHWUtja8XcWVoxPxcfmhuqmFdMJpzN +eGqCTctdeifrl8iJ1IqZYdO/I6NSWx+yPbFQy04VxAbRrUPIdg03iMZ+o5xi +fm2tPhoriNvhjZ6G2/GeeUwmYdsq3A4+IlEx02racDtEMV/I7aKFYj6KFLMb +nsnKOeV3eMXsLf+hN+7dNmxKMvyOVgjJ0/yOeuF3ACSvdRymOZPSXFbM0COn +jZ5aGljotIsE3Apdcp7FDorZHhE/TDOyINeBZEtelGJmym5SpQiK+U43uHkn +KXnpa9nsgrlZUq6Y55Up5lszu5hwTjqsgJKX+tOTkpf+UIA0mKHTDb2Joxpe +lCEms3vxmmzt+wicie/sRoLkrSZIHpUecbPug7R3eRaC568Hxcz8dRUDULlz +vilgruIU88shXPtP3EI+0q7yIz4Y/1Sl8kuwqVxE3k1vIAe4hGEfSRjynBnL +yOOceUwEUO99TuxCahd9e3YNs7YVto1Wc2dE/958fzTibfHqXqsTEDXfGPFo +6Mfkn3t9EvmRrqag31ea52Fh1AmTPfA8sGpxgxwaE9OS8iPYJQAOAV8Hkqjm +tApEq+Y8QC9NqDHXY05MHL6eJg7rVBkMl1sHBVfjr6OWIXph3jwFkMahEIbZ +HuKHrh9b2aX3xinmYyZ9FV0Yk03lCqc5p6b1hppkZ1wY+ELhhz2mO59oVq8U +4eQTb0NodrNTjkq2HF6ufCgS99f+nSVODPo1Xn45pDpDKpB/bTvTHL8bmTPZ +X+7V8qpjCPQ9XCADh6pCcaxg0aAB5uWe1Li99E9g39uV0PeWtirrvrfZzbx2 +zvNyoxvDuNPMyhmrD/+J6UAH4X+AIPxeCuwTvoU3lPmXazw4VGVBXPnV4FB+ +9ZlJCH6KReMexj9SGBzekSEMjvOoF26iLxv3O7c24GFudRuso/E7iNUsM71x +c6E41CKH4+V9WDHblGDIfyjSXS8g3bkhmvvm/V7jpCeOKAENnKYjUA4yMAoU +8whSzLxq9ufuOqOYgZMrEuBh9x1LJYBWzCIBnGKuSRTzY/DQjDjprsIYgHoW +UczjzBomkryiYuaN82FJI+hwIN0FxbyHhz6O9Mdj28ppjeljciop5oCT3oJW +L/9LpLv/JdLd5rJ6UcFZVMwqJYh09WjvxwdlNCwBjIBXME4xc2sRrmE8h4Mf +loG2Lor5bFbMw/0ffa+YO7NiPlXxONYhj8PHoZB4BzyOVpp41wQ8jnrhcbCl +f4ZRzEtFFvDaJcoCp5jfgE44DSAIivmjZJjmZUF/ikYd8vMUM8oBVMwjTS3i +kRukmNdVrUXklYyDC3SesaK45tUDM56V15+jgViZYoZaxGWxFnF/UMzunFRT +zLHCKGKl4w5mDx8sd8Q771hqDFfHxNVEvPPtXTFQPp28/czjeC2gmpxiXgj+ +vQB/3DypRvSKeX5MuiQluc9gjpyCsnflnRnfTwnFXd9BcZd3ZtgtpKtI7Etb +SCTfcRl57bHazyxzlbMjtsY/LKHNqM3U4pa4zhyRuxRmukFKyRFX44CQ84OH +D9wZM/ZoTFDTEqQlL9+DkC/3qrk4GrY1VLUYVcFNTzg+qubzRucLyq1qVoV6 +GdXMvuZh1VSzm8vcFR6bwnZokUkcVXN1ahqWlb9AR0t7m2sXspLjBKGL1rCa +KyfidVxmXRoTspUuu/TutlGK+ajJXxE7Y315qUoZme7WD41afk88y3GeT2Xw +SUrT8DMMnS73OlF479ct+5l9y/fDbFknNJ1a7ixOjMvk15V9y3a2XFev1XJv +TxY8xn/iUC3zbNlHNlZZtcwM6D2Ao7GdtCN7kyYk/a6BpN8d7F3OgQOKb56O +NbkdWwssDbdnEyzXLpUvXDPyK7uWpP2K7yDHme6GVfONsG+7tGPln5M66/ky +lq54Yl3xLfy9y3Ugap9caq40/IA4PfgIpgeKqcEutXa2OPx6MHCWeZhfiE1u +jOtquzTZs6lvX6a2ivdr0yDH4eEBrJgPK2FDs2I262ZRzGXmzAwbeshtJYq5 +ZGgWFPOy4psVhmZdUTHrQkMXdK5NCsRRMUMBi39gTlCKubbeFrAQG7peF7AE +w5JGzaSY9J0CJr0xNSs5SlfEpG8RMOnF0XiUUk7PG8W8EBTzaoYJJIp5GwEK +uAclG5Y+g0AsWvwFmc6K+UGC5DrFfIdRzBmuxnfjunrF/CUpZlk3dyaCneJr +/IG6h1gxXwSK2RLs0KwZhmg5vsYylASt6EgoxQyMaFk/g2Km9UtYP3MoNlXM +15JivgI7ipxihnBsVjEbXNcpJY/LIyenilkflw89NDU9LrrYMJqY6ovjEhRz +F1PEohUzvS5NWZHvwwUGTQcqYmE8k9/F1OsiFs+IXmUZ0VxSpAl2RzfuVZyP +dlIjMLaRHEtU9umpjkiwo3PxNATE5wTFTH3i4dbwM5bNK281bhHKWJZzGQun +/1JOtKbYtVVVtz95Z4bZRF5iNpGWFU1z5s8PpgQgs02xlEW1B4wy3r2zIt+U +Q7LtwjF5u42m2a31LQJl7ozndPcnbCVbCsc+xYBH8PNl8TPuBunDxiVew8Dc +ZYhG0FxIvI2yWTMamJRqzjA3ErKdnTVzBCCn6jKqeYCo5jVZ1jA7BTqRU6Bz +jrsx96V4FZGiq80lAhfZGWhUzV2XsGoGl8YyKkIsKW3ZufcBG6WYj7j4q7Qh +5YovVUOKc7wMZ9LczZRAUi6M97VnmZOWDxnP8pMBAWR5GC6hVPNqxgGTLcN5 +oZI0pczHOT66X1gtE07LX/tXCVIL6whtyk+r5ROiWlZ4rcF+jRbVcgnTuXEP +Wjf/yncbn4oQAFNLeAMk/YIc0CBb9i4jea4+Kwe2CnJgKe3YMgZNWTerOBPk +OdidxjLgZkhB83zZdbCN7xomB5Y1dEShlg8jh1o/k/gDomdgZIyEWonIyHAD +tvcShxoaOKcJ6DaYN62H+cWYgi4Us/Qeq7pCznLE6ogyTsYtvWPJq2ILHaYL +Xl0nG5e7WghAtk3lQnCdXQaOs6wECGeNWc9YWdjbVBZ2NYq5xirm4M2sXfAo +PTjt3AwVM69kJnqUfaqYmfXMbRF5xcz4GM16/q1snV0128nFETmjEcKwmebO +26m5MypmYD2vDWFYtPevNvb+lESnFbNbRQtC5qVWxTFprUl0brj2yG6hu+1+ +ADUSJ+OH6/dTnIx/smQ4r2tl/Vl1pJiHCSLm484pke4DVsxEpHsvIdJdLauW +0NP2JwnAshxoJMWs+48BDNBqoe9re73NCsJv8UNytSLSPdUxKuaHIRSrJIFR +zFP7a+bzlFLmcyEFKOJkayROMcxn19U2gkOyhJWJ1YUZ5vMdplWFFbMJyjrF +3NUp5uIK6jKLFfNsWiO/REOap2kIRkS6RDFfr6mN/qww0PRsvw6O7iV6Wa5C +Ih15/aXWsw5ojXt7xexcSyfB+bgQagFuAKzS/c2RlyHpv6CY5+PNoeoL817m +TykfXuplfgRwMbBmUcwM2UZ2jW0AkgA8MCQAf8/byJAA/CyZM4+gRoCwjUz5 +z/y4pJZP1bISUoD6YXkvzFgeFx9fFtroHpVtIrTRPiq5eOgJKh7KYdGjatYB +WeRnXAL8jEl0TC5ULOjqdeGlqnmiYQor1fx59DWTah7KtLOcahYmdDXVnCuY +tmk0yxFmZUfeZuZCL8hwoRdRF95iy4XWVYfs0mhPLo32xIbeaSMU86aFYh5+ +yZd6rmxYGPLr6LnMH2fUMuX278l4lkUtN6uEX2SThIe9gDNnQR27ZZPMfVm9 +ROpgthxWZPfLK0QcLzJbvg6cGJclalmn/MaCWh5dZbaMBd62X5DUcuP/iTnT +IbNGFWo5cjFCifek1VystjUZNKG9OOE0h9VaJMltGklypra1jI3hv33GjRHS +z2Fi8G/VhsJ7NjBmXlMyNWBu0Oi60IgCRLkvBvaMu7ae6TcwGDmp7RgHbNCK +4t1pbaw7zcmEsGdbk+U2Ow8zNKNQzMkyg2L6mVJ/Xf6efO849XcLk+X6Ayfj +0MhuZikQq1u1YsZVswIASE7DSIDrjWJO2M1/80lmF2XSuCyrmAOQtnjZb5Bi +Xgyb5iXX+ce7VgEXFEcmUrOsP1Mr5iEZxdw9sfgf2/gbpZjHkiKYYFYwN8oK +ZovMCiZUccYVTBymWcWMdZzuUYlkuc9MKFYgAWTz//6JaFxywdj/MFkOrP4/ +XLef4mT8cyIo5jMLxXykUcxdWDGfUs7L8MOzyRR+RVDtjbEOQpWuxXZjp5hX +AsNZzJpeMceI06xSwtxblSe7tDBE6/0pMJyDYvZyoFDMlyJhDmohgt0fUFok +A3xLShWGc3XF/I+AbfpLsP0fRor599CSIor5uUbBnYewuVPMuiUlKuZnjGKO +nn8fS/KK+eqwHvbXzEQqSiMKYz22pJDffxX2dBKBsaGvci55whyxZI73a8rg +WjqHXpTCy4Ca2vu8v38Llf6bFRQzI2RC8mVTFYblm+ODxMu8SyT8J0Qlk3hx +G8lp+1T+7ZwZriDoig7+xnAs5+988LU4AmNr0gQgz1eQqNST5ytDoaX2OAVk +/MB7+M6KHj4+KtSa8rZvTblaugnfbH1bgpUJ7AzNI42dWvNjmVA78DQTZQnt +/k91/lus63SeZs6OcwMAeZr5mHAS0PMzTEjWq+aj8mxnLBVSqpkemXhkkKER +jo1WzUfkVDP226luO6OalUMjhGmzCo/8gZ1Y4TFHw3YWUjKtbn6Jt9k5CBZa +zvO04sd6m68Cb/PFZCZ0jSoTPEtjx94H5hXzf7u/3tzq5Mu/0N4LRcAwvDik +K98BGtl1Bru0PjmVda6vOc31UReNEJZlWq/fHTX87sBpPbUD1tl2QHErsyv8 +FvC2XA05yuhWZk9LR3Ar11GVeqKR61kju5bAwRmNrEnLMfLvPmy7eo1saRiY +77ueYv/KsWxAWa+sdR+6iJZFflyz+9JRJ5SK/Zs+blwwy4zgOZ3x+xGKh8NU +GZCyUy0Vo2tCsFe9Joojhz1RQ1U3t//qUdjpQ0Oxd0toT7F3aICkn/s28WHk +ncvQb9J6QZgPFDp51m+wQTDN+qFOvssUOXhrJm3RPBkISxyOsrCsWOBQjcDM +aFm5+IXAvCEdJ3msrPeQAVZW6eTYcVLnofOPQI096uQblU7uUKKT64SVpQnM +A+vTjpOwgDmQnJmskyM45kSHWXRQ8sa4fLl4g6HksbIeMYurcuCY+jKeXFg+ +43PS6uR/G52MPLlSnXwRYQL+aHUyBZm6VKFk7BkpGe+1m+QLHdxR+Hsbw5UT +nXyX4sqxAIihJlo2+9qfBeU62ZQUP5kjMVvsLHDlpuLYzIaaYNE8fpRBzvIS +5iwqKM7p5Emok7+KxcQ3fJIAZQ5DBKNtE8RaIKWT5cioTqDqOjl0nXSirpOo +k88LjZv1Z8oYRpGY1QaGz4nlyu3juXJu5HIC5WH/ADr5CoiJS+av+Hkcxiwz +gk7mGyRMWTZVDj6OwIo9aZ6hMWf9GA4UA7cGL1gQEpNLhnPuT7pmybnnJit9 +gKXUI0xW/gFZF0UfRRezNGKFWyO695AxpxsGU6P/c0AinVloZfZkLFacOYVf +3C+4+Z4hOrPFL6r8X8a2xNSMy/lGQaAMLmKOhwmMKeBUYBlYyNgJc04rs/Ib +xpMZ0crFrdOSVn70TaADW1IzaWXwDsRp6IbkAM1ElEkOoJVryZtRYx3Nwp2j +XpTlsRdlJ9LJm4s23jAvxvArP884lT8NHCv5dftIeHFqOq8o19apvNZ/knSu +ryH2o7+CFAzT3CjMuFfJEU6dMvNMpwz3o1vStZBHMmp5eeTGtYeJcteqajkU +COtO7TK13FHYccd4pGwrGpVt5+2Y3KvNkf8rjQyo7ljWlkzmx8Uy4S30VBmL +GOZGOlBsANxVJzcep+XydCxhSKfKYsXMuZZHFj/M1jy0e+XzQQcRR64fJDhy +5GUk0o8NS2hJcDAZI8OSy27Vnkidyz7vvIj6SjKNgO11auPhugwdAzPORKD3 +VKDBhj5/TByXVePJJWUMLm9r7ZhIYLaKma9/qDrDzhK+/v0GbI6MyVAx15Fi +rhPFTGTZxUiWvVZqfdCR2YEcmV2NYu5NitkSmLUSOFDS/xFMHnoZpManMZr7 +L4ajgg/L+zOK+VVSzCoK2+yOyqYlPDkNj2G75uczShTzU3GcFh6XjFwMlfQ/ ++uNCpZn4wLwoZP0cWMvZlr48sjgSPYdmFHOGkiGKeQIo5stIMWPR8G1eMcdA +k140W66ch2e1mh9JzLutMFJgjSYxu+NhzP1Sh3ZQrEPTRQ1fq6IGpZiPMVVo +UNIgRn8kMSeKOXLlECijFLN9ZHrFXFJV/9pK/8jsMiuSmFPFHLtLEsXMNJml +V5JiDk7//ci3FLtLTtFcufoMV05eljWRIuO76X9VKObtvKXvbODKXS7nY0uh +x0wnaD+fjdfWbuYUc7hFaOZCe0nbXyKYRWdPQgdzxo/xb0MftX6Mf+f8GJQO +V7k/z5frUfnC3Rp9y9x7jqd0dFL388F+8bi8Dw/MuIiJZn/ZSbZmoMx96vbQ +j8tY8+PT4q41sI0u0lRLmA72cfluZTpAyzWCMaTHb6Bd5VRLzeDjUtZrsoGq +WTKAE3DCrHlzw1tQzeEorQtOQG6hK1HNab/JKuqpXRnoGT5Sm6M5YA4wqr66 +eS3QmhdzG92tMCu9noA1UyvYc+K9GSsmFYq5+0a5l4dP/Yx4cfRAb4kXJ7lJ +/jUj74V3KtOnKPPrxmpZKBjiVCZmnPScR8Jy8uvmJ/PYc44u8A1Xy/t5GBbP +ls8W/8UBiVo+NlHLv5er3waXQC037k2lwK0KCbCDZ8dZGoZIgKaglm/FANOa +LSTA9Bw5li0/boXlx2X7SRx9HojLVFv2tZkS/OuptpmNGjM1ab7sB2YRjuW/ +e5x3Lq7/b06F9MYRmN7AnJ+lBDny8rFEXj6JbJtaJrzHhQ3tpoBEiDT6oJjv +go3aYwl9WfWVtI5MTWn4M1m/R4EMxItmngowMEvo8yb6f5FVzBvCk5sQvmFH +5BRzS+XAqJjdwOz51WLFrClepEoxz42KmXbLdXysQDHXiAKYRqB401mSKOax +Zm7GdNk8gXnYKuwsCen/oxu4+HJXMvhvUzkL8kzszrwOFEG+Vl7blaxi1jw5 +Lg/eJsLJc4q5kAffOMvSc63puJBEwHWMQGTCA/PfCU8uSIVEMfcaCkOzE8iS +aVYtwpXLKWYOM8HKpXXKlVuVcOVeLY4GDc82YtEsJGazaNYFwkExX1WmmAGc +heXBG0piHsF1Zj9LMf897S55drUY/mteDRFZrZhn0vDrBVoSo2KGVkz1wrzS +R/WtYvZOf185xohSVszhrPweuXKreqWUjIbd5Vo5tbhWzqIrZWJTsPRxXPxP +oJgfhcFL8Zp0itmxlVTmD1CL0aIEG0q6QZjGLIhFu50UB98e0Y/hZy3Bj/Ev +9GMgkflEIJAOIQJp/0wnrMyZj4I584lqLRPnzLGCnrtMXDfs28Xt8TdcyYgn +Q1uXbDw23iIUj1VHRQNlvGrOxWMz+T8El3Pf9hWD1mdZcxORNTcyrmb+eHJI +lLtbxrqZ3dEp9WUUR+gIRDNeaVwGN36qG+lANXtq8H1aNcdWwKj+LHOuhqkO +s2z/tnY017KjmckO84yjeeH0TF7tzxQkYBeu9mZ0Bm/GTn16/HzFvMNOlWHX +fpK4MJgXl+u41l0kbyun8gD0sdhXRoY2IhQMzk16H8uMxMcSfq1YLT8UnRjU +VS6/ToszToyMW7k9qmV/3Z8Os+UTZUjm1LJbl+nZMqnlht6qlyQlLbfxUWZP +w4ACBrZiXg5WzFvRsQyALClhoGJTXVuW4cet3DoOzRaBG8MAsnhCkFaWRZzs +jxYn6755yrXcOc6XbQ82EoKEIxcmBZ/2OFwmBXlHxljob8KukouVXfNN7sNu +ZfuwH0/py95/Rqvn1mE6MGN3LmdYE5zLhACwWb97rBSAvqYrBn2ju5oSOsZ6 +oWMgWhbXzAjJiopZV5cNtYr5nuqdJUxgDop5CQCyQDEHnlwd5JdqicBcgwTm +pfnOEuZksWK2BOa+q1AxHwaKuU+hmA+CzhIyMDXESOzoQg24JrOzaR0zkQgA +bF66BWZoD1AH8JOlj8tqijny5BRApozATIr5+5xiNnQMj128tn1cR09mxRxM +TOvPqKt8eUR/o5gh8uoQs+7xyJQM4Mp5tCLXwyvFzBXxG8aVC4q5BWum4sql +JQ1l1ky3irm+75cRnIVlwDg0A8XM8X8+Lmee0YJinphRzGVcOVbMCJXJKGY2 +/HeZlXaXRMUcSczsYqqxJGa/k4nnZT9foEAk5pUZrlxCKx9AAxlUzPsW52RP +jyUd6Z1L21fOXL2t9Powc/FGzPytSTJ/TjHPCqV/fvYijX/YX7ISaMzg6ZOZ +i2u5ylFIed5yD8xbbgrzlnAUMkTm5PboHraTmdvjk+6H5W8P5WI+M637SbJ/ +WCmvoTJ8i6zyK5nndNVPK6j6abusOC4OXK5XMhpcHm8UZvpzb+ydpfk/p5q/ +iap5cFor71lzRjW7okw8OqUODZg1C29OzZq/KlXNyaw5l1lj1Zz4cTULTdMz +Svq052IOkOnBT/iwjVLNwp0DWrN/wN5Ma5/InfMujWWXbbxivv4f4dflBmpL +FAIG0JVhFu+7SO7PvCwUzZqykjiTBydLp1mRsNx5NrL50PUdf43qoL0vr5Zv +pVdFJGF0gtky913rbB8X+rJaPrmKWj68RbUspOXG3YtPWhvPjiujYVxGnzaG +Y/2FB2ZQwCDeZbdibt5M8ePQjZEPL6EbYydyYzjy/C6Ro/l8vP4TOBZ3lKj5 +cvtkvvzdOcU3j1MbJ2Z6SmS+3L/ymW/2szm/Y3V3U/vTjETI79WQJdecsOSe +SDzM4TsXMAB+n6ayfuBh7gQczZJObExolNExRDGTBEjWzIYnJ4p5yrdmYBa+ +V46POYTj/gTIOlTx5AiQZRRz19eWGcU8y3XJK8U8/ymJBOQUc5dEMU/yJjCn +mDsyWXblGSb1z6DyIzMqABUzdJZ4xewembtUTl69PdX5hI3zJFLMsfwyPjCn +ZxTzjOYIkME6H8bNMjwLCcwpclGvoCN6VhOY/VCNVzJs+WfFzI9MVMxk+19/ +ulXMxyTXv+LKiWKOMPJY34Or5mlgVGKu3MOGK/eCeUzOi4q5La+bg2IOCIBm +T8lAxcyQ8gcMAuDPvf5RWtCAJGY2MF2UcOW0YmYTU667ZITqLiFjoShmsP4j +VCYhMa9JukuCYs51l/ArM3LlwmoYFTORmJdOpetnCinm8aSYzybFbLhy9cyV +M2dFceX2K87JXv5l6dowTy6ul7GFYj4XuuOvAsjSHeTz5yuFMn9OMc9sjrXx +SX8J0EjRyyw05hlhS7nebykJsfgUIRbR1s8zF9sLe3FH4iqFG4Q3lF9DAsZx +lfyG0jVd2TnzgUOgLzvjZ95Xx2QjWCYHL7+Z5i7ozmAy8xMAlcFt5es+ESPW +f6eaE3cGby2NkQmasOTIeNWMMdlAzbiKPc3Emrt4iI7KomrGuKw6PhQFwCNk +VXPeoZGq5tSh8b52aGS5aJkU24sxxebitZ1sl7Pq0waKsCjC4M2tW8DX1oPA +nUN/ruXOXa+4czv3OWgjFPPOxa/Fx8SLo1gxEjCKT433e5tfF+59iS8K8iw/ +7W5tIIs4c9hLSBZBB0ugYHTBX5u5L0MfyTPQRwJd17l85BLLjQvlpKiW3Sdr +P+gk4VXyASWz5YFy3aed1wH2Y3pJGvcRdtxxvtx3+8qpxecsR8OYaoZld0GE +ib3LzxfftJdDVCMtYGj+Rb6ybNkvFU5Wov40GZDMhpsvP1uW9iu+dRYl6/zL +DMaa2KnynZ8vd0knBEygHxz8y58RR+5Tyjl/ms05nxAnBPueDr1N5xXfuvP9 +Ti1AtOJOjacDmiU3HfZpmNsIDrQF3FfSlqNNDZWXi++bIwI9ZxSzTf2pTuy+ +X+jBGezNLFpWGTOr8OSOpRXzEURgHk4xpmHXlSvmn3f1L8SrP6+Yi+O14H5S +zIaRxUmm5ZdU9hfFPM4r5i4yMxtDj05WzEf7IxTTTFYxGwKz3zaHAkxWzOeA +YmaITCQwb2kMTMCTa7Z2/03AwBQfmKUQGVbMcGTWy5GJ6NmfEKSlHplk3gSe +HBOYvWL+Aytm58oYEu381RSz58qNj9d/YuuHoVlrrDO7X5GY69WqOUCzgmLm +4+FMS0ExxyLgZuLKvVl5ujgi2F0yvdt7RGL+oHKnIzF7xQxHhYuAB2kD02Qb +ZxppTExj0iqz0WYtwxEmX0rrrvlSxfxzHpr1mdUMkpifN4o5UMvTM8NEmeBi +Cor5j7LWtFy5qJiNg0n1+7SnhKwLk7tdzI7FOdk2UDKaiJKxZitJ/zFz0TT+ +OcU8g44IB2O5Nj71ModtJQZicVOZJ2X8Os5dPLufSy/bA7vf3SLV2ErElxvU +U9qukr7sarQM7MzefbzMmdPAbEwBlh0ZfmQuz8DLPYrRHRlHKN1Dd2ejp1nS +Mby95NVMcbvo1Qyp5uKGYd+fqObDSDUPB0IzODQ4NcM3Dj46xQt4rpk14+NT +UZopTMtrG5mpOveBZaSVq+Zcl7a7oXpY9sMMUs0JPWOm7t6Yh4k2TWuO3mak +NSN37ibFndtYxTz05o8iL448y4fTr8XhiQvDJvzeyHi9gcP30qoSHgYz4+aQ +awU6xl83HePeYAlq2TtW7ogMPveCWJoyMXyon653dmJwp3jX+uDEyKrl4qof +kFHLh4la1r0kkbTMRsxfERdjW+FijCejmTZjBrMZgrH46q/mXS4zZKqsRs6N +Yd1nMhloFzMb96WB/zL/8ndEBfpGzZcp7XxId592/izpbILEH3dhu3qzjhx1 +gunAHtBVkuzTblKTAfzGWQ+zlwSOvtxmkaSc0cOsU3/RdfYAfNP+chB0Yvf9 +IsaZXCc2cjJIMStjJnIyxkbijxqYUYzpCCIwa8WMBGa6+u/NdZa48xd2Xy2s +l51izm+Yc7f/dVox+6DxBWJs6qK2zJHArDlZg+MRamDrf11kZVEJpvNnus6S +UwrFfAY8NCdT9y/3MjCkPBybLVRA9hVSzJrAvInnyaUrmbSz5JMcgVkp5riC +/skeG1zLCIEZeXJYDgyK2V/9ZYoZjJlcyvBrVMwaMRsVs+bKNQBXbkWOK+dW +MK6cQRSzJjELV65TPCbhYfkerWI+AK5cRMpcW6aYS0nMOsaUVcwu/VemmKsd +m3uAxJwxM7krqxvY/91V1SXa/433n5xMC3EvY1+ZzGC8iGqxnO//D+Riily5 +PsTLSLhySYd8eF0Gpozz++/k6698+q8J0n9N4XqR9F+zSv85xfwarGGClzkQ +l9Dj5zeWy4DGjD3Zr8Vt5bd0myhvH/P7kZnhbpKroCvbJAAdacknAJEvx6Sl +jJ/5k2TOzEWZjJnJzJnbEcDcpADjbcIZ8geSWvnlVCuv7f+Li4fmMm1m2gtW +M97TTHRmOTbvlCYBcT0jSUBAzVyCrDmjmscjzNyqZsDObNCs+RJUzVAJJAk3 +rRRDl/a7qkvbdnDIrPnZSBVOVLN7qMqNZRKBr0Mz4DzTDCiJQKA1L7yL5qp/ +BpdG4M65npOd+/TcOMU87cOMZ3kd9Ikblgir5cfeMDwR+nUAHgbPlrv8XGo1 +q+X5j+jZMqtlcWIEl0pX92rgRCT5lvfnlN+K8eLECGr5TFDL6MQI1WSslg8m +tZzvvK6DAdn/kbmsnQ8xay7GL4mLkcdjxW4S9i5vLvEl2329HL5rzWs2SxBZ +71mU7FxEZBVX/8u/Shv9MO3HPU3JfDkyNP81EfzLOF+GpLObL38xqEckypnE +3z848QdRp8DIsCto21WCjoybs2wgTZ6HtTNwgUIxQ4O0m/5131jKoAya9D3L +d2IXinnQ10nqj9PM7vs17oTw7Trn5Hj9n05kORv8P4aQslExB6TssKpkubII +U/gOBQdUNvSfKOYFZZ5M3DBfHhXzygskOOsJzCtPB8V8gj9Kob1MK4DDGvpD +5y/3MVBnSYMLyjoI444KVO5t/6SYr/GKecuIlGl2a5lUMUcTU5QDiWJWnAyj +mGdHWRDXMq0LxdxGkeV+qkKWk4cmEJhlqMaKebhTzIfHYVkNr1yoHj63Ys4a +M3PYLFbMOcJcUMyIkZE6M35UujXMXg1qzfysKQG2EIA7DYRRVswDqEL+4PXq +gZkjMfshGSrmsalitiRmfWysYv5I0FihuwQCs0yYe7opImbKA7MboJjR+29e +mXRmWDHbpKzvkfc7GUNibECuTAcazoR+nxMbd/ZrTE7/TeL0nwuTN3Hj35aV +B6S/xJ8Rp5hfUSz/WOvDjFLlZV4SbhVfGT9HOzMEIeNpS9Gs5Bn+mIaxxZfk +zPCwRU4Auua/k2j2ctSBgeHvSHMDqC8b58wqDVN9PbNOdWYzozRsLBVmphU+ +NNNjw30mfGwW47Fpw8S5+spre3BCJs5jtKGJk4DvqSSg6s/2MYCwzcQHJ1Ln +UDVPqKKazzJV8y06NHjWfEk6a1aq2Tg0Dm9JNYtabIpq0Xt2rWqOnt0uc9Jm +wKiarVp8VGjNdUJrvgvcCH8KtGZyaWysYh5y6wdEjit+bgfqHpDjFEMEE36k +lvHVELzdK33Cz3m7O9FsuRM3I85O1TK29wl9j18NoJbLu8TDbLmjT0FOoSqy +C9VsOU35sVo+QYp8A+KHZ8uDxVCGLX7DRS2HyJIrLA0UuV2Lz9j2notxOsWW +xjWxweyXoXShKZP0M2F/C5RdCN5l7zbzuzNrxtxGmTE/MWyM7Gr5CW41bRfS +fjwo+3Nx7U+LHdj/5rC/TAVK/MvuG8fz5f496BvHXU2Q+Ks7ksqAj6983Mkl +/kYLSut9aDgV8ry4z6IjI9fV1KC+bSHaJPgsGqBJXwlymJ1Bc39I/SnQ7Iel +ndhXDspzMiYQLiusmNdrTgaQ5ZCTkVz9ZevlHCbr0RSThWS5sOfKKGYkZRWf +moWgmCX3bxXzxeb2J1oWRQEOwiRToZgHJV0MAcY4XNJMkcV8TMNu9ODcoVAB +25H1fxt/fJg1G7AyW1KqKfQyOMWMyHL90NTI8rWCLHdkua1TxTwvA2HEap9n +28hD0xmZgmIOnIyf7torgBjdCvomc3SILPfd+bCGHlNNMUdbvwRhf3uujzKh +Yuar/2179Uspg8VmIWEuo5hb09Xf1sJm1whO5hmDzXrQDMt0lClVzGximgIx +pgnHAC7rpEwxJoRlLZBRVjOXUkHt1C+i8ZK7S4xiTpjMqJhfzihmPjpCZHw6 +rj8XMl+GeBkqLetAsJeQ9784MyvimQk9P5qX0X/VkRSbgY4fqcNycRniZTTu +UZyVtsVZ2aVySnHdnGk6sqe6a6ZpK3VOHiav//NBMTOrFAGMrr9kTY7LnPRk +F8djxs66J/ZZQMhQIuYnTMT4h+S+0pX9r0s7hOLLCV3SBOAJ0GPi/H0Di9uk +X890/tJ9aJU5s4Ez8tHZ7ULy+LkZjE4BvtGKfX6cjHFzmLJqedsC6Ihzy8M8 +hjx/1tPMR4c5zTEGoJOAXjX3IX6GC8/2C0nAyweuj0cIVPNEo5qjrznv0DgN +gI3WoeFVMzcDsGq+TJPnhjNNLetrTlWz34Q+/KZxJDSpGatTzd2cauakm+FC +dE36tK0j4eniR3ec1KlE4J2+YsBzm4lAt3OfXhunmP+8Tr8Sko7r2EoyMEn4 +oTOFqXsrDauaE37AWp5D/9zutcDOlPnQdU2s6piCZHZI+OeNCchrdX+4b0Gc +6HE+7VeOT1N+yWz5BDNbdkayTOd1A6tlbChh5vKvyYC5ky8sdfVLZzMXoyly +Ma5es3U26YcwWVwrW+9yA0WXGCiL3uV1S7bN4rFSN0arNOz/YCbdfDNkNRL/ +sv2+HRBJmtKD7RwZfeMe7aDBG5D4GxsNm3tkGBnWkdH6L1DTwN81y54HDrMz +ae6GHObVqtUUqxnEnNmjvBP7ikEm/H9EZmAGZDkFlzVkubhehghTDpPlOOi5 +KgZiMbsqBr9apsqyWPI7L35vWDE/X0nYsgvLSFlXQYopbJjby4aZFbNmMfdf +FVnMqWLG9pJwjI5taOft/47FPGb1doSW2UYSTVfKtnnLyGJuto/NqJjnQ6xJ +KWZhMW+dlPx8PG9HVR2flmG20auZhyNZ7ieFlrGKuVOMOvmBWnhsRsV8RFTM +fu1yYnXCnCr0wWEZlvpEwlwTKeYGUczPpUxmr5gXR8XsAAB7RJyMDMtAMT+S +UcxxUFYclz5fqPJfpZgZl5UwmVPFrJjMMBTjcky2/zvFjIgZe3RC2VZa/KOY +zC8xzikp/lGtP7Xc+rPQMMwdkXEJpGWXYVp2nJRl1dbbvQyfGUzK4k6GWzH3 +9cVYriN7VPHC9KVY1PTD3HLPlGmKfv976Zqhc+IU80vFUZmxVnuZVzUH+lJK +mdtGU+Z4BQPHQyrjsdgHETJoVrIdWJIht6S54lY5/MDiRuke58zi8ft95dPu +lrp03AbEANytMjFJAaZH504FZ2xQcEaex8T+WCb9szvDbzGtp9mUAPnZDOBm +kv5sWtNE6tw3mtV8uMbOYBvg+YCecSub3KxZVPN5qWqWlhMI1h5RRTUPTVSz +U5HvKHdCqpqDM6HXc6yaLXvYzFoVR+M14g/rtpNaaQbEeSsziO/y3GYmq+3c +t/dGKebDb39PdVzHRhfwLMs/a1TLvVX6ERwp/LnJvhJmxVeCsJZj+lGaSUQt +R9KeanVZGtVyJ88KuVQ6w71a9l1+f8yq5e6JWmYM1nAxXXKf3xBRy7mGEqbI +OdTPzpXRjdv7EqYsF6P4fGHSjx/+3FLCsSUM+iuYLJDkwpXPa+Wt5XuWM2J+ ++Rp9016MvHm9Vm4Xh2R05WP9wveZ+fK3lG72OzQ1X+5ORLmewgTy37bSZtMT +9LeN2fN7VWfPR+hsrufvacOdd8nm4DYL9QwrwaCZNpcocuaBVMsARWaS+huQ +AmatKfO8Ud9GuCwOy8oiTPxtQk5GhsXMJb/VzJguvtRlxgqfstWK2a+Wo2KO +2+UNV8whRNueWMx+bbPSbpiPzbKYQ99vT0HM6PYSF5jdqVDM24s3cxwZmkLR +z1aV65oMi5nMTE+ImUkrZsGWU6wpC2KsQpYrVcz02PzPQ86wSWYmC2O0ilmk +QQ0p5m6VL4e5UOxhAU2eI8wpxRx5GetEMRNhzl/710DxrzZlNsG1H5FZcQ0T +a+MXBVMmKOZXM+vlJ/16ORaaPWAKzVgx34SEOTckG7Q+gTFawlyZYh4DLSbI +ywiKOSJmWDErjDmtZwbbSnlez1AEoIdEAOgKI0Olu7pC/WymT94qZj43S+K5 +cYS59kRkFL5MveZlsJMpYcs0IC+jc9jJUEf2CYViPqk4L6c3hpQsg0vd69Kd +Fen+aw7GP/IyO8XsHpevrdVe5kBg2tRT5hK6fxll7hV3u2SOh8PI0OaSi338 +0TA5cu/zOz+TAMQc+aHdQ6OJaY5lbkZuzvxRZs78viDNYwqwmqnJMZqRnaGb +TV4Ed4Z5cLYzxwc5zS48S4kZvaYxSUCi/2t+BuXNATtjWc22Q3scdGhz5fzY +jGo+KePQONrymi/7Kqxxrso4NG5BXnNo7Qiz13cy/YBvACtiDd1c7sHqwrfc +VsusCEq/2UQgk9XmvqITgfMxEci8iOlGTQZf78YqZs+iZrWMnmXkhODLgFKP +PVEtv5ymHjvNXpTxb1d5GTArJPnnu10cKGq27H3LVxCPGmbLK0IoWXzLNAQ7 +QAFjR5ITI6DjB2bUshuIxZgSqmVmLgeK3PHFNT+qcVvFxXAxjIlNESJ7HQzH +OOnn4hiPAhbrRfqGzaayMm7BdibMNUzLpH3Z2/W2qaRQy+7KzwX9bdrvybbR +bebpmWnQP86XO1S+vzjzXUP/8jHkX/bzZcMD6nVw1Y6/+F2LOY33nSNDchph +EvC2QGc1IwMHaJoqh/kMWje3XQ7YrNhryh5mn8sAD/O9as1MgFl67bt2bMXJ +MKm/883VL4bMDFmOk8pHJVUMuu7XmTEPuyMT+E8Uc0jbsmLmVqXwjXm1XDE/ +ICFj21/WSdoYJgt2pj2xmH3uf6VmMbMn097+hzf0ASgjrWoa/49Cs239UTrR +lP1MpGrMq9yjs8mwmMH+H8KyLAM2U+uZ1VYxy/H5pRT9fATHRxTzK3HtHNpL +glnz33B8/nN/uf3/34YsFwxNXCjvFHPxqOx9mFz5/5Arn81KxWNyXwbMomIu +jke74ni0mZzgsqTMLAuZjWuY5cJkpvB/ayDMEYDRhf+FMLfvGhVjipb/d4vj +kuKyIpP5i6iYBxrFbKz/40eaErMMk9kS5o5iKCMPwmxVZqKY35UIgMc8iWKO +mJk6qljoSIS5rhyales5KmbnZqrjZnn0//tm+dj6014Y5vTSrGci42jhZfRb +NYKuIvfKPLQ4M4OKK6gvnZluxZkhXkbjb336b6T3/W9Pbdmm+w9fl81beC8z +NZk4xey3mWu1l3kleZn5ptFeZuPMmLVTSvd3Nn9SzD948OKv9e1yK7KZ23tn +hrCZKQG4nhKA3zBp7sjiZhnCfuae1GjimrMxS27nzCYKYMKz63afQH4/Pj6I +m7kpy2hO2Rl/paQMzWWoDzAQ/5dLi7Yvm9875TQ/xe0mNTFAi03ad/REUGMM +0V5Nt89lg4A6h6zmkl5ARNCcxc0AEKp1W08/ax4PKxxhaKxXDg1Z5WRU8xB/ +3ICyVtqqXSjKx9ZKe0fCjHg5EtbE26wSgXOovYN5xC9VauZhIjBQ1moXPGoS +gZHbvHPfPnnF7BuzN0edvOPOgazsNbJxKiMVJOkJd8/wRtXcpzOOizQzTv6J +XhYwT+wKR88JdrfcCW7lmG0MRBCjkVegRo6dJG6iHJZe4SJne2VOIx+CGnlV +PyIt94AxGFstmbTclmgY21dOKT5UORoG9l5zrP8e0siPZJbIs8hPtgje+qtL ++HHvJh4MGov5RTJ5y6pZLx+KOebSsVhyyZuN2fHFl2zEgZEANPCgymf9iTTf +e2BJzu84qDRzX7JCCOx7pvZhUDIj58N4U5Ex7oP4/1NmyUw+jFYLQy9TW6Av +g3PZXvy2ryRp+OvvMsuxh8l2YsuIjL1jJurv6BjZyrKL6StEoaXhElraCJ5c +8YXp7GnnWTCW18n+gxLZWHXyNIWeX3Jh+tyS6flloqwnMK+MfKzelPbPEpgb ++ggji3Xy0Y0Ml3FPzh0Lnbyt18lcIe90Mjsyeb8sBGaAyshiRsZlv1A6WY5Q +Q4lOnl/lmflXLGjI6OS7iyPkhAB2lngCc4dwhCaxGCjTycGi9I9a0MmlJOZx +GZ2cXvRow3SUjNSGaSBZraHCDIp/caFsdfKjUMZwL1kwW9TJVSKykSunTf9n +nJmCZbDu58jJZTo5Y/yn43Ow5crx8ZFnptPJSwHHCFw5P8J6ihahfHbuzuhk +zZXjdsyOzsNUn3b9aKoM+pd6wttyf8KXusyfI8psH1ox4V15mdBktkz6S54M +Ohnxi0hjblwbb5tsTzZOY2bGIsxshwnEYbHSJxKZW8j9HQ8tWINputxPp8g/ +qdL+x/U/+eky3jCXVd5ucxUtZ27wyxkdAKjuychz5qA722nl3zZJQxbSmRFo +7vaZ9x/obh62NcW2LKFm9Av5vyuZmmEJzWVa+aQWJsznwIQ5o5W9UxC1sm0E +TLSyI0e0oJUf0c5eRSO2fDXTp90Zpq9dxauQUiNq51tHc6QSs07eXLRxy5Pl +TQvF7DN9iTs7/efxoYhnYWoOXhM/xZq5oRw97CN5AnKN08Onx6rlJdMUP69z +Ri17btxKne2LavlUo5aPN/4LbPEjtSyd15lekgYmLf8qxPmr0DDyTX7OchlC +Shzrz6FjV9L3a43pvsZo//vGg/GZIv5E4rJ4MMy37D8ZhtwP14G/zEb7z8qk +Mo4IrmXdg205csMUR+5j8ZhxndlZuptJpTKQ/oPfsg3xYcwTXxmHmDD2nzqX +EZL1oVosS9ZvACjmTCc2K2YFxzIFDJ6OUXyXjrsghpasYpaYP175JYoZeXJM +YHbE807Z8oUXyhXz3bTIuY0sT8WRWxaT/mJ1Uj2/Z1QOKFHM8WililkAMwQv +d5am4xt38AEARWAGO9MNTYbAbBSzhpb/ggjMocYsygBny9wy8uR81s8oZqRj +mFJMiwFQilksmvtWvr86lgErxfwHVMx9QTEf1ULUP6IWZfHSBo+Iri/LK+ZH +FYk5KubZSjFzGSbaluSBuT+Oxd5Rpb85xXxDS4rZgMvLFDM/Nv1SZlyqmI9M +FLPlyuWOz1tBMdNi5qDnwzKYrUxRMb9OVzMHbp6npe/jNLbSZycsQK+nGPoV +kpPdf8UFxbk5zyvmLh7UZDxMq44hxTxUOIyBktELKBkdQ88PnZmRhWJ2+NKx +FJiRxr8m7C8J/MWH1vghjVPMz4Lzb25z3GMijVktYZYathx3mJDbT0gZz7ZW +fgxPysBbBpLkodKnAx0Pk/s7JfSYfMU3zOGmL7tP/xJaRpguf4zT5f3CdPkD +g2Z8lxlzbS/JNwAKg8n2Zj+Ric2Wc+Y00BxhM2+m+b8DQv4v259tWHMuQpsQ +mt2OM6Oax2GH9oao5gw5Q2UAL9cZQE1pRt5aiWrOkpqh8U51eNQr1dzJqmbv +MsQ+bTuTRYLEg0Jr3rlv35/txXCK+ff3v626SAYlub41+VzfK1Etd5plGg5n +5xoOtVqu5Wi+zJbvo88O9LSwWl5KHS3LptLAizpJlge1vN9Ky42zavnEOFum +0l7+NKWd13ytHwCk5fZAWg5R/pGehrGtVJKNo7qlKcXP5aZkQfJ9a2K+72kq +K8XBmA0prYHNGLb5xe1Yfon8FS+RX9iVMn7h5Z/kl7NL5P0iXX5yvOr9pow6 +mdQEYOiB1GTqqBi9oMn0EHAt5/xlEZqVyAFjzYzxf6wxu9e0+/E3jGRA6zmx +xdT3MdUb5/Ka4rW/1n+3FCQLyn65r4Tj/vytkqsfs35HQdS/pBMbLZg25q8U +8zVflPLkBlue3OPWfhl4csH3tTjmbFkxzyPF/CzFax/NKGbmyV1fHLlyxSwE +5pWawNxfiLJ480cCs+LJNXIIoFVxpHbwR0oRmCUumyMw/29KYM6AZbRihmO0 +NCrm1PgPGEZVI09gGS8HnD0zU4zpFDM8OgXFeB4pZrdwPrmaYk6ve71M5kfl +JBP1jxbMtVKCeXdGMWsS82JaJoeof1DMjsQc68swtPRmIDGTYn4YFPM9qvDX +WS/xiv9GrWYUVy4hMWsUY1YxU1TWx/k9OpbslYRjHG65cpnukoMdGvXxvPm/ +q7/WwMo0dzYNfkgxz2PF/DBdwXE/01V8TKyYL/H1We7s7M9npz5QMlROVtox +h+rMnxvgrHJx85j5O7qB9zL6zExogsyfv362Cg5md17W+EGNU8wMlPE05mZ8 +YG4SerJxo5mDlc81HSaev9Q6kjIoEvufh8Hgr7pj4YhMic1/355bY+bMvMXs +HraYA7gvu6/eYia0jONhVTOm8gHPZfY5pzhG50Gi3Gb/rjFkZmtpSiuAlCfD +tgACbMY5AHUNUC7/x6r5A6JmaOgMs+YioZmoGS5HA4BznDVfYNoA5UE6RgcE +UDVbX4Z3M8tNle82GV6imn1XtOGuOdUZVDM9XJm5Jqq5CZhzPJtdQaufXJ/2 +HFLN2H4HHSfzDHetUJwbq5jdf+5DkJdHXeD9Mv0scVbuVP9KomDk+8BZ9Usf +uGrvC2q5VtzYVi0DZVrU8lV0dV/q7WD7g1tZZ/uYspw6MQK4J6+WDytt8ds/ +sOMaWC3vWkrDiPk+bvLbiuL8sWQBu0kkoGQcy4Ef5/ZjXOYLRAyi/CREDBVS +4uZSmi8rEFa7FtCxusG0zLXs5stfuhZT7MHuw96yg423LMqBj1tkZVrEbHj5 +vwlkDHRkNKrB2QvRudx6rv92zXMsuRLn8nP7Y19JpPzca5Cy4h+jrB97x0o7 +sa1iztAxTqDyBd552SHZMLZflvHkLIEZeXJGMYddz4ysYiYCcx11/NZaAvMy +zZPbnzpLOrg5mbCxTiU2Fvb84na5TDHvJ4qZN8w8L+PI7BSzrrkNAgDx8QkE +ZlLMXCO/imrkk4dn2ZpmdoliNlFZt6b5j6n6+eHWjBzwa5riGNGa5ruzC0lw +OirmwbKCiUfEKGZVwnBeiWK2oaXbMiTmR0uRssF+SVe9U8ztYiGmcOXUkdFr +mftFMee5clP7hwIGpGRYEvMF9Njkkt8/jMkUZGZIzH74VWpqMoq5jMQsoSMA +zBRXs3SXzAHFDJSMEMXnzN8difOfUafO+e+ysvt7R1NxVdWXUDLqLSWD2zG5 +66ezcBiPbQgZWZ/5841/2/jGv8kA/Ff9JaEV0ylmN6/hIzO7GR3Mm5T2ZIsH +kNcxQsrYRTdiyTazLdw2e6iu7H/b3J+bM1+Q58t9xTdN0pfdX+djcnNm25nN +eEZ+eMJt805xjCK5lMnMgZgRWUwb6s4InLnXFWeuUdGZnzdOZsz/SSCgh+nP +pm1nQs04ON5ISjWbNkA5XqN1PZC/ocj8xG5m69CI5IxvN0A1fxKb8Fg13x47 +owffC/w16fV4U6nm4P9FUnE9sNewT3tB2qc99xU4qrHjJOYAH67s3K/fRilm +p5SRLq2IHyrXt8rk+shZMislTCd9JK8bwrTtuiZOXs1iVsvYZAizZVbL7MSw +nSRSomDVcnBi9K8PanlQiVoeqtQykJYb9qJiBTcI20loGGfB1T6JDJcumMRN +flywgN7lJy1tuXlTU0sWuq/XNG+qXvq6aKH4bi3UXMzSVbIJKf2Eq+Q7nPmy +uOZv+b8YUiq+Yd+bVfK3bL5MXv40X/Y92L2hBzvNL/8jm18eG4FZSY2Z62PC ++XJwZDS3xgqzh0yIqaQPu92KyizwMIfrv5qHmYp+0ZDZL6yXXcOfLWDIdmKP +jiQfUcz0LTrRGjGnFIr5UlLMPuuX48mtE55cet0TTw4IzOGbssjy5ArF/Neg +mCMXKxiiKOW/6M8brphhsxwV87HQ82s7GHoZPlZI+x9DzWUcCTiTHqHMyLJ1 +P3dClbzFMc5K4rK/0KuaVbazhI7SvPQofa2qflyYqW2skmfF7B6eDgHgCcwQ +aDKK+Tv78BwNirlkpfxRctWfExWzVPqQEVMUM5CYWyOJ+UHiypXE/FtDzF8C +S/WgmJsCibl9XCczgjFAy9eJAfMvPWE1I1U/X2djsvjYvABMl1Yxq+OTkJjp +Cr+Mrm9WzDcUirk4QkPoCPm4LB6hMq4cHCFX+9MFH53CleMXJypm5MoRXWZZ +pGSEYoDxXjF3IkpGN6FkQEd2faYje5XtyP6tkMtPbNyxctrq7fzwZnxTdDJd +Q2fmT7DifCQo5qdow6m9zJHHJF5Atv0zi2lRnpQRHpetwlEhaukPcuNkHpYC +Km+fgchk+HJH4W0T+7I/S26b4eltU8aY24Oq5tuVGZw4EqDXNSE580gJMYOA +M63mx/iseJobaGUD+T9PZ8ZOk7C24R6Ae7trakZY3RhqRq7XxHRo++OVITRv +iGoe1VIjIHfTqkZAihE41TwNu7SDaj6sJdWsuqOJOQepOdfvkfMBdyF6Rlfk +sCU5wDCv3VjF7JUyNBgmCb8XYnMfkz5ic59tMZyt/rPqPhJs7wMPNuUVWS2H +ldaNUS0vvSr4lpdptcxgK5wtu0d7t4xaDrPlEaCWsfM6GCxdvGJYoZZ1Lwkh +YoUdRzSM4udMoGFMwqQflCvcXnyi7jHdJLwP4xUymy6XiHc5xvk9Py5xY2xX +fLe21xkM6l5C4nKg/OhGvx99o59L+8UOJs+Qy3Vgc9HCuUD7sf7lw5mKYf3L +GUZmzfEh8SfDMxtpCom/9/yejPCyba4ypb9/LhRzjo/5tAzNwprZRZnIUdY2 +sORmGZq8eJiLb9VjRjFHQ2Z0kIUChq9UX8nF8F1SndgnpZ3YMiTLQLGOBDpG +mWI+TIoXUp5c76TcdwUR0BcpnlxtWCkHxfw0RYgNSXaR4ckt0wRml17aX9JL +6MWMBOYB9UcJ0Dwk/RnPGFvLjmSeXONefnXj0v4nQoqJFTMGaG+WlU2qmF+A +dQ2bm5bz9Z9RzO8t13hZS2CW4GwhA76T4KxVzL8hxQzBWVfOcB3gGJnAfL5b +OcNRKh6eXwwtU8zlYKxAYsZw7BSKLl2ZRpdap90l2vJvwFitgmL2tn/3yPxN +vayTFVcOFHM8NuuyXLmsYs6tZ47JKGb74OTKH4wBqCP0NQBmzBH6U3GEiuua +uXKH4JqGjE29TLU8F2V2YUrGnJQrZ1syXTlCKAq4SfEYO9D5CSvRc2nAo1Oz +7qryjqZ625FdvDZXuesppv9GQJ+8K5flrp/zmrSX2fWXsJf5vnANOcXMmXPx +MqtizEgw1UAZWMn4B6b1AbbSHSZ469xvicy/8+YlnQDslN44ni9X3DbHHAh+ +5oP8RpNpGZ9VoWWoLln7+BR6KSEa25IPkI5SnNPwZpOZTIGY0SjujLRuXloA +2dPcdqVAZ14zdObnwJ0Rk4DvhSSg6c/mzllneELVfCWx5kQ1VyM0mzZA3oSW +OTQ4p47w89gI+C2QM6g/wHXUOr6T25K6Nc/NVjW/r2bNh6Jq5iYuMkuxag4u +B6tEo2rWPLY5MRH4ulWi0RO8U7/+G6WY3a07gLoPvGfZN/dFtaxo0q8BTXpW +7j9jJHwIF2/e00kfSTB+oVqmvm9Sy12UE+NyAlpNEd8yOjE6ccpvZT7l59Wy +rImHpWp5FatlbvGDXhJaGR/r1fIu/ko/pfgsjSUuxvmGi5FL+nG5gk36We/y +clghu53YG8X1/laDbvNDZKxc8bNC7xIjY+WlnxCXKbnsjJd37aGMl0lHCc+X +zwukn/jiL75fx3UrXvzGv6z4mAeTs2wIcOToxd/ZvfhP1s4y9e2iHVlbNGei +qyzHyHiiuP51u1/kYgaW3JxfZ+jLmdqy6dC7pFN/MaXMfSWXHGYC/8eYtbJN +/Z2tU39eMXPxgh+QfaMHZLRS9mxLy8mY/rcSxczp4uWRJzf79TLFXOcVM/X7 +Lgo+zFpLYPZHELbKpJg5LmDTS30JQWMJzFYxHyWtZaFWfiQRZcdA7v8iUswe +ywgbZp6XYWeJq5N/DQDmTGAWxWzrfhQAIAzNlATAUgZBzLYVAvNPTGBOOkv2 +BYB5RzU8yyvmQyOgvO5oQslUU8zwuATF/PdEMUcDU3nY/0V9ZFrNF8WMaxk2 +YIZVclTMj4NiZjNTlsRcopjLScwUUToVAFjVSMwT9aMzUczTPjJRgHdLowCC +myGuXJeZEJ4VxRx5GXXEywiIJ1LMWV7GxaSYz6/s51+c4drqtvK04so6RfEY +B9YfSRkAVMy9/EBHnR0fQm9dKOadi2tpe3Ezxf6SrXR/SfD+O8UcH5rx2DCG +MRCaNoVHJtX8MJ2pUMzemTE3ODPw9tH0Uu6S5ZuH1jF+TlOWAIy3jufLJXNm +6Mvu1yudM8Ot4/tkq3RmSwpQCKY0Z26TxgIimRkfoAw2R3dGSNEknLk2cW0T +jhTdRBCoRbPTI0w37aaTgLcfFGqBblX8jFgN5I7XZRtKaMZZM7QBCvi8xKFx +HDI0TCNgVM1ERRXVHI7h0IxqPvRe3ZAnSTpQzTFJB6oZmHOdgKPRBRKBmqPB +tOaQCNw4xbxL8blorvR7ojn1LJuEn+5esXNwco+8jhTpSFiunW/7SLi97w4a +auXVcufiUxPKRaNa3i9xYpSr5X6sluuPgM7rQ4trnNVyX3q8u1WxIS03BtLy +cT5eESrITike8kiRs1wMTvqFaz2W93I8iZN+r5V5lw1Jzn+rVug2vw8XxBXy +pxk3xnoV7I/E5Z8eMmk/6ShxV3x7GIp11LVkZr7MrjJML39GHUyxBxsSf1Vc +Ze//7mz13XpXsTGv1IW/rdCYGR0Z9WVUOf+tWiqJZSxhEEMmhv4BJ8uVZdhX +wh7mKzJXftrwtz6Lkc2l/o4UxRxXysNQMQsn4z1RzAfnyHLPRzRW1xns8qKg +PxGY6ap3irmuVDFnfJhKMTuS7LmGJHsyKebjjWLWXkwmMPOj9GjK/Dv0zAnF +g9QpZo4GeLLc6ggy51iAEJjXaEDji+bq55WNL8jMhf/VA3R7TZZLCjJbS3j2 +BzQ3YZUZKuZrWAYAnJEV85llinnDyhdEMf8GB2OXgmK+3ihmXsk8YK74ZxMD +pqySobIsVcxsvvwbkJihrqwHPjYjlFFMl+5KBxJzAmYcCWULGJw1qBlWzMci +xJxD/FOpKDMbBVgnivmQMsJcopizxyijmIE1szjlZQSs0wWkmPMtmQF6emSS +mh26Km38C9Gatr6/5OTVOxBj5pc+MTtldeyTv5nSf4HIuIVTzDYwa2nMjWZu +E41MmSMDJiZMzyAz4ycAMcY+WZrVmATgP7EQ0/aYDA+kuc8H5chMfPMMq3yi +5swbdpxcCvAdSQFGYGNI0AQyc2Rn2GgArm3oEdo6QhsDcW65bDtldYOdJuxp +RsMTeZptEhCPF1PnRDUjoXlIrKEv6zXJdWiXqWYPdtxI1SyPV9MKGGfNlNDJ +qWbDn/CqmZryfORAeZsXZhJ1aSJwp34DNkox93tyjZl924Qf9XurhOICSShG +r7Xlejxl+khStSyz5cW52XL0LSNveT+ZLZ9VwlseRbPlY2W2jE4MVsuHQ4tf +opYb9qGrvF2hln8lkSTkYlyEvddJk19YGz8o+KvYTfLqWuQuc6B/k+DGIPdY +LPDFiFIM9eeu929ehs4lJsj7FXJbP1/mmNKP7CaDttIEhXUBzJfPyPOXvxzc +QxEyP2VCJvZgq8RfdJR9sF8sYwjfLCBkOmNm68tUlOkNhcuKA7NVWUfG7OLq +n6eu/vCNalSOjGc7vJH3MKvUn0Fkud3XIdGIOcVElxQey6T+WDEnsaXJ8aqP +qb9qnIy0psyuk4NiXgxkOb7qX4iKOZDlauG2r6GKIG+MWgq3PbGYud+3A7SV +6e4FIsvJjZ9TzECWM4p5tOssAVAjK2YuygzYmS2UYubaH1wvK0AjX/25FTPh +Zj6ev32WxdySYv6JJUAJbiZRzOeQDBhT649TuWI+Xl/xEvTn44KDMVbMV6ju +kqCYY9B/ddJd8mw6FGsFTOYqihmPjite4MBsrJP/CDDmn0kEAA2XTJibDExm +IcxBPVmypjknVcxHW8WcC89mCXNvK8Icr2qYMOdWqkExx/RfWNWwYi5enQse +p+tN8zLClXa94mV0WDHJK+b2VBkQFfOpMuiJ6T/0MlP6ryGm/4KXeW9xNJ3k +OuX9azOcnUmrA7LpmuInepm3dHBTp5j56IiXGQKzy+1DEzad64pj88ESDssa +I9Mr5UHZn9D2X0Zn4u0mJgDPiKQ5v910fdlDIv3/8/6Unult58xHlAMbXQOA +ws9kogFyA+kUTXyEIjsjRdAsE3dG2HYG4hwdK8Vpjp7m56lI0z1G/RxHJQHf +j9VAGeqcK9T0/AyzymHqXE41n6/aALUR6swWHBpWNR9pVPNwo5qHK9X8sVLN +h9+5TnzNTJ+zqrl/jkPxwqricVsfVCo9cDupme58UKnYmveSvwk3SjHvtItX +7rFnpQF6VkooeBmeR21GLVvWcriWrVq+FXzL12knRvGJ6egHW8xbjrNl3Xet +fcu9QS0PILV8sHFiOLU8ZFXsvI4NJe1BLUfm8smrg1pmLsaFpJYZFBtWxtzk +t6U0+WFxb/Qub0beZXjR4+pY2vy2Ule7ZmOE79Tnno2h2cv8rfqe0sqSvchc +7z6xfK0xXV4I82V85Vv/8iGhB9vPl7kH+yDuwR5mEn/YWHKafuVj4i/DYBYn +WdaRgd8pGJYxVY4jTMaR4QdlLm/Bq+XiNY8cZvdNyjWX+Bd8oZiRGa88zHTd +n1t8f2y5L8aW+Htz9EStmPGqH3pTuk6OnIy3spwMjixFxazJcmTA9IqZyHK1 +4MGsQZ6sUswX+yJNd9t3KBRzRybL+X7fkPWXtrIMWQ5v/COUYt5HCjPdZvlk +Qpuz4cnd+tzGwOsbjgZQ7l9FmKxirt8QxbywBcX8V1vNQMW/eKR4aAYtf0ox +C6ARI00HBMXc5/d5xQxX/AeIlNnbKmYHlGXFHCNL/pHZuqUWk8hkjoqZC3+W +E0w2NV6yYuZr/SHGmEuLSbjSb+0Va8qqKuYjAR6LqBmjmBVhbryplp9sQLFX +f65WNXnC3NuCtuoHvAy3Xq17uVwxI5O5jngZtf6Ks4rZ8DJWTKarbJzvyBY6 +I3RkR9YMN/5Rr/yqvpkX5z4+YjOysbVP/6kmE3ltbq24zPet8YrZbT5z6xmG +Mq4iKKN3ZtD8RkhN1PrHzAwXlv1iZomRyT8y21VPAF6XTwD6vDmT5kZ3kznz +V8PdvIb8zMDN+CzhZmTWNsoTiEdqfDxSbcsx5+zOKGdnYDkQtgBCd7Z4mhtU +QRB7mr3pCUqC0ibAD5Mk4E19YzZ9Kt1UzM+4xFDnJgKr2XZoOy+hzJpPb2HW +fMHPmTV/bhwaOdXMzOZ3aAX0djnr+NnVGfqcaQYRJ8Q8UM0zhOC2Y7+BG6WY +3W2LDA/Pw+AOv5m2aYXU8tzIw6hVTSt5tVyHXde+mYTV8s00WzZqeVlQyx18 +1Cio5fZ+lVWNIDdKqWV2Yhwss+VD5NMT1XJsKEmZy7sWn6Idw+BrdYwjTVgd +KXLMxeAQP1crPADe5WfQu9xc7l1m15jq9YM9WM6NkRaTxaQyGi5/8utjdpEV +36ppZaF+mC+fDU2l7CRTfAzyL/flBib+XhUv/APtfLnMSVa2EzOMH3GR3W2u +fjRk2tD/kljFQCW/El8yJEzFYTZrZdVcYq77xMNMJsxzT16vO7Eh9YecjKMh +9SevcjJgDjWcDHF8PQAhf7rmFVnOKWZJ/c2njEHgZAS31DPlijl6MLv6Iwke +TFHM54FiRjoW9/tib5ndKkeynOT9KVTrvZjFURvb6AK1YbM8efUvZYUTWcyB +LOfYso8ZxWxLgIJi3pQUM62XfYh26+prm9cQMguP0CdIMT9cSIDpv87UyoeV +DUcCFHLmPKOYR4FiVqVlxySKuUXzpa9gKLve76CyH3dscg/Nl03hz8Jo/98t +2v95PfNXBsmCYvbHp+69yGSGFY3jZdxgYgBKMdOj8yJTt5ArALKEuZGwqvGK ++WIO7psSIKrNHKIU8zu+gsAS5iykscuMpeHqlbiOOkp01bFivpeQT5kswPLY +Le+us47FddaZuuWDYj458+rE9F9f0/0XXU3H+xfnTorMyKnZyGXekrnMTjHz +8VGh2eJnPhydJqLMvZELzJYwM75+xfRmQQIw3kQ8t9lHdwAyaW5iJ4Exfssw +Ru7LPi70ZX/p+rIP7VH5YmCJn/lA8DO35AvMJGkke+69gVcXR8rWzseHKCZq +gjvjOXFn6ErNSJyz7gzxNLdfq9pNHoMKeq+aydOskoC9mZ+BBiiAOeaocxnV +zB3aTjVnfc1/ANU8rkw1m27AaqqZUzrg0IhNJ1E1uyM6KGFTaNXc3XA0usyw +HA32NkeOxo79f75i/kWhmFUrCf//nAFqeVaOhzGTUoiml5A6vJPZMqvlRbcT +fodmy0tZLV8tarkTzZY7LC8jyOV8y+5TMxKcGEeCb9ld26iWe0NDSY1uKBHm +cithLo8pfgJFLsAuJzX9UihyzMXgWoW7IZL0uPIuB1a8I19q9NUmwbu8gb1+ +SVOJvOp3LV71abffT1KzQC/728GNAcOwf7ncxWTD93Gv+9PqKutHF98qpGJ6 +PkZ38i/3if7lnuQiS1jymdc9dpYyXNYn/nKt2NPUerkpG/qHnj/+Nnmuz8qs +h9l9k54qFPPjnYvvUVes+mU8lvEwF98h9w3yaeTfm+YSDPpzloJaldRw7FzD +ybgI2rEvpT3WVHJ+3fipv+ble6I4GTr15wdjz4UdFYNkuyScDL7mn4+KGW/6 +VDGHmz4o5g5EltOKOWUx93XzsVUjShQzk+WsYg7QxhOL4+ZiAorFXPz4iEBT +ymLmKx/Bsk4xv56UzDvFvFk0ZHoWMyrmPIs5ufplxQyKGY5VopgvLxTzxXD9 +2xDtKBeeLR6bpJg/MYqZH5kfgWL+gBTz+3ty79+FVUrL0odmGZOZA/7R/g+K ++TdxfawUc0cNkZ0O5fJWMYdQfzRaWjijMjYdryNJuKo5jXgZVjH7ozR5vRDm +EsUMhLnD73hfII1KMRdXcZ8M1jwo5sU0LALFTIS57MtT0n83FldcyptpT23Z +nbyX2bVlnyZt2exsGrjKeplzdMaQnD3Ou5p2gr5M8jLnucxOMT+wZgtVlxk4 +p5oyJz5ByKA7YtO6ZXB0MsyMbJrGtGbHBODvYgLwquImuizeRP8cB6Q5zzU1 +fubDXHN2j0Ixw03UE0hNBxQ3kU/S5G4ivbrxsxt8iMqc+fLUndGKN57IznhY +sTNWCjsDUTSaOOc6tdgvGHE0UEafSQKqsiAO2faMSUDmZ0hsYGCGOoesZtum +zbNm42t2txirZneTlfqaL8rPmo9QqjkcSzZMKdV8R4lqfuhvCf/YqebeXjWv +loL7biYRiO4Iq2B36D8or5h9Y/bmRicHDwjn+lZIri/o8qiRu+b4djmNnPSR +3EOwqjhRroGJcmfQyKFOTE+UE8pywo3DifLRVJWEJAzWyO5D0zvT4ueWWu6D +sxdMlAMM/tTV20R2HNAwsEhBNfk1B9DVY6qbZDP/buevUOJYzvLjtk7b/BB6 +NXMXaCW15b1tdcoiG0qKXyY9VYb3vJ0qH6Wpy+xaFipGGdUHSxj8e/7MfM5P +lspXeh/GW+LDsOR47CoJ47FgwZwpPowFbYglJ+ULjZWXE5ZcCX25By6So3PZ +e8IGrdfO5bK+Esz6nWmyfuPt5Q4cy4SO8aEfhx1uKsoGPfymWSBHOoaElGZp +OgZUlAWd/DjskGkiluPJLQce1srzfbcv3+/Ik+vFz9RCJ4fKecvEQp3cKXYv +0JHzu+TVAdXIkzHWyeE5agjMa1KeXDxim8rSJkT9N0tLGIgn96GBmX8xkwt/ +y3WylDFkquW9TqZlTdDJnZUdU46V08lDjE7ultbHf7Q/wLDIbvk+tv0xUEbF ++m9Q3SW65scWY8bLfFGrubGmDI7PDLBZ/nXftZnFTHqEbkcSc1/gypnukim2 +YEG4cqlOVlw5CAGEJyeTmL+RJ6cHW9GSRunkavU/UJgZrkOu/4GKecWVe8rH +2cOVd5+iZNRU29BQRzYvSbtjR3b9MXKNuQTAYG78y/T+HOPPUDuKpG/rl6B/ +gF75yw2N+c6gk3ma8yTdUnm23CZh52nhMuwOJFKGtP7N3EWRMr61YBnlCtyz +hNEE5Zjnx9tIekzcdPlYmC6r9r8qtAw+VgljzkxtANcYo7R2aXNLZa0hZjQl +xAzryQjPUMWZa7NSVdArOjN2mnR+m5oA34X8X7A98W3Fe9CbDWuuGqHZPU8n +0rFLtfJ68WWcVW3CnPNlMPepyoTZV9ffEN3MopWTLm3SytNBKz9qukKS3B12 +hQR6RifVFeIoFbNFJ28u2njDJsv+3//lldChsrTSUVEw5iWOaVHLr+c6VEAt +L0K1/CfwX9xAwJ2rCVMZ1XJ4el8g/gt0K8ds3ylZ/wV/ZlL/RT/63Fi13IHU +siYtn9i4nb++AzsuxPUvghKFq4mGEfN9gYbBTX5PimM5quXXTRDJw67IH6b4 +cdjml5Qq7Fz5nNSyfcOHb1PwYPzA7EuHicVrHcp71fYLOkpkqgyescS1PMAx +MB0VA3qwu4cNWOoZK67+DqOL79OpqqE0xcnSVLnNVRtQ8lvSVcIsuTY8GqN2 +v+J7xFlk/hbhdd+yc/mr7EhMlsgmqFSKj7WFC9LwF8dhiWLmrN8970TFnIv1 +F4q5Vilm7j+aBQR3b7mMiplzSvca12VeMe+3kidiZ4NiPhl4csf6Ik3MKg1m +5+WqnsTEqqWgwL7Fbb+Xv+2P87jGoJiRwHwxgM05HHAnL2/WhGDAM3DMZsNo +jAnMTVT/kyrmbYXAjIGAeO3nFfOPiWLey3eW5MsyO0XFbBfLJzjF3KdEMVfp +Ltm7uNr3tAhGtziONWV/K1XM06G7JCpmLlwIx4eM/21dVNbE+VXZQlrq60nM +3cnO1DO1Mwku9mCDijWVZLwYzilm+/hkrtwIa2uaCrYmCQJ8YIIAf5dreIBw +5SIlQ8NmFpRSMmpNbjYsUG+jwVDoyO5ElIyomMfJhqauPmb+hGS+ynIZQ1nA +MHAwj6DtzLG+UmuXygl0bYmDmaq0poKX6Y41WzrFjBDzHGCGe7KD+T8eH3EI +At3UkjJKCzLpVvqP7wGIKMYfE7BMB2X6VzcSt2YdfWB0MR98kGn/wxzNUJ2j +6cpkU7Q5xcdo0gDY9mIDOb8eOmeRmHG/qQZ6OtMCaDhznK3h3adyMtMxy+X/ +ukETIPUFxPxfnPPwI/UqomZ41Tw4HjtWzaoN0Kjmc04pIWfkVHMLGUCvmt0t +x62A14cdaswAhqzOkJxqTkjNQTX3T5hzge0mqvlVpmfEhj3O3+3Q/+CN8mLw +v2+Xkn9fJizHfOGLunHQ93Q/Dj3dDyi1XLOYY/eglovrOPgvrhS3slXL+9tO +kqTBz5Aw6rX/wqnlwayWV/X2YYlhSYsf9pK0iaTlRlTL2wg7ztIw+ArX+b7/ +1fk+93InX9hiNfzSHoyQqMBChW0LtbydLIrRg5G85MsyfveRvVIa/XIZv0CM +Vy96ziWf2C2lLrv5sqcu94mu5R75+fJHGWr8+6qdlDZfbWzO7zrjFYtbL/wm +aTJGXCaHLPJy8WG8sne+3JfDSg9wWImSFIlzubjq3Vbr0oMzzuWjoUOJ8LGo +mHmBLFk/BGFBJzZn/XyWOEPHwCseh2IWhNXZe6hC2UKX2YyOfZVYj/6KD4qZ +WViRJ5fLKXWCXl9XFdQBXJfdEsV8DCnmYVKk6QnMDX2FJxcpsvuS9alt5XjP +k9uhMoZve+peuIQDAqSY/wyrnIchSvsiKOb5a7GyDIZkcuVvVVIvb49Xce2/ +sKtUl2kbZrtQ/8OdJUYx+0AAdJbg0Qq92KiYD6GjYxRzEpGNhQvvJ9Bytlpe +JSRmXM+kivlxY7N8FeDlsDBWJGY0/6+NJGZZ0xCSsXsaALgJbZWsmC2W0ZCY +xzkrpcHDVlXME+F6xor5G/LHaTAdp0Meig/Qvk8FSobCM3qg+RIAmqNiDpm/ +Wnp9uiquuoXAZoSz1Ek6sif59alTzEyawdystGWuipm/sKuJL8/h0F8SLIQu +M9vKh20cjVn2NMX54TPkaczFz+1BMd+zJsx3mC0nsx0inS5miHkztP41QoOW +rZXnOXM1HCPdTP9xOEbfBbBn5Sdcz2CWpriV/uVvpc7p0UnmzD0CLaOvYTWV +3EpxzmwZc9CgVZXMjA/Sv5jbKUZq0wp63QKIlif/MOVj5p3MzURnpnWONAGy +al4X83/dMf8HrLl+gYR69QAmNANrDntNrGoeqX0Z55CzMOvLqKKaj8YMIDkO +/dFk1Sy+jE8lA8hd2olqJlKzs0+5mdHBRjX3Q+bc86yaV8VZ8GuOnqFnwdtv +pGLu+lpUy+nseg6toV4Lvbqilp+l4oMStbzwbpot/4Wu4WkUu7dq+bI4W15+ +kZAwdLZvLKjlMFvuWTJbPoQsk+VqmVv8OopaDr0kQFou1PIZwI7z6+GmEDxi +uNXN1OR3B+T7mIZRlu9TlkpoHw35Yxp+SZufdmN8ykQMdmO8smvlm5da5d0Y +eKXDS/4HOwTLzJd1JjnjFXOu5YGxmfRTmi+HhAW6lqsT49eZnN+7baZIuiK9 +8u1a+VEV8WcoFn+LeOc1Z7cVqtw3xvvfBCAW0Je7M305rpPZuSx9JRxUGmqy +fpmGv7Ns1u+PumzBXvE4FBvWwlAsoWMUitl9HxxZp9PMZVExQ9lC6DrKKGZD +j13C9FhUzJOoXJNveaeYI4EZOxfCURyqCcwyG2PF3IF6F/aUo+cU82mFYuZ+ +34mgmN1tz+ucu5vjcXsSjE9+QEaKeUnxsxLsl2sB0yiKOQedyRCYebX8r6dy +x2t3GJRREYNv+WsfbJhWMZ8F1/7I4lgdjoo5XOsfm2s9h47Vipljsnh8LFjm +zuL4lHWX8BAMTE2gmGf/2hQtlJKYMQBAD8+eGRKzryRbH6t/hqaPzwCa+VaR +mIUrZ0jMqmJ+8reJYs4+QHOUjMfTCqBuxJVzV627ZrE0M65sniF06iP++qur +1pi5PHZk7++HQnSW6sfS9YakmRGZzF/aX3K09Je4gc/OnsZ8xuqQmZ3QBA5m +9+ps8g5mp5gJL6cczDm2HKbRJYm+wnWYBIi5I2VE8z/cUNKgBYRTTKBjHwDy +mq7JRGXHdQ0301gzZx5RHKFhfDNVozKTi9nPmXNxWjQ94QqH3BltpkgvAJKZ +kZjRLGRmfJQ+BSAaDAmYhyl3Z3NQAIo1lWr2D9S/h1uLEupshOJHKqtmd3vx +Q9Wz5gYAofmQDVTNoyi9Dqr5LDiKp4FqHp1Rzcda1XyJUc1Xa9U8LFHNH0TV +7AIH9wYUpDNTJar5iWZFzzhI0TNWZvzGiyrb9T/kZyvmTQrF3NmtnRK1zD0p +M6m9j9SyUDBYLXN730PUdZ1Xy7yecuGHLsumglq+GGbLusEPZ8t8NfcQtRxW +V/3EtzxcAkbWiaHVMpKWf0tAqzDkQtLy2S3QMFS+rzmX74vdJOzGWGb5cdyj +5N0YUKYgbowdAudS7bzAjaEqyPTKWF7x6BaziFjbgV2WR0b/8sAyKoZ5ydek +V/6HtuAXh2RtLs70K03zbjGdRdZdJVhVtkjKfUMGeTbF+8Oua7UkKeSql3Uy +GDAJH5ulLw/K95VceGzM+rm20XNOplj/6Trrd5LJ+lUr9NXGy3X0vTB0DAoo +9eSA0kshoBQVMwSU5r5MitkX+gbFjJ2+dxO4hhTzUkOPJcW8r1fM5wQCMynm +HpbArHJKVjF3gyLN30lT2fEeRLN9cfS29Q9VVsxCYG6KcQGnmKfDVf8cHLc5 +zXGlrBTz6s3Ude9qgKoqZjhiiRkzd+WXBZdQMUMFkFPMX4Ni/jQZhFXrLqFB +2O66Hzte6XnFnO8u0fVkQTHP01c5wa8iVw5LFqDMt5ZJzB+oanmMA3D1j7q2 +DYn5AokEfJtEAhKuXIJndIp5vQKaD7/uU2VyUly5+96RmvmBEgtYE7hyL0bg +jEe7CtY1Zv5qiJIRFfN0Usx30fXH2dnrEocTNmY6xRwzf6P+//bOHTSqKAjD +hBQak8LCFUQUhVRCxAdqwkoQRDCdEIOKKGIqZUWRVHYKamWMWgjBB1ikWAux +slDjg2ihSMyaIPgKWKjYJCLW1525M2dmzjl3dyOBrCHNEpfVbOKee/475/+/ +n+4+DwT9JehlVv0l+ygPwO4mOCLtpf4S9DJTPP0cZ2ZLmAUAxcw+QluWuQT7 +Z5+oHYvbtGzrn29syhlSRmXAjOqcvcV+QZjnyPL5E6mV5xtO8TPn1Zx5u2r/ +C/3M39H01J186wjnzG53imT/XKSWyMyfVqXGJ539e+/BzoWYMUQdARktgHga +qruzmc48qujMttMkVc0fVP5PumldfACWHTUBcoSAb1YvEjXD9JpUUc19R8JZ +M5qkalDNBpIemzX7vub+9Oa2+4qo5j3XKXowOCmq+bZVzV3InJsg5tw4dVV7 +zLkHmmSRepv/VTFvdgm/EUveGBZeXbtWy891H8kQbbu663qQovZqtkxODCgK +TQdXNFt+LU4MGGDZvusCHlfBtgzxok41W97Jarl8SdkdqOUujORLUUJMLa+j +7RoiEyuRtNwLsYmAhpEawc4TDaOfaBiu9/qdTfrxdSf0Li92Rb0l1Toa8uO8 +OJLXTxIcFxsihl9B1mpLey+3BQy538yQQ7eYdxd/OC/MS9fqR/5lc02iVj+O ++Gdx5FR0CTCykyaLbIsXxCkWG5AVKYN8XxHiU4eYQ8iutsMxfZwMtJ6i34fN +Hma6S7/q0Zcv0JlW1MPs95VEGv5QMQOFB64jFFVClxdfPwIPs0CwhI4h27ul +Y0ikH86LNj2i7d2L9G97ytt7RDHrtNIABXLDtFKbg6AXHKRG02NTxdyToZg7 +DUF2vzpNPvQ2hwTm42XFfMrb6ZHArPp9b6JibjbDMRdbQsW8iBSzqgLKUMzY +WYKVZTlbA+TH/LM6SyqUZgbQmaC6LJ/8ZMUc1JPVoJijKMaI2RLryaRoYczE +AO55ivkxKeYRO/xqzVbMRaWY7wSK2ZKY5bhmSgp8FYnZLqdpS2I+VkUxnyHF +7EozvSU1ANvwVw84oxRzBah5qphfhoqZb0Kf3XXrqYPX0wub/mPaTDokghou +7i85mWx9Uwg65ndVaMyUtcRwJzgqXYtb2dGx5eJu8rp/YB1dSxUzVmWPizPD +BWgnYM7TZFv/gC0X6TDB01DerXxjk6r9mXbODGn+sxDzWMJmo5ozb8E58y8N +mPHnzLH2vx3enJn9zO0H1QkoZdLXW+OTTtl8WePvUj6IRrszZJlpGI20AIo7 +wwBp4FRUH+nQnMd5ml2nSXZ/9o0INYNZcz41YyYODQbW6OV4ohI5oy971tzD +S/SslwbEm9sfyd5LGaqZmXOG1KxU85CQmlN6BqjmklHNTEvGZsCHr2aomBuX +lh9GQTWjfk74YRj/3DhVftjAf6Olyr+YuIePc/DKlshzp+foueA3hQnM5jp6 +h03uXdX+21wWeS43y6+bL9+jnt5Lvf+81a4rC5/F+fNe6v3nXfgs1u//zf/4 +PWbrs7gCvk7ga3z4DA8N9LqGv20IhHU=\ \>", "ImageResolution" -> \ -96.],ExpressionUUID->"3ba0d0d9-71ff-4192-8dae-78fabe9127a4"] +96.],ExpressionUUID->"f7800255-b48c-4368-ba57-548155e86dfa"] }, Open ]], Cell[CellGroupData[{ @@ -35228,7 +36271,7 @@ Cell[BoxData[{ RowBox[{ RowBox[{ RowBox[{"H", "[", "11", "]"}], "=", - RowBox[{"h", "[", "8", "]"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{"h", "[", "9", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ RowBox[{ RowBox[{"NF", "[", "11", "]"}], "=", "6"}], ";"}], "\[IndentingNewLine]", @@ -35242,68 +36285,73 @@ Cell[BoxData[{ RowBox[{ RowBox[{ "Import", "[", - "\"\<~/doc/research/first_order_singularities/mma/m11-2.wl\>\"", "]"}], - "[", + "\"\<~/doc/research/first_order_singularities/mma/11.wl\>\"", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}]}]}], "Input", CellChangeTimes->{{3.843544396129781*^9, 3.8435444542503853`*^9}, { 3.84362534544658*^9, 3.843625345878365*^9}, {3.843637945534485*^9, - 3.843637947278*^9}, {3.8436380066001596`*^9, 3.843638007279107*^9}}, - CellLabel->"In[70]:=",ExpressionUUID->"990c0156-b917-447b-8ea2-39f8d0d504d1"], + 3.843637947278*^9}, {3.8436380066001596`*^9, 3.843638007279107*^9}, { + 3.8437109210522957`*^9, 3.843710921508013*^9}, {3.8437139963254013`*^9, + 3.843714000652972*^9}, {3.843728089015019*^9, 3.843728091110979*^9}}, + CellLabel->"",ExpressionUUID->"990c0156-b917-447b-8ea2-39f8d0d504d1"], Cell[BoxData[ RowBox[{"{", RowBox[{ - RowBox[{"\[Theta]c", "\[Rule]", "1.3996678273044187`"}], ",", - RowBox[{"\[Theta]0", "\[Rule]", "0.19657716689868718`"}], ",", + RowBox[{"\[Theta]c", "\[Rule]", "1.3913474674915387`"}], ",", + RowBox[{"\[Theta]0", "\[Rule]", "0.19655731479519636`"}], ",", RowBox[{"AH", "\[Rule]", - RowBox[{"-", "2.5496048635470787`"}]}], ",", + RowBox[{"-", "2.543160499630645`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7293729017965527`"}], ",", + RowBox[{"A", "[", "1", "]"}], "\[Rule]", "1.7202774828753598`"}], ",", RowBox[{ - RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5922884824663168`"}], ",", + RowBox[{"A", "[", "2", "]"}], "\[Rule]", "0.5931379821475696`"}], ",", RowBox[{ RowBox[{"gC", "[", "1", "]"}], "\[Rule]", - RowBox[{"-", "0.36979238667656467`"}]}], ",", + RowBox[{"-", "0.3590042949089068`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "2", "]"}], "\[Rule]", "0.002968078845974853`"}], ",", - + RowBox[{"gC", "[", "2", "]"}], "\[Rule]", + RowBox[{"-", "0.0020294361484579516`"}]}], ",", RowBox[{ RowBox[{"A", "[", "3", "]"}], "\[Rule]", - RowBox[{"-", "0.2916655943110614`"}]}], ",", + RowBox[{"-", "0.28632916219030613`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.0527958256144447`"}], ",", + RowBox[{"gC", "[", "3", "]"}], "\[Rule]", "0.05284520770144243`"}], ",", RowBox[{ RowBox[{"gC", "[", "4", "]"}], "\[Rule]", - RowBox[{"-", "0.02010289010025246`"}]}], ",", + RowBox[{"-", "0.0200782236788562`"}]}], ",", RowBox[{ - RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07506575034449132`"}], ",", + RowBox[{"A", "[", "4", "]"}], "\[Rule]", "0.07340497669659873`"}], ",", RowBox[{ - RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.003409047702842989`"}], ",", - + RowBox[{"gC", "[", "5", "]"}], "\[Rule]", "0.0034133036368888883`"}], ",", RowBox[{ RowBox[{"gC", "[", "6", "]"}], "\[Rule]", - RowBox[{"-", "0.0002344412816387626`"}]}], ",", + RowBox[{"-", "0.00021383325303668766`"}]}], ",", RowBox[{ RowBox[{"A", "[", "5", "]"}], "\[Rule]", - RowBox[{"-", "0.007116812172949844`"}]}], ",", + RowBox[{"-", "0.007299574480334138`"}]}], ",", RowBox[{ RowBox[{"gC", "[", "7", "]"}], "\[Rule]", - RowBox[{"-", "0.000018352846229494057`"}]}], ",", + RowBox[{"-", "0.00002495169711421392`"}]}], ",", RowBox[{ - RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "2.8743650612586413`*^-6"}], + RowBox[{"gC", "[", "8", "]"}], "\[Rule]", "3.6299187273213372`*^-6"}], ",", RowBox[{ - RowBox[{"A", "[", "6", "]"}], "\[Rule]", - RowBox[{"-", "0.00004059955187474823`"}]}]}], "}"}]], "Output", + RowBox[{"A", "[", "6", "]"}], "\[Rule]", "0.0000294160793005649`"}], ",", + + RowBox[{ + RowBox[{"gC", "[", "9", "]"}], "\[Rule]", + RowBox[{"-", "1.2350236293412953`*^-8"}]}]}], "}"}]], "Output", CellChangeTimes->{{3.843544451672003*^9, 3.84354445475845*^9}, { 3.843625346157543*^9, 3.8436253531800337`*^9}, 3.8436379476027603`*^9, - 3.843638007572206*^9}, - CellLabel->"Out[73]=",ExpressionUUID->"89cfa7ad-f948-401f-962b-8b5a8eaabd16"] + 3.843638007572206*^9, 3.84371093111165*^9, 3.843714001788314*^9, + 3.84372151320288*^9}, + CellLabel->"Out[53]=",ExpressionUUID->"a03c0e53-f1d6-465c-bec4-40d66af78dd1"] }, Open ]] }, Open ]] }, Open ]] }, -WindowSize->{955.5, 1060.5}, +WindowSize->{635.25, 1060.5}, WindowMargins->{{2.25, Automatic}, {2.25, Automatic}}, FrontEndVersion->"12.3 for Linux x86 (64-bit) (July 9, 2021)", StyleDefinitions->"Default.nb", @@ -35320,231 +36368,295 @@ CellTagsIndex->{} *) (*NotebookFileOutline Notebook[{ -Cell[558, 20, 991, 15, 24, "Input",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], -Cell[1552, 37, 545, 13, 41, "Input",ExpressionUUID->"ea42bd52-8968-49ef-a999-7ab838217fa1"], -Cell[2100, 52, 463, 11, 24, "Input",ExpressionUUID->"2fdffe9d-9220-4c97-866d-0a1f5e3200a1"], -Cell[2566, 65, 331, 7, 24, "Input",ExpressionUUID->"8f4d55d2-f559-4382-a0b2-1a4eb0ce0b9a"], +Cell[558, 20, 996, 16, 24, "Input",ExpressionUUID->"e595a667-7f25-4f98-9f12-a321a236d113"], +Cell[1557, 38, 545, 13, 41, "Input",ExpressionUUID->"ea42bd52-8968-49ef-a999-7ab838217fa1"], +Cell[2105, 53, 463, 11, 24, "Input",ExpressionUUID->"2fdffe9d-9220-4c97-866d-0a1f5e3200a1"], +Cell[2571, 66, 331, 7, 24, "Input",ExpressionUUID->"8f4d55d2-f559-4382-a0b2-1a4eb0ce0b9a"], +Cell[CellGroupData[{ +Cell[2927, 77, 203, 4, 50, "Section",ExpressionUUID->"5d9c76ab-41db-4d8f-b32b-3ceed5a29b07"], +Cell[CellGroupData[{ +Cell[3155, 85, 163, 3, 41, "Subsection",ExpressionUUID->"04b3434a-7ae1-4e59-9492-797bc05d141c"], +Cell[3321, 90, 218, 5, 22, "Input",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], +Cell[CellGroupData[{ +Cell[3564, 99, 845, 23, 22, "Input",ExpressionUUID->"f1779a9a-9c6a-4c22-8f2d-5e3f6320dd18"], +Cell[4412, 124, 1649, 39, 58, "Output",ExpressionUUID->"7b6a0ec1-17b1-42fe-be1f-bc27b407eb0f"] +}, Open ]], +Cell[CellGroupData[{ +Cell[6098, 168, 3710, 68, 71, "Input",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], +Cell[9811, 238, 2327, 33, 25, "Output",ExpressionUUID->"1560e838-31e7-482c-8c61-6b82790b3283"] +}, Open ]], +Cell[CellGroupData[{ +Cell[12175, 276, 702, 19, 24, "Input",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], +Cell[12880, 297, 1879, 32, 44, "Output",ExpressionUUID->"44b44280-02dd-432c-a93d-a6c18e60d889"] +}, Open ]], Cell[CellGroupData[{ -Cell[2922, 76, 203, 4, 50, "Section",ExpressionUUID->"5d9c76ab-41db-4d8f-b32b-3ceed5a29b07"], +Cell[14796, 334, 5472, 82, 75, "Input",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], +Cell[20271, 418, 15046, 323, 183, "Output",ExpressionUUID->"b42c1f8b-0961-4720-9780-938a106ee199"] +}, Open ]], +Cell[CellGroupData[{ +Cell[35354, 746, 5689, 93, 92, "Input",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], +Cell[41046, 841, 7322, 165, 181, "Output",ExpressionUUID->"b1622600-354e-42b3-a170-00c398736669"] +}, Open ]], +Cell[CellGroupData[{ +Cell[48405, 1011, 5622, 87, 75, "Input",ExpressionUUID->"b80d3f22-eb0b-4407-8e47-23e8047f75de"], +Cell[54030, 1100, 17155, 326, 177, "Output",ExpressionUUID->"51d9ee8e-4cc3-4bcc-b254-f53467cac03f"] +}, Open ]], +Cell[71200, 1429, 227, 4, 22, "Input",ExpressionUUID->"c6e9f8ad-3b09-4a82-be20-5c15306095f7"], +Cell[CellGroupData[{ +Cell[71452, 1437, 2524, 48, 24, "Input",ExpressionUUID->"d7e7fb71-cf24-4ff4-8b55-30964f0ae08c"], +Cell[73979, 1487, 594, 13, 22, "Message",ExpressionUUID->"878453de-b9da-4a3e-bff5-d563e58c984a"], +Cell[74576, 1502, 594, 13, 22, "Message",ExpressionUUID->"543aa25e-0c46-4263-8219-3c42c63c6826"], +Cell[75173, 1517, 593, 13, 22, "Message",ExpressionUUID->"a91008f4-a66c-4e00-9a19-3c8c337ea4fd"], +Cell[75769, 1532, 534, 12, 22, "Message",ExpressionUUID->"708ce0ba-7451-4a83-9278-29373ec439a0"], +Cell[76306, 1546, 3032, 54, 44, "Output",ExpressionUUID->"23be90ec-d684-4f05-9496-222b14c870b4"] +}, Open ]], Cell[CellGroupData[{ -Cell[3150, 84, 163, 3, 41, "Subsection",ExpressionUUID->"04b3434a-7ae1-4e59-9492-797bc05d141c"], -Cell[3316, 89, 214, 4, 22, "Input",ExpressionUUID->"c2736e8b-b9a2-44e3-be7a-35f424888e11"], +Cell[79375, 1605, 2100, 36, 24, "Input",ExpressionUUID->"71729ee5-357f-4cce-b306-ed7f0fd8693f"], +Cell[81478, 1643, 3031, 54, 42, "Output",ExpressionUUID->"4b914b17-9403-41a8-939a-2d765b5354c6"] +}, Open ]], +Cell[84524, 1700, 230, 5, 22, "Input",ExpressionUUID->"7ef8ee62-41a4-45fa-8dac-fae83c979244"], Cell[CellGroupData[{ -Cell[3555, 97, 3361, 60, 22, "Input",ExpressionUUID->"a326d1ad-f5a3-49d5-9b86-3a79e4cb291c"], -Cell[6919, 159, 2489, 40, 25, "Output",ExpressionUUID->"644e4928-729a-43f8-82b8-8a5ddf0eda13"] +Cell[84779, 1709, 425, 12, 22, "Input",ExpressionUUID->"9a00ab65-b447-44be-a4e3-b4d39b092b44"], +Cell[85207, 1723, 186, 3, 25, "Output",ExpressionUUID->"2666b194-e53c-4199-bac1-60cb3cc85756"] }, Open ]], Cell[CellGroupData[{ -Cell[9445, 204, 698, 18, 24, "Input",ExpressionUUID->"8eab97ea-e359-40c0-9935-fde12f7b604a"], -Cell[10146, 224, 1754, 29, 27, "Output",ExpressionUUID->"570d9331-beca-4192-b537-3d2d08e47729"] +Cell[85430, 1731, 2889, 56, 56, "Input",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], +Cell[88322, 1789, 1160, 23, 44, "Output",ExpressionUUID->"a41954f4-33ee-4255-936e-409c32471613"] }, Open ]], Cell[CellGroupData[{ -Cell[11937, 258, 4920, 74, 75, "Input",ExpressionUUID->"205f64ad-0fed-40fc-a0ee-a19d5c4d39b3"], -Cell[16860, 334, 14581, 316, 183, "Output",ExpressionUUID->"463c06a6-d67c-40a3-b029-5fdaa3bcba74"] +Cell[89519, 1817, 671, 18, 24, "Input",ExpressionUUID->"3515a135-edc1-4f4f-8610-378ace64a9b7"], +Cell[90193, 1837, 1057, 23, 42, "Output",ExpressionUUID->"1930b4b2-6535-4d94-8958-2ad399c4c17b"] }, Open ]], Cell[CellGroupData[{ -Cell[31478, 655, 4843, 74, 75, "Input",ExpressionUUID->"5755d9a0-f64b-44fe-a9ca-88f25a3c1639"], -Cell[36324, 731, 13243, 244, 181, "Output",ExpressionUUID->"ecc36c6e-ba9c-4ff6-a8bf-cf5fa2322ec1"] +Cell[91287, 1865, 2571, 52, 56, "Input",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], +Cell[93861, 1919, 3021, 52, 44, "Output",ExpressionUUID->"6a6bc5ce-5b34-459f-a22c-941737cf0565"] }, Open ]], Cell[CellGroupData[{ -Cell[49604, 980, 1736, 30, 24, "Input",ExpressionUUID->"b8ec9dac-481c-4447-b6be-1a0fca4ca17b"], -Cell[51343, 1012, 2134, 36, 25, "Output",ExpressionUUID->"8b63b6e2-6e50-4f84-8f46-d90b3d33fd5f"] +Cell[96919, 1976, 754, 21, 24, "Input",ExpressionUUID->"85da52aa-1039-4e9e-bfb7-957631df3f51"], +Cell[97676, 1999, 515, 12, 22, "Message",ExpressionUUID->"2fe14a28-35b5-494c-b582-a29acfb73210"], +Cell[98194, 2013, 510, 11, 22, "Message",ExpressionUUID->"c3673e99-ca9e-49c1-8e5b-21d883c4ef41"], +Cell[98707, 2026, 512, 11, 22, "Message",ExpressionUUID->"ed4d4442-9e2c-4228-aa01-9be8e1ebdab4"], +Cell[99222, 2039, 509, 11, 22, "Message",ExpressionUUID->"f82cd4be-bb5b-4c28-a352-6894793aca94"], +Cell[99734, 2052, 1009, 25, 42, "Output",ExpressionUUID->"5dd79a11-570c-44ec-9746-87e670b03ee1"] }, Open ]], Cell[CellGroupData[{ -Cell[53514, 1053, 1321, 28, 24, "Input",ExpressionUUID->"29398383-9203-4cf6-aabb-1d3fe15a5894"], -Cell[54838, 1083, 2097, 36, 25, "Output",ExpressionUUID->"40726a7d-a8f4-41f7-ba57-3792c95d26f6"] +Cell[100780, 2082, 2611, 54, 56, "Input",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], +Cell[103394, 2138, 3120, 56, 42, "Output",ExpressionUUID->"9c259a8c-bce3-49eb-b1dd-e7bcabedadcf"] }, Open ]], Cell[CellGroupData[{ -Cell[56972, 1124, 1552, 33, 24, "Input",ExpressionUUID->"c364dda0-78f5-4a76-94b1-e8f7c84b3bff"], -Cell[58527, 1159, 602, 13, 22, "Message",ExpressionUUID->"5a24fe28-6276-4fd4-95da-e7d41470cfa5"], -Cell[59132, 1174, 605, 14, 22, "Message",ExpressionUUID->"f0febc88-991c-4815-bd8b-0f06f44c10da"], -Cell[59740, 1190, 605, 14, 22, "Message",ExpressionUUID->"e2b171da-938a-4d19-a0d7-11af06561d02"], -Cell[60348, 1206, 597, 13, 22, "Message",ExpressionUUID->"bcad07b7-c78f-4e35-a48f-14bad35fc031"], -Cell[60948, 1221, 2326, 42, 25, "Output",ExpressionUUID->"ae92cda0-8cb1-4b46-b9fb-7b158645793b"] +Cell[106551, 2199, 2729, 56, 56, "Input",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], +Cell[109283, 2257, 1839, 27, 25, "Output",ExpressionUUID->"430992b3-b545-4ad5-857e-1cd7f9e0f50a"] }, Open ]], Cell[CellGroupData[{ -Cell[63311, 1268, 1782, 36, 24, "Input",ExpressionUUID->"549433df-adc9-4102-a29c-48e725ec49b3"], -Cell[65096, 1306, 2306, 45, 44, "Output",ExpressionUUID->"b68b4626-9db5-48b8-b533-e358a6253859"] +Cell[111159, 2289, 2969, 59, 56, "Input",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], +Cell[114131, 2350, 460, 10, 22, "Message",ExpressionUUID->"b628b1ce-8f72-4517-8684-e5b37106425b"], +Cell[114594, 2362, 461, 10, 22, "Message",ExpressionUUID->"21f24859-445c-4727-9669-cfd60008d6c9"], +Cell[115058, 2374, 461, 10, 22, "Message",ExpressionUUID->"34862ce4-ccc3-473a-983f-83c9d41299b9"], +Cell[115522, 2386, 456, 10, 22, "Message",ExpressionUUID->"800b496e-93af-4967-9f4d-19bc30cefc7c"], +Cell[115981, 2398, 3267, 61, 58, "Output",ExpressionUUID->"4b16f87a-8343-4a14-b1b1-eede27195bbc"] }, Open ]], Cell[CellGroupData[{ -Cell[67439, 1356, 2197, 42, 24, "Input",ExpressionUUID->"d22ce325-6b7f-4f46-b453-76866b23bb27"], -Cell[69639, 1400, 459, 10, 22, "Message",ExpressionUUID->"1ed89cf4-fd5c-48bc-bead-0c386fce6f08"], -Cell[70101, 1412, 458, 10, 22, "Message",ExpressionUUID->"2bc8ebfc-4ed7-4408-9239-534aa27339a8"], -Cell[70562, 1424, 463, 11, 22, "Message",ExpressionUUID->"ac9b937b-6466-471b-99dc-173a1c6d2133"], -Cell[71028, 1437, 453, 10, 22, "Message",ExpressionUUID->"f9529706-6eef-4cd9-a0cd-121ca1a87f4c"], -Cell[71484, 1449, 2886, 56, 42, "Output",ExpressionUUID->"5c1d6394-093e-42c9-b567-0392ee8b8dd0"] +Cell[119285, 2464, 2237, 39, 24, "Input",ExpressionUUID->"7802d202-3d6d-4dfa-88dc-b610c353f8e0"], +Cell[121525, 2505, 436, 10, 22, "Message",ExpressionUUID->"a6afe7e7-a295-46f1-b353-09cdec263eb6"], +Cell[121964, 2517, 438, 10, 22, "Message",ExpressionUUID->"72e76bc6-8558-45fb-a4d6-1f3c0d25dce3"], +Cell[122405, 2529, 2009, 29, 25, "Output",ExpressionUUID->"5faec697-031f-4594-9d93-9cfbc6c71780"] }, Open ]], Cell[CellGroupData[{ -Cell[74407, 1510, 1359, 33, 24, "Input",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], +Cell[124451, 2563, 3589, 66, 72, "Input",ExpressionUUID->"11802c33-e902-4c87-b4ea-a0ce5f2dcdff"], Cell[CellGroupData[{ -Cell[75791, 1547, 322, 9, 56, "Print",ExpressionUUID->"d88f05b7-867e-4007-b490-523af8835859"], -Cell[76116, 1558, 324, 9, 56, "Print",ExpressionUUID->"6e79534c-a26a-442a-96ea-7a3db22b040d"], -Cell[76443, 1569, 322, 9, 56, "Print",ExpressionUUID->"c2b325db-60f5-4577-b04a-1ef2e7ea4b07"], -Cell[76768, 1580, 274, 7, 30, "Print",ExpressionUUID->"f6bbed36-a30c-4318-a016-b54804343acb"] +Cell[128065, 2633, 271, 7, 30, "Print",ExpressionUUID->"511d0852-d858-4548-8196-98f35415e50e"], +Cell[128339, 2642, 318, 9, 56, "Print",ExpressionUUID->"f79394b9-541f-492c-93db-8756d1b14926"], +Cell[128660, 2653, 320, 9, 56, "Print",ExpressionUUID->"b1edbebb-e977-4520-964f-b1cec1161771"], +Cell[128983, 2664, 317, 9, 56, "Print",ExpressionUUID->"bfd58a31-019e-410a-b9e9-ab659fab9ac9"], +Cell[129303, 2675, 272, 7, 30, "Print",ExpressionUUID->"3b1d2871-5059-4719-bd90-6aaba8c9caef"] }, Open ]], -Cell[77057, 1590, 1883, 44, 42, "Output",ExpressionUUID->"8774a6d9-0286-4a73-9c47-aea4f47d539e"] +Cell[129590, 2685, 3091, 59, 58, "Output",ExpressionUUID->"98dfdb17-c250-42bc-8b48-17896671f0f4"] }, Open ]], Cell[CellGroupData[{ -Cell[78977, 1639, 3914, 66, 24, "Input",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], -Cell[82894, 1707, 2063, 45, 44, "Output",ExpressionUUID->"b9674ad4-9299-43fc-9857-72ddd0bea7a1"] +Cell[132718, 2749, 1443, 34, 24, "Input",ExpressionUUID->"f29012dd-767e-4ccd-8cc2-503ba7241dd4"], +Cell[134164, 2785, 1959, 47, 58, "Output",ExpressionUUID->"856b1968-3f0f-4f85-ad84-7cb3e73f0a0d"] }, Open ]], Cell[CellGroupData[{ -Cell[84994, 1757, 1191, 23, 24, "Input",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], -Cell[86188, 1782, 1830, 45, 42, "Output",ExpressionUUID->"caa1284c-d3de-42bf-9f1c-5c6b4244304f"] +Cell[136160, 2837, 4342, 78, 89, "Input",ExpressionUUID->"bacf1c86-2f2d-48d5-884c-81947e6029a1"], +Cell[CellGroupData[{ +Cell[140527, 2919, 293, 8, 43, "Print",ExpressionUUID->"8a120e5f-a5a3-4f17-b3ed-fe4c02b738db"], +Cell[140823, 2929, 294, 8, 43, "Print",ExpressionUUID->"de95d3ae-aa9a-4b14-bde6-721d748d8480"], +Cell[141120, 2939, 271, 7, 30, "Print",ExpressionUUID->"c9fd7d2b-d1a0-48f2-b8b6-bbecc90bd16c"], +Cell[141394, 2948, 318, 9, 56, "Print",ExpressionUUID->"756862c8-5721-4b71-9543-cd0dd99e93c0"], +Cell[141715, 2959, 320, 9, 56, "Print",ExpressionUUID->"7e805f4a-3d92-47ae-8493-1e29f9635c40"], +Cell[142038, 2970, 318, 9, 56, "Print",ExpressionUUID->"d575551e-b2b0-4da3-a620-4e466d368394"], +Cell[142359, 2981, 272, 7, 30, "Print",ExpressionUUID->"3bfcd99d-0da9-4b75-b727-45a2f3b0a0e8"], +Cell[142634, 2990, 294, 8, 43, "Print",ExpressionUUID->"8abe42c0-cb42-47f7-8d0e-35a497bc69c1"], +Cell[142931, 3000, 279, 7, 30, "Print",ExpressionUUID->"a75f4be0-6356-4a2d-ac60-ca5a174ca99a"] +}, Open ]], +Cell[143225, 3010, 1673, 42, 27, "Output",ExpressionUUID->"63af0244-4204-4b51-95aa-39d445b52770"] +}, Open ]], +Cell[CellGroupData[{ +Cell[144935, 3057, 1303, 27, 24, "Input",ExpressionUUID->"c58535c6-e2cc-4022-b4ee-6e96bd40636a"], +Cell[146241, 3086, 1892, 45, 27, "Output",ExpressionUUID->"1bc01698-52fd-4bce-98c8-84ff43606c38"] }, Open ]], Cell[CellGroupData[{ -Cell[88055, 1832, 3750, 61, 24, "Input",ExpressionUUID->"78da668e-4ef0-42e2-a70b-6bf19f9b37c3"], +Cell[148170, 3136, 3750, 61, 24, "Input",ExpressionUUID->"78da668e-4ef0-42e2-a70b-6bf19f9b37c3"], Cell[CellGroupData[{ -Cell[91830, 1897, 322, 9, 56, "Print",ExpressionUUID->"2633e8ff-3a2c-4e3a-a4f9-d4a96377ebf3"], -Cell[92155, 1908, 324, 9, 56, "Print",ExpressionUUID->"cf149e9a-ff7b-4279-ba22-60a5061cccd7"], -Cell[92482, 1919, 324, 9, 56, "Print",ExpressionUUID->"579bb1ce-0683-426a-8ecf-cc753bd77ccb"], -Cell[92809, 1930, 272, 7, 30, "Print",ExpressionUUID->"f8dc207c-67fd-491a-ae00-b45b0b9bf708"] +Cell[151945, 3201, 322, 9, 56, "Print",ExpressionUUID->"2633e8ff-3a2c-4e3a-a4f9-d4a96377ebf3"], +Cell[152270, 3212, 324, 9, 56, "Print",ExpressionUUID->"cf149e9a-ff7b-4279-ba22-60a5061cccd7"], +Cell[152597, 3223, 324, 9, 56, "Print",ExpressionUUID->"579bb1ce-0683-426a-8ecf-cc753bd77ccb"], +Cell[152924, 3234, 272, 7, 30, "Print",ExpressionUUID->"f8dc207c-67fd-491a-ae00-b45b0b9bf708"] }, Open ]], -Cell[93096, 1940, 2174, 50, 42, "Output",ExpressionUUID->"c6e2490b-6500-4f13-ada0-6cff9d298ebe"] +Cell[153211, 3244, 2174, 50, 25, "Output",ExpressionUUID->"c6e2490b-6500-4f13-ada0-6cff9d298ebe"] }, Open ]], Cell[CellGroupData[{ -Cell[95307, 1995, 1195, 24, 24, "Input",ExpressionUUID->"223493f4-d77c-46b0-bb97-87a0be67433c"], -Cell[96505, 2021, 1829, 43, 42, "Output",ExpressionUUID->"7ea2834e-6718-45dc-b27c-33dbbcda6b96"] +Cell[155422, 3299, 1195, 24, 24, "Input",ExpressionUUID->"223493f4-d77c-46b0-bb97-87a0be67433c"], +Cell[156620, 3325, 1829, 43, 25, "Output",ExpressionUUID->"7ea2834e-6718-45dc-b27c-33dbbcda6b96"] }, Open ]], Cell[CellGroupData[{ -Cell[98371, 2069, 2910, 50, 24, "Input",ExpressionUUID->"200b94d3-7cab-4649-99f3-c2558b1a5011"], +Cell[158486, 3373, 2910, 50, 24, "Input",ExpressionUUID->"200b94d3-7cab-4649-99f3-c2558b1a5011"], Cell[CellGroupData[{ -Cell[101306, 2123, 296, 8, 43, "Print",ExpressionUUID->"2185ced4-0a66-4a97-8838-180d3ce37879"], -Cell[101605, 2133, 322, 9, 56, "Print",ExpressionUUID->"df24891c-7bf9-4b21-85c2-73617581eebb"], -Cell[101930, 2144, 324, 9, 56, "Print",ExpressionUUID->"a6619516-6538-49ad-b331-3956e0aa62c9"], -Cell[102257, 2155, 322, 9, 56, "Print",ExpressionUUID->"0558deb8-f9a3-4d34-8ab5-3fc2fa8b0deb"], -Cell[102582, 2166, 274, 7, 30, "Print",ExpressionUUID->"af5140d0-5f4f-4ff2-91a9-9ac6d7032d89"] +Cell[161421, 3427, 296, 8, 43, "Print",ExpressionUUID->"2185ced4-0a66-4a97-8838-180d3ce37879"], +Cell[161720, 3437, 322, 9, 56, "Print",ExpressionUUID->"df24891c-7bf9-4b21-85c2-73617581eebb"], +Cell[162045, 3448, 324, 9, 56, "Print",ExpressionUUID->"a6619516-6538-49ad-b331-3956e0aa62c9"], +Cell[162372, 3459, 322, 9, 56, "Print",ExpressionUUID->"0558deb8-f9a3-4d34-8ab5-3fc2fa8b0deb"], +Cell[162697, 3470, 274, 7, 30, "Print",ExpressionUUID->"af5140d0-5f4f-4ff2-91a9-9ac6d7032d89"] }, Open ]], -Cell[102871, 2176, 2286, 52, 44, "Output",ExpressionUUID->"f91958e9-a220-4f8e-ae16-55c2d7026d35"] +Cell[162986, 3480, 2286, 52, 27, "Output",ExpressionUUID->"f91958e9-a220-4f8e-ae16-55c2d7026d35"] }, Open ]], Cell[CellGroupData[{ -Cell[105194, 2233, 179, 3, 22, "Input",ExpressionUUID->"2e40e185-1d47-4e98-b537-128197264f04"], -Cell[105376, 2238, 1736, 45, 44, "Output",ExpressionUUID->"089e5e7d-8886-4596-9b12-15e349d13600"] +Cell[165309, 3537, 179, 3, 22, "Input",ExpressionUUID->"2e40e185-1d47-4e98-b537-128197264f04"], +Cell[165491, 3542, 1736, 45, 27, "Output",ExpressionUUID->"089e5e7d-8886-4596-9b12-15e349d13600"] }, Open ]], Cell[CellGroupData[{ -Cell[107149, 2288, 1241, 24, 24, "Input",ExpressionUUID->"0dba08c9-c74d-4268-96fa-0c6efda987ca"], -Cell[108393, 2314, 1843, 45, 42, "Output",ExpressionUUID->"30dd2ebb-5e89-4bec-b443-d6cfa7697ac6"] +Cell[167264, 3592, 1241, 24, 24, "Input",ExpressionUUID->"0dba08c9-c74d-4268-96fa-0c6efda987ca"], +Cell[168508, 3618, 1843, 45, 25, "Output",ExpressionUUID->"30dd2ebb-5e89-4bec-b443-d6cfa7697ac6"] }, Open ]], Cell[CellGroupData[{ -Cell[110273, 2364, 1520, 38, 24, "Input",ExpressionUUID->"77feec13-1cdc-471d-8d45-49920b8c9c58"], -Cell[111796, 2404, 625, 12, 22, "Message",ExpressionUUID->"91443dc7-57dd-4d8a-aff2-566e34296967"], -Cell[112424, 2418, 634, 12, 22, "Message",ExpressionUUID->"8c8db586-7a76-4cc8-b40c-f5641a83fb2a"], -Cell[113061, 2432, 974, 17, 34, "Message",ExpressionUUID->"55680071-ebde-46b2-9985-b7b1773db7d9"], -Cell[114038, 2451, 512, 8, 25, "Output",ExpressionUUID->"23e34e05-cb3e-40f1-ba06-a11819fced80"] +Cell[170388, 3668, 1520, 38, 24, "Input",ExpressionUUID->"77feec13-1cdc-471d-8d45-49920b8c9c58"], +Cell[171911, 3708, 625, 12, 22, "Message",ExpressionUUID->"91443dc7-57dd-4d8a-aff2-566e34296967"], +Cell[172539, 3722, 634, 12, 22, "Message",ExpressionUUID->"8c8db586-7a76-4cc8-b40c-f5641a83fb2a"], +Cell[173176, 3736, 974, 17, 22, "Message",ExpressionUUID->"55680071-ebde-46b2-9985-b7b1773db7d9"], +Cell[174153, 3755, 512, 8, 25, "Output",ExpressionUUID->"23e34e05-cb3e-40f1-ba06-a11819fced80"] }, Open ]], Cell[CellGroupData[{ -Cell[114587, 2464, 1280, 30, 24, "Input",ExpressionUUID->"2742bf10-6e80-4043-a3d1-4f8276912fa7"], +Cell[174702, 3768, 1280, 30, 24, "Input",ExpressionUUID->"2742bf10-6e80-4043-a3d1-4f8276912fa7"], Cell[CellGroupData[{ -Cell[115892, 2498, 344, 9, 56, "Print",ExpressionUUID->"d968a77e-0b23-4ede-8778-fe70c8fe67a4"], -Cell[116239, 2509, 342, 9, 56, "Print",ExpressionUUID->"c0fb9f74-f597-4290-ab92-19fc6918cb3b"], -Cell[116584, 2520, 342, 9, 56, "Print",ExpressionUUID->"d580040e-4b47-47e5-a184-41377961a189"], -Cell[116929, 2531, 272, 7, 30, "Print",ExpressionUUID->"f836f1cb-320c-4756-a39e-0d2706b3cc76"], -Cell[117204, 2540, 271, 7, 30, "Print",ExpressionUUID->"c1df8207-0a77-43dc-8619-51d191eb8a45"], -Cell[117478, 2549, 294, 8, 43, "Print",ExpressionUUID->"7bac1e96-85d8-4b0c-a777-cc3800b0bd9f"] +Cell[176007, 3802, 344, 9, 56, "Print",ExpressionUUID->"d968a77e-0b23-4ede-8778-fe70c8fe67a4"], +Cell[176354, 3813, 342, 9, 56, "Print",ExpressionUUID->"c0fb9f74-f597-4290-ab92-19fc6918cb3b"], +Cell[176699, 3824, 342, 9, 56, "Print",ExpressionUUID->"d580040e-4b47-47e5-a184-41377961a189"], +Cell[177044, 3835, 272, 7, 30, "Print",ExpressionUUID->"f836f1cb-320c-4756-a39e-0d2706b3cc76"], +Cell[177319, 3844, 271, 7, 30, "Print",ExpressionUUID->"c1df8207-0a77-43dc-8619-51d191eb8a45"], +Cell[177593, 3853, 294, 8, 43, "Print",ExpressionUUID->"7bac1e96-85d8-4b0c-a777-cc3800b0bd9f"] }, Open ]], -Cell[117787, 2560, 2207, 54, 60, "Output",ExpressionUUID->"956b70bf-8ee9-40a5-8b0c-7ecec5569248"] +Cell[177902, 3864, 2207, 54, 44, "Output",ExpressionUUID->"956b70bf-8ee9-40a5-8b0c-7ecec5569248"] +}, Open ]], +Cell[CellGroupData[{ +Cell[180146, 3923, 1403, 35, 24, "Input",ExpressionUUID->"da6fb0f0-808b-49e1-9a6d-6ced77c20899"], +Cell[181552, 3960, 625, 12, 22, "Message",ExpressionUUID->"a7443b43-ee71-430d-91d4-435b34fc9655"], +Cell[182180, 3974, 630, 12, 22, "Message",ExpressionUUID->"3a4d6953-ecc7-4836-bcde-ce1889fff237"], +Cell[182813, 3988, 970, 17, 22, "Message",ExpressionUUID->"f91cae25-3d55-4507-97e3-8efe0f1015cd"], +Cell[183786, 4007, 346, 6, 25, "Output",ExpressionUUID->"8ae1da27-83ab-4529-80cd-aa8d17f74340"] +}, Open ]], +Cell[CellGroupData[{ +Cell[184169, 4018, 325, 8, 24, "Input",ExpressionUUID->"f17f1130-056e-4552-87df-88000e071617"], +Cell[184497, 4028, 1954, 49, 44, "Output",ExpressionUUID->"02d3c92e-d0da-4803-afd6-9943e49011bf"] }, Open ]], Cell[CellGroupData[{ -Cell[120031, 2619, 1403, 35, 24, "Input",ExpressionUUID->"da6fb0f0-808b-49e1-9a6d-6ced77c20899"], -Cell[121437, 2656, 625, 12, 22, "Message",ExpressionUUID->"a7443b43-ee71-430d-91d4-435b34fc9655"], -Cell[122065, 2670, 630, 12, 22, "Message",ExpressionUUID->"3a4d6953-ecc7-4836-bcde-ce1889fff237"], -Cell[122698, 2684, 970, 17, 34, "Message",ExpressionUUID->"f91cae25-3d55-4507-97e3-8efe0f1015cd"], -Cell[123671, 2703, 346, 6, 25, "Output",ExpressionUUID->"8ae1da27-83ab-4529-80cd-aa8d17f74340"] +Cell[186488, 4082, 1821, 41, 24, "Input",ExpressionUUID->"30cc4ffc-6785-4b8d-b6fc-639e76f49ad9"], +Cell[188312, 4125, 511, 11, 22, "Message",ExpressionUUID->"19f98077-4ff8-4918-9a55-7133637e973a"] }, Open ]], +Cell[188838, 4139, 10391, 159, 22, "Input",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], +Cell[199232, 4300, 10904, 168, 22, "Input",ExpressionUUID->"e351157f-b944-4cbd-bedd-89b185c451f7"], Cell[CellGroupData[{ -Cell[124054, 2714, 325, 8, 24, "Input",ExpressionUUID->"f17f1130-056e-4552-87df-88000e071617"], -Cell[124382, 2724, 1954, 49, 60, "Output",ExpressionUUID->"02d3c92e-d0da-4803-afd6-9943e49011bf"] +Cell[210161, 4472, 642, 18, 24, "Input",ExpressionUUID->"9437364d-2d5a-4493-b405-40564b9ff897"], +Cell[210806, 4492, 9163, 212, 170, "Output",ExpressionUUID->"82afe91a-043e-4f5a-9cc6-8913efd80837"] }, Open ]], Cell[CellGroupData[{ -Cell[126373, 2778, 1821, 41, 41, "Input",ExpressionUUID->"30cc4ffc-6785-4b8d-b6fc-639e76f49ad9"], -Cell[128197, 2821, 511, 11, 22, "Message",ExpressionUUID->"19f98077-4ff8-4918-9a55-7133637e973a"] +Cell[220006, 4709, 1801, 44, 24, "Input",ExpressionUUID->"cc0d041f-1be1-4721-9bff-7905d15cd7c6"], +Cell[221810, 4755, 2116, 46, 44, "Output",ExpressionUUID->"a0e6b052-2a21-4317-a019-77888bf4863b"] }, Open ]], -Cell[128723, 2835, 10370, 159, 22, "Input",ExpressionUUID->"673ffefa-75f0-46ac-befd-c785b4bc3ce1"], +Cell[223941, 4804, 398, 8, 24, "Input",ExpressionUUID->"0c393b94-0e36-44bf-b752-a3d4e048e5f7"], Cell[CellGroupData[{ -Cell[139118, 2998, 441, 14, 22, "Input",ExpressionUUID->"a5165092-eecf-4e85-a3a5-4304bb512853"], -Cell[139562, 3014, 2057, 49, 93, "Output",ExpressionUUID->"60456ed9-98a5-47b9-9e09-acba1c18f115"] +Cell[224364, 4816, 4133, 75, 59, "Input",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], +Cell[228500, 4893, 24167, 491, 171, "Output",ExpressionUUID->"f4dafc1e-2d69-44f1-b6c9-4e92c5596f38"] }, Open ]], -Cell[141634, 3066, 261, 5, 22, "Input",ExpressionUUID->"cc0d041f-1be1-4721-9bff-7905d15cd7c6"], -Cell[141898, 3073, 402, 9, 24, "Input",ExpressionUUID->"0c393b94-0e36-44bf-b752-a3d4e048e5f7"], +Cell[252682, 5387, 287, 7, 22, "Input",ExpressionUUID->"50db4e94-544f-4873-82ae-09a8483fdb2d"], Cell[CellGroupData[{ -Cell[142325, 3086, 3597, 63, 41, "Input",ExpressionUUID->"0f44ea2f-bcb6-4b65-a37b-65515908d127"], -Cell[145925, 3151, 22198, 456, 171, "Output",ExpressionUUID->"c8ad67f8-1370-46ba-9e04-3184f13e0bb5"] +Cell[252994, 5398, 1038, 29, 24, "Input",ExpressionUUID->"47e013e3-8ac7-4133-8111-4b3509d6e2bb"], +Cell[254035, 5429, 304, 5, 25, "Output",ExpressionUUID->"37bddea5-8edb-4ad5-af54-8c1f0c2eab67"] }, Open ]], -Cell[168138, 3610, 287, 7, 22, "Input",ExpressionUUID->"50db4e94-544f-4873-82ae-09a8483fdb2d"], Cell[CellGroupData[{ -Cell[168450, 3621, 868, 24, 24, "Input",ExpressionUUID->"01387b01-3294-40e7-8b69-78378d670e52"], -Cell[169321, 3647, 17645, 407, 184, "Output",ExpressionUUID->"e01e1b9e-f144-4c4f-8c95-ee686082376b"] +Cell[254376, 5439, 868, 24, 24, "Input",ExpressionUUID->"01387b01-3294-40e7-8b69-78378d670e52"], +Cell[255247, 5465, 17575, 405, 184, "Output",ExpressionUUID->"8cec0562-f526-4026-ab01-0593355140a7"] }, Open ]], Cell[CellGroupData[{ -Cell[187003, 4059, 1123, 24, 22, "Input",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], -Cell[188129, 4085, 11467, 252, 168, "Output",ExpressionUUID->"6942e1bb-7752-4d37-929f-0523c11fc41b"] +Cell[272859, 5875, 1207, 25, 22, "Input",ExpressionUUID->"d88de4bc-38ed-48d9-9eb9-13896c8d48af"], +Cell[274069, 5902, 11475, 249, 171, "Output",ExpressionUUID->"e1fd13f9-9a47-43d5-ac23-916228e32fef"] }, Open ]], Cell[CellGroupData[{ -Cell[199633, 4342, 2134, 50, 66, "Input",ExpressionUUID->"f785d7e5-8e11-45cc-81cd-0e70921fef2b"], -Cell[201770, 4394, 687, 13, 32, "Message",ExpressionUUID->"2bc80743-0404-4cc7-af83-21063fbdbfb5"], -Cell[202460, 4409, 722, 14, 22, "Message",ExpressionUUID->"4855b4a0-4fb0-4592-b898-ec20cd734add"], -Cell[203185, 4425, 685, 13, 32, "Message",ExpressionUUID->"2c61342a-37f0-4d6f-ba01-624f751a0541"], -Cell[203873, 4440, 720, 14, 22, "Message",ExpressionUUID->"fceacad2-1e46-4ee5-b2b5-b73d412cd690"], -Cell[204596, 4456, 685, 13, 32, "Message",ExpressionUUID->"3cec8c59-50d2-4c3d-9272-c0f39e42877f"], -Cell[205284, 4471, 765, 14, 22, "Message",ExpressionUUID->"d2836f7d-6064-4810-a42b-1b7eafb8843f"], -Cell[206052, 4487, 720, 14, 22, "Message",ExpressionUUID->"882061f2-132c-40c6-997a-2098d62955cd"], -Cell[206775, 4503, 769, 14, 22, "Message",ExpressionUUID->"369ecb9a-e2a8-4adc-8faf-fbc4029c5235"], -Cell[207547, 4519, 13286, 308, 180, "Output",ExpressionUUID->"c8d5a504-2b54-40a6-a548-fdc00956b481"] +Cell[285581, 6156, 2187, 51, 43, "Input",ExpressionUUID->"f785d7e5-8e11-45cc-81cd-0e70921fef2b"], +Cell[287771, 6209, 733, 14, 32, "Message",ExpressionUUID->"162535cd-d62a-48c2-af96-7140a9f63050"], +Cell[288507, 6225, 771, 15, 22, "Message",ExpressionUUID->"56b6fe59-14dc-4bc6-baeb-fe77ce144ee6"], +Cell[289281, 6242, 734, 14, 32, "Message",ExpressionUUID->"9e7384b5-4cf5-4f3e-84e6-a9d047e1959b"], +Cell[290018, 6258, 769, 15, 22, "Message",ExpressionUUID->"348cb862-eaed-45c1-9750-7eeddb304033"], +Cell[290790, 6275, 734, 14, 32, "Message",ExpressionUUID->"ee576e45-e228-483d-890e-f9c379104b1f"], +Cell[291527, 6291, 814, 15, 22, "Message",ExpressionUUID->"505478e2-adb1-468b-bd7d-2f1280492c3a"], +Cell[292344, 6308, 771, 15, 22, "Message",ExpressionUUID->"725753ce-0c13-4467-8895-0d985413a7b7"], +Cell[293118, 6325, 818, 15, 22, "Message",ExpressionUUID->"5eb9561f-5e5e-4b1d-b5bb-f3122d6b98f2"], +Cell[293939, 6342, 11608, 275, 180, "Output",ExpressionUUID->"be597aa8-7ecf-4557-9551-74d39eb14ee7"] }, Open ]], Cell[CellGroupData[{ -Cell[220870, 4832, 1265, 30, 43, "Input",ExpressionUUID->"be68f105-e7f1-4186-b7b1-a6f02a4bd594"], -Cell[222138, 4864, 11811, 282, 175, "Output",ExpressionUUID->"91ea53bd-2e6e-409b-8cae-be04cd8c20aa"] +Cell[305584, 6622, 1336, 31, 43, "Input",ExpressionUUID->"be68f105-e7f1-4186-b7b1-a6f02a4bd594"], +Cell[306923, 6655, 10700, 261, 181, "Output",ExpressionUUID->"b57dd479-aac4-4dc9-b32b-1a68214db69f"] }, Open ]], Cell[CellGroupData[{ -Cell[233986, 5151, 821, 20, 22, "Input",ExpressionUUID->"0805dca1-3214-4053-b11e-4c015cec2e4b"], -Cell[234810, 5173, 23686, 414, 174, "Output",ExpressionUUID->"64c0f605-cf30-4543-a5ac-ed2deb5865e0"] +Cell[317660, 6921, 848, 21, 22, "Input",ExpressionUUID->"0805dca1-3214-4053-b11e-4c015cec2e4b"], +Cell[318511, 6944, 12644, 228, 174, "Output",ExpressionUUID->"48b92cb7-d098-4f8b-b49d-7550fd336efb"] }, Open ]], Cell[CellGroupData[{ -Cell[258533, 5592, 957, 23, 22, "Input",ExpressionUUID->"df04592e-ebb2-4859-b1e7-acbef5775165"], -Cell[259493, 5617, 30911, 533, 171, "Output",ExpressionUUID->"3f9ba143-1b79-495a-b4d5-43672ba411cb"] +Cell[331192, 7177, 982, 23, 22, "Input",ExpressionUUID->"df04592e-ebb2-4859-b1e7-acbef5775165"], +Cell[332177, 7202, 16441, 289, 171, "Output",ExpressionUUID->"35add101-fc22-4757-b5c1-91c88545a137"] }, Open ]], Cell[CellGroupData[{ -Cell[290441, 6155, 3834, 96, 109, "Input",ExpressionUUID->"c7679221-477e-44ed-ad24-f20a36e0f29d"], -Cell[294278, 6253, 38305, 641, 188, "Output",ExpressionUUID->"4874c76c-d606-4e7c-8adc-f59fab5f2007"] +Cell[348655, 7496, 3834, 96, 66, "Input",ExpressionUUID->"c7679221-477e-44ed-ad24-f20a36e0f29d"], +Cell[352492, 7594, 38355, 642, 188, "Output",ExpressionUUID->"4b4be68c-760f-476d-96a3-fcd70d8ef33c"] }, Open ]], Cell[CellGroupData[{ -Cell[332620, 6899, 2270, 51, 62, "Input",ExpressionUUID->"3774b0e8-ed8f-4304-be73-f4ed265155e9"], -Cell[334893, 6952, 27052, 468, 392, "Output",ExpressionUUID->"97e35720-4cbf-4f67-a343-2f096735823d"] +Cell[390884, 8241, 2305, 52, 40, "Input",ExpressionUUID->"3774b0e8-ed8f-4304-be73-f4ed265155e9"], +Cell[393192, 8295, 11461, 208, 392, "Output",ExpressionUUID->"897b2bdd-4551-4a9d-b234-810e9e14bf11"] }, Open ]], Cell[CellGroupData[{ -Cell[361982, 7425, 2206, 52, 62, "Input",ExpressionUUID->"997c9c3d-3291-4f27-afcd-2a3c02bfd3fd"], -Cell[364191, 7479, 23560, 404, 253, "Output",ExpressionUUID->"b89ead09-4dd2-4e82-9f4f-7394478ce32f"] +Cell[404690, 8508, 2206, 52, 40, "Input",ExpressionUUID->"997c9c3d-3291-4f27-afcd-2a3c02bfd3fd"], +Cell[406899, 8562, 23560, 404, 253, "Output",ExpressionUUID->"b89ead09-4dd2-4e82-9f4f-7394478ce32f"] }, Open ]], Cell[CellGroupData[{ -Cell[387788, 7888, 1629, 38, 57, "Input",ExpressionUUID->"caeef800-5d91-4fb4-9816-9aacdb626a63"], -Cell[389420, 7928, 1253550, 20568, 365, 772625, 12684, "CachedBoxData", "BoxData", "Output",ExpressionUUID->"3ba0d0d9-71ff-4192-8dae-78fabe9127a4"] +Cell[430496, 8971, 1629, 38, 24, "Input",ExpressionUUID->"caeef800-5d91-4fb4-9816-9aacdb626a63"], +Cell[432128, 9011, 1251114, 20528, 364, 772638, 12684, "CachedBoxData", "BoxData", "Output",ExpressionUUID->"f7800255-b48c-4368-ba57-548155e86dfa"] }, Open ]], Cell[CellGroupData[{ -Cell[1643007, 28501, 1176, 30, 41, "Input",ExpressionUUID->"626e933d-cd37-4a52-851f-6473bebeff53"], -Cell[1644186, 28533, 163730, 2704, 317, "Output",ExpressionUUID->"df893735-eb2f-4fa8-937b-dbb343a52a39"] +Cell[1683279, 29544, 1176, 30, 24, "Input",ExpressionUUID->"626e933d-cd37-4a52-851f-6473bebeff53"], +Cell[1684458, 29576, 163730, 2704, 317, "Output",ExpressionUUID->"df893735-eb2f-4fa8-937b-dbb343a52a39"] }, Open ]], Cell[CellGroupData[{ -Cell[1807953, 31242, 1906, 42, 75, "Input",ExpressionUUID->"9985bed4-dad9-41a8-a4a0-bf515be7111c"], -Cell[1809862, 31286, 199193, 3283, 362, "Output",ExpressionUUID->"7ad32301-6347-4a43-8055-9158b827767a"] +Cell[1848225, 32285, 1906, 42, 24, "Input",ExpressionUUID->"9985bed4-dad9-41a8-a4a0-bf515be7111c"], +Cell[1850134, 32329, 199193, 3283, 362, "Output",ExpressionUUID->"7ad32301-6347-4a43-8055-9158b827767a"] }, Open ]], Cell[CellGroupData[{ -Cell[2009092, 34574, 1018, 27, 24, "Input",ExpressionUUID->"95c3ad10-59a3-4496-bbd9-ec9966e153e1"], -Cell[2010113, 34603, 8989, 170, 163, "Output",ExpressionUUID->"a3028a35-bb3d-4af0-9cec-08135dfa52fc"] +Cell[2049364, 35617, 1018, 27, 24, "Input",ExpressionUUID->"95c3ad10-59a3-4496-bbd9-ec9966e153e1"], +Cell[2050385, 35646, 8989, 170, 163, "Output",ExpressionUUID->"a3028a35-bb3d-4af0-9cec-08135dfa52fc"] }, Open ]] }, Open ]], Cell[CellGroupData[{ -Cell[2019151, 34779, 159, 3, 41, "Subsection",ExpressionUUID->"36c7685a-f47a-40aa-a737-8c7f6f4317c1"], -Cell[2019313, 34784, 1302, 31, 72, "Input",ExpressionUUID->"afc540ea-ef9a-493b-9583-ed901e3ac580"], -Cell[2020618, 34817, 1414, 34, 89, "Input",ExpressionUUID->"cdb9b75d-4c04-4ef5-9969-9e72079c5d58"], -Cell[2022035, 34853, 1671, 39, 89, "Input",ExpressionUUID->"e7739266-4bd1-4804-846a-5133bf45bfe6"], -Cell[2023709, 34894, 1740, 42, 105, "Input",ExpressionUUID->"e0c29aa2-30dd-4eb9-990b-b4abf8865eeb"], -Cell[2025452, 34938, 1946, 49, 105, "Input",ExpressionUUID->"80a3d021-bc5a-410c-8e70-089bc45d33bc"], -Cell[2027401, 34989, 2035, 50, 105, "Input",ExpressionUUID->"1d95a4fa-b7b4-4d6f-9695-22a28602846c"], -Cell[2029439, 35041, 2280, 55, 121, "Input",ExpressionUUID->"f3f292b7-6741-4c30-b2ac-b80cd82a3eaa"], -Cell[2031722, 35098, 2423, 60, 121, "Input",ExpressionUUID->"b6e4cc8e-edfb-44a9-a3e6-a4d9a234eb0c"], -Cell[2034148, 35160, 2451, 62, 137, "Input",ExpressionUUID->"2f0f517e-a884-4fb5-aa03-33b1e6e8e890"], +Cell[2059423, 35822, 159, 3, 41, "Subsection",ExpressionUUID->"36c7685a-f47a-40aa-a737-8c7f6f4317c1"], +Cell[2059585, 35827, 1302, 31, 72, "Input",ExpressionUUID->"afc540ea-ef9a-493b-9583-ed901e3ac580"], +Cell[2060890, 35860, 1414, 34, 72, "Input",ExpressionUUID->"cdb9b75d-4c04-4ef5-9969-9e72079c5d58"], +Cell[2062307, 35896, 1671, 39, 72, "Input",ExpressionUUID->"e7739266-4bd1-4804-846a-5133bf45bfe6"], +Cell[2063981, 35937, 1740, 42, 72, "Input",ExpressionUUID->"e0c29aa2-30dd-4eb9-990b-b4abf8865eeb"], +Cell[2065724, 35981, 1946, 49, 89, "Input",ExpressionUUID->"80a3d021-bc5a-410c-8e70-089bc45d33bc"], +Cell[2067673, 36032, 2035, 50, 89, "Input",ExpressionUUID->"1d95a4fa-b7b4-4d6f-9695-22a28602846c"], +Cell[2069711, 36084, 2280, 55, 89, "Input",ExpressionUUID->"f3f292b7-6741-4c30-b2ac-b80cd82a3eaa"], +Cell[2071994, 36141, 2423, 60, 89, "Input",ExpressionUUID->"b6e4cc8e-edfb-44a9-a3e6-a4d9a234eb0c"], +Cell[2074420, 36203, 2451, 62, 89, "Input",ExpressionUUID->"2f0f517e-a884-4fb5-aa03-33b1e6e8e890"], Cell[CellGroupData[{ -Cell[2036624, 35226, 930, 24, 72, "Input",ExpressionUUID->"990c0156-b917-447b-8ea2-39f8d0d504d1"], -Cell[2037557, 35252, 1928, 48, 60, "Output",ExpressionUUID->"89cfa7ad-f948-401f-962b-8b5a8eaabd16"] +Cell[2076896, 36269, 1067, 26, 72, "Input",ExpressionUUID->"990c0156-b917-447b-8ea2-39f8d0d504d1"], +Cell[2077966, 36297, 2105, 51, 44, "Output",ExpressionUUID->"a03c0e53-f1d6-465c-bec4-40d66af78dd1"] }, Open ]] }, Open ]] }, Open ]] diff --git a/schofield.wl b/schofield.wl index 98f9721..98dc607 100644 --- a/schofield.wl +++ b/schofield.wl @@ -124,13 +124,13 @@ eqMid[F_, h_][m_] := D[ Φs = { -1.19773338379799339, -0.31881012489061, - 0.110886196683, - 0.01642689465, - -2.639978 10^-4, - -5.140526 10^-4, - 2.08856 10^-4, - -4.4819 10^-5, - 3.16 10^-7, + Around[0.110886196683, 2.0 10^-12], + Around[0.01642689465, 1.0 10^-11], + Around[-2.639978 10^-4, 1.0 10^-10], + Around[-5.140526 10^-4, 1.0 10^-10], + Around[2.08865 10^-4, 1.0 10^-9], + Around[-4.4819 10^-5, 1.0 10^-9], + Around[3.194 10^-7, 1.0 10^-9], 4.31 10^-6, -1.99 10^-6 } @@ -189,8 +189,11 @@ resLow[n_, g_, δ_][m_] := formResiduals[Gls[[;;m+1]], dGdξLowList[n, g][m], δ resHigh[n_, g_, δ_][m_] := Rest[formResiduals[Ghs[[;;m+1]], dGdξList[n, g][m, 0], δ][[;;;;2]]] +resMid[n_, g_, δ_][m_] := formResiduals[Φs[[;;m+1]], dΦdηList[n, g][m, 1], δ] + res[F_, g_, δ_][m_] := Join[resLow[F, g, δ][m], resHigh[F, g, δ][m]] //. rules[g] chiSquared[F_, g_, δ_][m_] := Total[res[F, g, δ][m]^2] +resAll[F_, g_, δ_][m_] := Join[resLow[F, g, δ][m], resHigh[F, g, δ][m], resMid[F, g, δ][m](*, {ruleθ0[g] / 0.00005 /. Around[x_, _] :> x}*)] //. rules[g] newSol[eqs_, oldSol_, newVars_, δ_:0, γ_:0, opts___] := FindRoot[ eqs, @@ -198,7 +201,7 @@ newSol[eqs_, oldSol_, newVars_, δ_:0, γ_:0, opts___] := FindRoot[ {#1, #2 + γ * RandomVariate[NormalDistribution[]]} & @@@ (oldSol /. Rule -> List), Thread[{newVars, δ * RandomVariate[NormalDistribution[], Length[newVars]]}] ], - MaxIterations -> 1000, + MaxIterations -> 10000, opts ] -- cgit v1.2.3-54-g00ecf